CN101258499B - 使用运行到运行控制器的故障检测与分类(fdc) - Google Patents

使用运行到运行控制器的故障检测与分类(fdc) Download PDF

Info

Publication number
CN101258499B
CN101258499B CN2005800481123A CN200580048112A CN101258499B CN 101258499 B CN101258499 B CN 101258499B CN 2005800481123 A CN2005800481123 A CN 2005800481123A CN 200580048112 A CN200580048112 A CN 200580048112A CN 101258499 B CN101258499 B CN 101258499B
Authority
CN
China
Prior art keywords
data
wafer
alarm
fdc
seriousness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2005800481123A
Other languages
English (en)
Other versions
CN101258499A (zh
Inventor
詹姆斯·E·威利斯
麦尔特·凡克
凯文·拉利
凯文·彼恩托
友安昌幸
雷蒙德·彼得森
哈达·桑达哈简
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101258499A publication Critical patent/CN101258499A/zh
Application granted granted Critical
Publication of CN101258499B publication Critical patent/CN101258499B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/4184Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by fault tolerance, reliability of production system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31356Automatic fault detection and isolation
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32179Quality control, monitor production tool with multiple sensors
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P80/00Climate change mitigation technologies for sector-wide applications
    • Y02P80/40Minimising material used in manufacturing processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Abstract

一种用于在APC系统中实现FDC(400)的方法,包括从存储器接收FDC模型(430);将所述FDC模型(430)提供给处理模型计算引擎(425);使用所述处理模型(425)计算引擎计算预测的依赖处理参数矢量;接收包括一组配方参数(440)的处理配方,将处理配方提供给处理模块(420);执行所述处理配方以产生测量的依赖处理参数矢量(445);计算所述预测的依赖处理参数矢量和所述测量的依赖处理参数矢量之间的差(450);将所述差和阈值进行比较(455);以及当所述差大于阈值时宣布故障状态(465)。

Description

使用运行到运行控制器的故障检测与分类(FDC)
相关申请的交叉引用
本申请与在2004年10月13日提交的美国申请号10/962,596相关,在此将其全部内容并入本文作为参考。
技术领域
本发明涉及半导体晶片处理。更具体地说,本发明涉及使用运行到运行(run-to-run)控制器执行故障检测与分类(FDC)。
发明背景
在高性能集成电路的生产中由半导体生产设施(fabs)使用的半导体处理设备(SPE)或工具领域中先进处理控制(Advanced processcontrol,APC)的新发展,包括出于故障检测与分类(FDC)的目的,补充了在工具级(TL)的监控硬件和软件。FDC提供了建立工具操作基准(baseline)的能力,以及通过将当前操作和基准进行比较,检测故障和分类或确定问题根本原因的能力。用于FDC的技术包含使用统计处理控制(SPC)图表,主成分分析(PCA)和部分最小二乘方(PLS)。这些技术中每个均提供当前操作和基准的数字比较。因此能对标准值或该比较值周围加以限制,并且每当比较超过一个或多个数字限制时就可产生警报。当警报产生时,可停止处理过程或采取其它行动。
FDC系统在工具级的运行具有以下优势,减少由于工具级故障产生的生产废料,通过提高诊断能力减少工具停工时间以及通过监控部件磨损和安排预防性维护减少不定期维护的次数。
半导体处理中前馈控制器的使用一直以来都是通过半导体集成电路制造中的半导体生产设施的惯例。高性能集成电路生产中半导体生产设施所使用的APC中的新发展包括,出于用于运行到运行(R2R)控制的目的,补充了工具级的硬件和软件。
然而,FDC和R2R控制器的同时操作可能是困难的或互不相容的。这是因为在检测到的故障或参数改变以防止故障时FDC系统可中断R2R控制器。传统的R2R控制器不能汇集从FDC系统接收的信息并继续实时地运行。这对于W2W处理过程尤其是成立的,与L2L处理过程相比,W2W处理过程提供明显更多将要处理的数据。
事实上,先前都认为集成FDC和R2R控制是不可能的,因为两种处理都是计算密集型的。因此,就申请人的知识而言,FDC系统和R2R控制器的集成以前从未被实现。本发明人认为该集成是可能的,而且采取必要步骤并解决所需的问题以实现本发明。
发明内容
本发明的一个方面提供了用于在APC系统中实现FDC的方法,包括:从存储器接收FDC模型;将FDC模型提供给处理模型计算引擎;使用处理模型计算引擎计算预测的依赖处理参数矢量;接收包括一组配方参数的处理配方;将处理配方提供给处理模块;执行处理配方以产生测量的依赖处理参数矢量;计算预测的依赖处理参数矢量和测量的依赖处理参数矢量之间的差;将该差和阈值进行比较;以及当该差大于阈值时宣布故障状态。
在另一个实施例中,提供了一种操作半导体处理系统的方法,包括:在处理模块中定位晶片;接收将要送至处理器的晶片的处理环境信息;使用该晶片的处理环境信息在处理器上执行控制策略;使用该晶片的处理环境信息在处理器上执行分析策略;当警报已由至少一个执行的策略建立时,在该处理器上执行干预计划;以及当警报状态未被至少一个执行计划建立时,从处理模块移走晶片。
在另一个实施例中,提供了一种计算机控制的系统,包括:处理工具,其被配置以处理晶片;以及处理器,其被配置以从执行的处理流程接收处理过程数据,从存储器接收FDC模型,使用FDC模型计算预测的依赖处理参数矢量,接收包括一组配方参数的处理配方,执行该处理配方以产生测量的依赖处理参数矢量,计算预测的依赖处理参数矢量和测量的依赖处理参数矢量之间的差,将该差和阈值进行比较,以及当该差大于阈值时宣布警报状态。
附图描述
参照如下详细说明,特别是结合附图考虑时,本发明的各种实施例及其所具有的优势的更完整的理解将很容易变得清楚,其中:
图1显示了根据本发明实施例的处理系统的示范性方框图;
图2显示了根据本发明实施例的综合处理系统的简化方框图;
图3显示了根据本发明实施例的故障管理处理的简化流程图;
图4说明了根据本发明实施例的FDC系统和R2R控制器的简化流程图;
图5显示了根据本发明实施例的用于对半导体处理系统中的处理工具执行故障检测与分类(FDC)处理的简化流程图;
图6显示了根据本发明实施例的汇总屏幕的示范性视图;
图7说明了根据本发明实施例的FDC控制策略屏幕的示范性视图;以及
图8说明了根据本发明实施例的FDC控制计划编辑屏幕的示范性视图;
具体实施方式
半导体处理技术的发展需要在半导体处理设备工具级提供运行到运行(R2R)控制。为了使对处理的控制稳定且健壮,还需要在半导体处理设备工具级提供故障检测与分类。然而,简单的故障检测技术与R2R控制不相容并且有可能产生频繁的假警报。包括数据收集、数据分析、FDC、R2R控制、自动DOE、SPC制图、PCA和PLS分析的先进处理过程控制综合系统可用于提供高性能半导体集成电路制造者所需的准确可靠的处理过程控制。
图1显示了根据本发明实施例的处理系统的示范性方框图;在所说明的实施例中,处理系统100包括处理工具110、连接到处理工具110的控制器120和连接到控制器120的制造设备系统(MES)130。另外,处理工具110、控制器120和MES130中的至少一个可包含GUI元件和/或数据库元件(未示出)。在替代性实施例中,GUI元件和/或数据库元件不是必需的。
处理工具110和/或控制器120可从工厂系统130获得一些设置和/或配置信息。工厂级的业务规则(business rules)可用于建立控制层次。例如,处理工具110和/或控制器120可以独立地操作,或可在某种程度上受工厂系统130的控制。另外,工厂级的业务规则可用于确定何时暂停和/或停止处理过程,以及当暂停和/或停止处理过程时做什么。另外,工厂级的业务规则可用于确定何时改变处理过程以及如何改变处理过程。
业务规则可用于指定为正常处理过程采取的行动以及为异常状态采取的行动。这些行动可包括:初始模型加载,蚀刻前的度量数据过滤,控制器配方(recipe)选择,蚀刻后的度量数据过滤,反馈计算以及模型更新。
业务规则可被限定在控制策略级,控制计划级或控制模型级。每当遇到特定的环境,就可分配执行业务规则。当在较高级别以及较低级别遇到匹配环境时,可以执行与较高级别关联的业务规则。GUI屏幕可被用于定义和维护业务规则。业务规则的定义和分配可供具有高于正常安全级别的用户使用。业务规则可被保持在数据库中。文件和帮助屏幕可被提供有关如何定义、分配和维护业务规则的信息。
MES 130可以使用根据与处理工具110和/或控制器120关联的数据库而报告的数据来监控一些系统处理过程。工厂级的业务规则可用于确定监控哪个处理过程以及使用哪个数据。例如,处理工具110和/或控制器120可以独立地收集数据,或者数据收集处理过程可在某种程度上受工厂系统130的控制。另外,工厂级的业务规则可用于确定在处理过程被改变、暂停和/或停止时如何管理数据。
另外,MES 130可向处理工具110和/或控制器120提供运行时间配置信息。例如,在运行时间,自动处理过程控制(APC)设置、目标、限制、规则和算法可作为″APC配方″、″APC系统规则″和″APC配方参数″从工厂实时下载到处理工具110和/或控制器120。
一些设置和/或配置信息在其最初被系统配置时可由处理工具110和/或控制器120确定。系统级业务规则可用于建立控制层次。例如,处理工具110和/或控制器120可以独立地操作,或者处理工具110可在某种程度上受控制器120的控制。另外,系统规则可用于确定何时暂停和/或停止处理过程,以及当暂停和/或停止处理过程时做什么。另外,系统规则可用于确定何时改变处理过程以及如何改变处理过程。此外,控制器120可使用工具级规则来控制一些工具级的操作。
通常,规则允许系统和/或工具操作基于系统的动态进行改变。
在图1中,显示了一个处理工具110和一个控制器120,然而对于本发明而言这都不是必需的。除独立的处理工具和模块之外,半导体处理系统可以包含任意数目的处理工具,所述处理工具具有任意数目的与其关联的控制器。
除任意数目的独立的处理工具和模块之外,处理工具110和/或控制器120可用于配置任意数目的处理工具,所述任意数目的处理工具具有任意数目的与其关联的处理工具。处理工具110和/或控制器120可收集、提供、处理、存储和显示来自涉及处理工具、处理子系统、处理模块和传感器的处理过程的数据。
处理工具110和/或控制器120可以包含多个应用程序,所述多个应用程序包含至少一个有关工具的应用程序,至少一个有关模块的应用程序,至少一个有关传感器的应用程序,至少一个有关接口的应用程序,至少一个有关数据库的应用程序,至少一个有关GUI的应用程序和至少一个配置应用程序。
例如,系统100可以包含来自日本东京的Tokyo ElectronLimited,(TEL)的APC系统,所述APC系统可以包含UNITY工具、Telius工具、Trias工具和/或Lithius工具以及它们关联的处理子系统和处理模块。另外,系统可以包含运行到运行(R2R)控制器,例如来自Tokyo Electron Limited的Ingenio系统服务器和来自Tokyo Electron Limited的集成度量模块(IMM)。替代性地,控制器120可以支持其它处理工具及其他处理模块。
GUI元件(未示出)可使用户很容易使用接口以:查看工具状态和处理模块状态;为选择的晶片创建和编辑汇总和原始(轨迹)参数数据的x-y图表;查看工具警报记录;配置数据收集计划,所述数据收集计划指定将数据写到数据库或输出文件的条件;将文件输入到统计处理过程控制(SPC)制图、建模和电子数据表程序;检查具体晶片的晶片处理信息,以及审核当前被保存至数据库的数据;创建和编辑处理参数的SPC制图,以及设置产生电子邮件警告的SPC警报;运行多变量PCA和/或PLS模型;以及查看诊断屏幕以使用TL控制器120调试和报告问题。
来自工具的原始数据和轨迹数据可作为文件存入数据库。另外,IM数据和主机度量数据可被存储在数据库中。数据量取决于所配置的数据收集计划,以及处理被执行和处理工具运行的频率。从处理工具、处理室、传感器和操作系统获得的数据可被存储在数据库中。
在替代性实施例中,系统100可以包含客户工作站(未示出)。系统可以支持多个客户工作站。客户工作站可以允许用户执行配置例程;以查看状态,包括工具、控制器、处理过程和工厂状态;以查看当前和历史数据;以执行建模和制图功能;以及将数据输入控制器。例如,可向用户提供管理权,以允许他控制由控制器执行的一个或多个处理过程。
处理工具110和控制器120可被连接到MES 130并且可以是E-诊断系统的一部分。处理工具110和/或控制器120可与工厂系统交换信息。另外,MES 130可向处理工具110和/或控制器120发送命令和/或覆盖(override)信息。例如,MES 130可将用于任意数目的处理模块、工具和测量装置的可下载的配方前馈至处理工具110和/或控制器120,其中每个配方都具有可变参数。可变参数可以包含工具级系统中需要由批次调整的最终CD目标、限制、偏移和变量。另外,度量数据可从工厂系统或光刻工具(lithography tool)(例如,来自Tokyo Electron Limited的Lithius工具)前馈至控制器120。
此外,MES 130可用于将测量数据,例如CD SEM信息,提供给控制器。替代性地,CD SEM信息可被手动地提供。调整因子被用于调整IM和CD SEM测量结果之间的任何偏移。CD SEM数据的手工和自动输入包含时间戳,例如日期,其用于对R2R控制器中FB控制回路的历史的适当插入。
可配置的项目可被配置一组可变参数,其中所述一组可变参数是使用GEM SECS通信协议而从工厂系统发送的。例如,可变参数可作为″APC配方″的一部分传递。APC配方可包含一个以上子配方并且每个子配方可包含可变参数。
单个处理工具110也在图1中示出,但是这不是本发明必需的。替代性地,也可使用额外的处理工具。在一个实施例中,处理工具110可以包含一个或多个处理模块。处理工具110可以包含蚀刻模块、沉积模块、抛光模块、涂层模块、显影模块和热处理模块中的至少一个。
处理工具110可以包含用于将至少一个其它处理工具和/或控制器连接在一起的链路(link)(112和114)。例如,其它处理工具和/或控制器可与在该处理过程之前已执行的处理过程相联系,和/或其它控制器可与在该处理过程之后执行的处理过程相联系。链路112和链路114可用于前馈和/或反馈信息。例如,前馈信息可以包含与引入的晶片相关联的数据。该数据可包含批次(lot)数据、批量(batch)数据、运行数据、组成数据和晶片历史数据。该数据可以包含可用于建立晶片输入状态的预处理数据。预处理数据的第一部分可被提供给控制器120,而预处理数据的第二部分可被提供给处理工具110。替代性地,这两部分可以包含相同的数据。
处理工具110可以包含单个集成度量模块(IMM)装置(未示出)或多个测量装置。系统100可以包含有关模块的测量装置,有关工具的测量装置以及外部测量装置。例如,可从与一个或多个处理模块连接的传感器以及与处理工具连接的传感器获得数据。
传感器可以包含光学发射光谱(OES)传感器并且故障检测应用程序可使用来自OES传感器的数据。例如,波长范围可从201到205纳米延伸到896到900纳米。
另外,可从例如SEM工具和光学数字轮廓测定(Profiling)(ODP)工具的外部装置获得数据。ODP工具可从Timbre TechnologiesInc.(TEL公司)获得,其可提供用于测量半导体器件中结构的轮廓的专利技术。例如,ODP技术可用于获得临界尺寸(CD)信息,结构轮廓信息或通孔轮廓信息。
控制器120被连接到处理工具110和MES 130,并且诸如预处理数据和后处理数据的信息可在它们之间交换。例如,当内部的重置事件正从该工具产生时,控制器120可将包含有关该事件的信息的消息发送给MES 130。这可允许工厂系统和/或工厂人员在诸如那些发生在矫正的或预防性维护期间发生的主要改变之后进行必要的修改以最小化处于危险的晶片数目。
单个控制器120也在图1中示出,但是这不是本发明必需的。替代性地,也可使用额外的控制器。例如,控制器120可以包含运行到运行(R2R)控制器、前馈(FF)控制器、处理模型控制器、反馈(FB)控制器或处理过程控制器,或它们中两个或两个以上的结合(所有的都未在图1中示出)。
控制器120可以包含用于将至少一个其它的控制器连接在一起的链路(122和124)。例如,其它控制器可与在该处理过程之前已执行的处理过程相联系,和/或其它控制器可与在该处理过程之后执行的处理过程相联系。链路122和链路124可用于前馈和/或反馈信息。
控制器120可以使用所测量的引入材料的临界尺寸(输入状态)和目标临界尺寸(所需的状态)之间的差来预测、选择或计算一组处理参数,从而获得所需的处理结果,其将晶片的状态从输入状态改变到所所需的状态。例如,该预测的处理参数组可以是基于输入状态和所需状态而使用的配方的第一估算。在一个实施例中,可从主机获得这样的数据,诸如输入状态和/或所希望的数据。
在一例中,控制器120已知晶片的输入状态和所需状态的模型方程,并且控制器确定一组配方,该组配方可被执行于该晶片上以将该晶片从输入状态改变到被处理状态。例如,该组配方可描述涉及一组处理模块的多步处理过程。
控制器的时间常数可基于测量结果之间的时间。当在一个批次被完成之后所测量的数据可用时,控制器时间常数可基于批次之间的时间。当在晶片被完成之后所测量的数据可用时,控制器时间常数可基于晶片之间的时间。在晶片内,当在处理过程中实时提供测量数据时,控制器的时间常数可基于处理步骤。当晶片正被处理或在晶片被完成之后或在该批次被完成之后所测量的数据可用时,控制器可具有多个时间常数,所述多个时间常数可基于处理步骤之间,晶片之间,和/或批次之间的时间。
一个或多个控制器可在任意时间运行。例如,一个控制器可处于工作模式而第二控制器可处于监控模式。另外,另一个控制器可以以模拟模式运行。控制器可以包含单个循环或多个循环,并且所述循环可具有不同的时间常数。例如,循环可取决于晶片计时、批次计时、批量计时、腔室计时、工具计时和/或工厂计时。
控制器可基于输入状态、处理过程特征和处理模型计算该晶片的预测状态。例如,修整速率(trim rate)模型可与处理时间一起被使用以计算预测的修整量。替代性地,蚀刻速率模型可与处理时间一起使用以计算腐蚀深度,而沉积速率模型可与处理时间一起被使用以计算沉积厚度。另外,模型可包含SPC制图、PLS模型、PCA模型、FDC模型和多变量分析(MVA)模型。
控制器可以接收和利用外部提供的在处理模块中的处理参数限制的数据。例如,控制器GUI元件提供了用于手动输入处理参数限制的装置。另外,工厂级控制器可提供对每个处理模块的处理参数的限制。
控制器可接收并执行由市场上可买到的建模软件创建的模型。例如,控制器可接收并执行由外部应用程序创建并发送给控制器的模型。
控制器120可以包含一个或多个过滤器(未示出)以过滤度量数据以去除随机噪声。异常值过滤器(outlier filter)可用于去除异常值,所述异常值是静态无效的并且不应该在晶片测量结果的平均的计算中考虑。噪声过滤器可用于去除随机噪声并稳定控制回路,指数加权流动平均数(EWMA)或卡尔曼滤波器可被应用。
在一个实施例中,控制器120可用于操作FDC应用程序并可发送和/或接收关于警报/故障状态的信息。例如,控制器可以发送和接收往返于工厂级控制器或工具级控制器的FDC信息。另外,在异常状态被识别之后,FDC信息可通过e-诊断网络、电子邮件或寻呼机发送。在替代性实施例中,FDC应用程序可以运行在不同的控制器上。
控制器120可以根据警报/故障的性质,采取各种行动以响应警报/故障。对警报/故障采取的行动可基于业务规则,所述业务规则是为由系统配方、处理配方、模块类型、模块标识号、负载端口号、暗盒号、批次号、控制作业ID、处理作业ID和/或槽号指定的环境而建立的。在一个实施例中,控制器确定将要采取的行动。替代性地,控制器可被指示以采取由FDC系统执行的具体行动。
控制器可以包含用于存档输入和输入数据的数据库元件。例如,控制器可以将接收的输入、发送的输出以及由控制器采取的行动存入可查找的数据库。另外,该控制器可以包含用于数据备份和恢复的装置。另外,可查找的数据库可以包含模型信息、配置信息和历史信息,并且控制器可以使用数据库元件以备份和恢复历史和当前的模型信息和模型配置信息。
控制器可以包含基于web的用户界面。例如,控制器可以包含用于查看数据库中的数据的web驱动的GUI元件。控制器可以包含安全元件,其可提供取决于安全管理员所授予的许可的多级访问权限。控制器可以包含一组在安装时间提供的默认模型,以使控制器可重置为默认状态。
控制器能够管理多个同时执行并且受不同组处理配方约束的支配的处理模型。控制器可以以三种不同模式运行:模拟模式、测试模式以及标准模式。控制器可以以与实际的处理模式并行的模拟模式运行。另外,FDC应用程序可以并行运行并产生实时的结果。
当半导体处理系统包含主系统和一个或多个处理系统时,主系统可作为主要系统工作并且可控制和/或监控处理操作的主要部分。主系统可以创建处理序列,并可将该处理序列发送至处理系统。在一个实施例中,处理序列可包含测量模块访问和处理模块访问序列。可为每个测量模块访问和每个处理模块访问创建处理作业(PJ)。
另外,当处理系统控制器执行模拟模型时可产生虚拟测量。由模拟模型执行产生的结果可被存储并用于预测可能的故障状态。
图2显示了根据本发明实施例的综合处理系统100′的简化方框图。在所说明的实施例中,显示的处理系统(TELIUSTM)包括处理工具、集成度量模块(IMM)以及工具级先进处理过程控制(APC)控制器。本领域技术人员将会意识到,综合处理系统100′的元件仅仅是本发明系统的示例。本领域技术人员将会意识到,并且根据随后的讨论将变得清楚的是,本发明元件组合置换是很重要的。每个这样的变化,即使在此未被讨论,均旨在落入本发明的范围之内。
系统100′,例如图2中所示的,可提供IMM晶片取样并且可使用一种(PJ创建)功能来确定晶片槽选择。除了其它变量之外,R2R控制配置可以包括,前馈控制计划变量,反馈控制计划变量,度量校准参数、控制限制和SEMI标准变量参数。除了别的之外,度量数据报告可以包含晶片、位置、结构和组成数据,并且该工具可报告晶片的实际设置。
IMM系统可以包含光学测量系统,例如Timbre Technologies的光学数字轮廓测定(ODP)系统,其使用椭圆偏振光谱、反射计或其它光学仪器以测量晶片的真实的装置轮廓,准确的临界尺寸(CD)以及多层膜厚度。Timbre Technologies,Inc是一家加利福尼亚公司并且是TEL的附属公司。
处理过程被线内(in-line)执行,这消除了破坏晶片以执行分析的需要。ODP可与现有薄膜度量工具一起用于线内轮廓和CD测量,并且可与TEL处理工具结合起来以提供实时处理过程监控和控制。ODP轮廓仪(Profiler)可被用作高精度度量工具以提供实际轮廓、CD和膜厚度结果,并且可被用作成品率提高工具以检测线内处理过程偏移或处理故障。
ODP(TM)解决方案具有三个关键组成:ODPTM轮廓仪(ProfilerTM)库包括光谱的专用数据库及其相应半导体轮廓、CD和膜厚度。轮廓仪(ProfilerTM)应用服务器(PAS)包括与光学硬件和计算机网络联系的计算机服务器。它处理数据通信、ODP库操作、测量处理、结果生成、结果分析和结果输出。ODPTM轮廓仪(ProfilerTM)软件包含安装在PAS上的软件,该软件用于管理测量配方、ODPTM轮廓仪(ProfilerTM)库、ODPTM轮廓仪(ProfilerTM)数据、ODPTM轮廓仪(ProfilerTM)结果搜索/匹配、ODPTM轮廓仪(ProfilerTM)结果计算/分析、数据通信以及连接到各种度量工具和计算机网络的PAS接口。
控制系统,例如来自Tokyo Electron Limited的Ingenio系统,可以包含管理应用程序,例如配方管理应用程序。例如,配方管理应用程序可用于查看和/或控制存储在Ingenio系统数据库中的配方,其通过Ingenio系统的网络环境与设备同步。Ingenio客户可被独立地放置在离开工厂一段距离,并且可向所各设备单元提供综合管理功能。
配方可以以树型结构组织,所述树型结构可包含可被显示为对象的配方组、分类以及配方。配方可以包含处理配方数据、系统配方数据以及IMM配方数据。可使用配方组存储和组织数据。处理工具上的IMM配方可被用于确定晶片取样以及槽和IM配方之间的关系。IM配方可存在于IM测量硬件之上,可在Telius IMM配方中选择,可包含模式识别信息,可被用于确定在每个晶片上取样的小片(chips),并且可被用于确定使用哪个PAS配方。PAS配方可用于确定使用哪个ODP库,以及定义将要报告的测量规格,例如CD、侧壁角度(SWA)、厚度、沟槽宽度和适合度(GOF)。
Ingenio系统可以包含可作为控制策略的APC应用程序,以及可与处理工具配方(例如蚀刻工具配方)相关联的控制策略。在运行时间的晶片级环境匹配考虑到由晶片自定义的配置(槽、晶片ID、批次ID等)。控制策略可以包含一个或多个控制计划,并且被控制的处理模块和/或测量模块具有至少一个为访问该处理模块和/或测量模块而定义的控制计划。控制计划可以包含模型、控制限制、目标,并且可包含静态配方、公式模型和反馈计划。
控制策略可用于建立系统配方和处理工具;确定控制计划;响应故障而建立行动;建立环境;建立控制类型(标准、模拟或测试);建立控制行动(启动/停用);以及建立控制状态(保护的/无保护的)。
控制计划可覆盖模块内的多个处理步骤,并且可由工厂控制。可为每个处理和/或测量模块定义参数范围,并且可为每个控制参数提供变量参数″极限范围″。
Ingenio系统可以包含可作为分析策略的APC应用程序,并且分析策略可用于分析所收集的数据并确定错误状态。当环境匹配时,可执行分析策略。在分析策略的执行期间,可执行一个或多个分析计划。例如,可执行单变量SPC模型/计划,并且可触发SPC警报;可执行PCA和/或PLS模型/计划,并且可触发SPC警报;可执行多变量SPC模型/计划,并且可触发SPC警报;可执行其它文件输出计划,并且可触发软件警报。
当数据故障发生,执行问题发生或控制问题发生时,计划可产生错误。当错误发生时,计划可产生警报消息;父策略状态可改为失败状态;计划状态可改为失败状态;并且一个或多个消息可被发送至警报记录和FDC系统。当前馈计划或反馈计划失败时,父策略中的一个或多个计划可被终止,并且它们的状态可改为失败状态。在一例中,当坏的引入晶片被检测出来时,控制计划可以将其检测和/或识别为有故障的引入晶片。另外,当反馈计划被启动时,该反馈计划可跳过已由另一计划识别为有缺陷的和/或有故障的晶片。数据收集计划可拒绝该晶片的所有测量位置的数据或因为GOF很低而拒绝数据。
在一个实施例中,反馈计划故障可以不必终止策略或其它计划。成功的计划和/或策略不产生任何错误/警报消息。
为策略和/或计划错误预先指定的故障行动可被存储于数据库中,并且可在错误发生时从该数据库中重新得到。故障行动可以包含为该晶片和模块而使用标称的处理配方;为该晶片和模块使用空处理配方;暂停处理模块并等待干预;暂停整体工具并等待干预。例如,只有当具有错误的晶片到达发生R2R故障的目标处理模块时处理工具才可采取行动,并且该处理工具能够继续处理其它模块中的其它批次、配方或晶片。
Ingenio系统可包含FDC系统,所述FDC系统包含用于管理警报/故障状态的应用程序。当检测到警报和/或故障状态时,FDC系统中的FDC应用程序可发送消息至一个或多个处理模块和/或工具。例如,可发送消息以暂停当前处理过程或停止当前处理过程。在一例中,可通过改变维护计算器的值来实现工具暂停/停止。
FDC系统可检测故障,预测工具性能,预测预防性维护进度,减少停工时间以及延长处理工具中消耗性部件的使用寿命。FDC系统从工具和辅助传感器收集数据,计算汇总参数,执行多变量分析(MVA),以及使用统计处理过程控制(SPC)比较所得结果和正常运行。例如,SPC元件可执行一系列的Western Electric运行规则评估,并且如果违反了运行规则就产生SPC警报。
APC系统和FDC系统的操作可由顾客配置并且可基于正被处理的晶片的环境。环境信息包含配方、批次、槽、控制作业以及处理作业。APC系统和FDC系统的用户接口是web启动的,并且提供接近实时的工具状态和实时的警报状态显示。
由APC系统和FDC系统采取的所有数据和行动可被记入相关数据库。历史数据库提供了工具处理的可查找记录,包括:轨迹参数、汇总参数、SPC限制、SPC运行规则违反、警报、错误、故障、异常和通知。另外,可提供数据可视化工具以查看和覆盖来自单个和多个晶片的轨迹和汇总数据。晶片选择由数据探测器(explorer)提供,所述数据探测器使得晶片数据在制图之前被选择和分类。另外,一旦检测到故障,用户可以″往下钻″至连续各层以进一步检查故障源的数据和特征。
当控制和/或运行数据由主系统发送到控制器时,与该数据相关的错误和/或警报可被发送到主系统。例如,当无效的控制策略和/或计划名称被发送时,可将错误返回给主系统;当无效的处理参数和/或限制被发送时,可将错误返回给主系统;并且当无效的处理序列和/或配方被发送时,可将错误返回给主系统。
可使用客户工作站或主系统工作站来查看和/或清除警报和/或故障状态。当在客户版本和主机版本之间发生失配时,可显示警告。管理员屏幕和/或邮箱可用于显示已由FDC系统发送的警报电子邮件和诊断电子邮件。晶片信息,例如wafer_id、run_id、和/或slot_id可被存储和显示以识别产生警报的正确晶片。
FDC系统可包含与在晶片被处理之前、期间和/或之后使用的R2R控制器和各种处理模块之间的相互作用相关联的警报/故障。例如,警报可与连接问题、调整问题、超时问题、配方问题、和/或验证问题相关联。FDC系统可以确定对警报的响应。例如,响应可包含允许处理模块继续处理序列,暂停处理序列,改变处理序列和/或停止处理序列。行动可以立即执行,在晶片已完成处理之后执行,和/或在批次已完成处理之后执行。行动可基于由主机提供的规则,并且该规则可以是分析策略的一部分。另外,FDC系统可提供对警报/故障进行分类和/或建议维护行动的消息。
R2R控制器和关联的软件可产生警报,并且FDC系统可以评估R2R警报以确定何时宣布(declare)故障状态。一些警报可能是提供消息的,所以FDC系统不会为每个警报宣布故障状态。
R2R控制器可包含R2R状态字段项目,并且它的值可用于向另一个控制器(例如FDC和/或主机控制器)提供状态和/或警报数据。例如,该值可以包含COMPLETED_FAILURE、CONTROL_FAILURE、DATA_FAILURE、FEEDBACK_FAILURE、ABORTED、AWAITING_DATA、READY、EXECUTING、NEW、RECIPE_READY、COMPLETED_SUCCESS或UNPROCESSED,或其它文字。R2R状态字段项目可用于报告一个或多个控制计划的当前执行状态以及一个或多个晶片控制策略的当前执行状态。
业务规则可用于确定当警报产生或FDC系统宣布故障状态时将对晶片进行什么处理。例如,将晶片保持在处理工具中直到可以确定是否可继续在处理模块进行该晶片的处理而不会损坏该晶片,将该晶片移至保持位置,例如在传递室中,将该晶片移至测量模块,或将该晶片移出系统。有时,可响应错误(例如警报或故障状态)而将处理配方发送至处理工具。例如,正常的处理配方可被发送给工具,或空配方可被发送给工具或恢复配方可被发送给工具。在一例中,当检测到坏的引入晶片(缺少光致抗蚀剂,CD在修整范围之外)时,控制计划可将其检测和/或识别为有故障的引入晶片并在该模块上运行空配方。另外,可通知MES,晶片未被处理并且该晶片然后可在光刻工具中再处理。
Ingenio系统包含数据恢复应用程序。例如,当APC系统和/或FDC系统从工具上分离一段时间时,该工具可以继续正常地处理晶片并将数据存储在工具硬盘上的文件中。然而,因为APC系统和/或FDC系统不是连接的,所以没有数据被存储在APC系统和/或FDC系统数据库中。刚一重新连接,APC系统和/或FDC系统就扫描存储在工具的硬盘上的数据并记入APC系统和/或FDC系统数据库中的缺失数据。
FDC系统可在运行时间为每个匹配分析策略的晶片执行FDC模型。SPC模型/计划使用单变量技术,并且不包含变量之间同时的相互作用。MVA模型/计划使用多个变量以及它们的相互作用。PCA技术可用于确定处理过程是否″正常″,而PLS技术可用于基于输入预测输出。
模型的数学输出(一个或多个)可被放入SPC制图中以供运行规则评估。例如,SPC制图可进入违反状态,并在处理过程不″正常″时导致警报(PCA警报)或在所预测的输出在规格之外时导致警报(PLS警报)。并且,当系统在数据交换中遇到错误时,可导致软件警报。警报可被显示在GUI屏幕的副板以及警报记录中。
FDC系统可以包含SPC自动配置应用程序,其可自动地为启动参数中的一个或多个创建和/或填充SPC制图。SPC制图可标有工具、模块、配方、步骤、参数和汇总功能,并且SPC限制可基于定点(setpoint),数据的始集或每个参数的固定的预定限制。另外,应用程序可以创建用于具体模块和配方的分析策略,其可为将来流程执行SPC计划。FDC系统可以包含自动模板SPC计划,所述自动模板SPC计划可用作模板并且可被编辑以定义将被复制到新创建的SPC计划的警报处理选项。自动模板SPC计划减少系统手动配置所需的时间量,启动系统以基于当前配方设定点或添加到制图的数据点来自动地计算参数的智能限制;允许系统自动地创建新配方的SPC制图而不要求用户预先准备配置;并且可以是环境驱动的。
每个FDC项目可具有与其关联的名称字段,并且每个FDC项目将具有唯一名称。每个FDC项目还可以具有与其关联的唯一结果字段,例如FDC结果字段。结果字段可以包含状态指示。
当产生警报时,FDC系统可执行通知和/或干预。通知可以通过电子邮件、寻呼机、手机或其它无线设备。通知可由人在白天以及多个时段进行配置。例如,有时,被预定进行工作的处理人员和维护人员将接收到通知。例如,一个或多个GUI屏幕(未示出)可被提供给工具操作员、工作站上的处理工程师以及主机监视器。GUI显示可显示在暂停处理过程中晶片的位置,并可显示其它未被暂停的处理模块中的其它晶片的当前处理过程位置。
当宣布故障状态时,由FDC系统发送的一个或多个故障消息可包含故障系统标识符。例如,现场工程师可包含故障系统标识符以确定最可能导致错误的原因是什么。故障系统标识符是字母数字的组合,其确定错误/警报的原因/解决方案。数据库应该具有用于矩阵系统标识符的字段。可使用故障系统标识符、日期/时间、警报级别、接收者、工具、处理模块和/或警报消息来对警报记录进行分类。
另外,FDC系统可执行干预,所述干预可包含如下中的至少一个:在当前批次的末端暂停处理工具,在当前晶片的末端暂停处理工具,在当前批次的末端暂停处理模块,在当前晶片的末端暂停处理模块,将晶片/批次重新路由至不同的工具,或将晶片/批次重新路由至不同的模块。
图3显示了根据本发明实施例的故障管理过程的简化流程图;在所说明的实施例中,示出了前馈/反馈过程,但这不是必需的。在替代性实施例中,可以使用其它配置。
预处理数据单元305可以包含功能,例如接收数据、处理数据、存储数据和发送数据。数据可包含输入数据、输出数据、处理的数据、历史数据、工具/模块数据以及警报数据。例如,数据可以包含预处理和/或后处理度量数据,并且度量数据可包含位置测量数据和晶片数据。现场测量数据包括以下项目:适合度(GOF)、栅格厚度、临界尺寸(CD)、材料厚度、材料横截面面积、沟槽横截面面积、侧壁角度、微分(Differential)宽度、位置结果和位置号码。晶片数据包括以下项目:CD测量标记、测量位置的数目、配方结果、X坐标和Y坐标。预处理数据可用于前馈控制,而后处理数据可用于反馈控制。并且,可根据某些业务规则将数据汇总为统计数值以用于控制晶片。
当数据被预处理数据单元305处理时,预处理数据单元305可产生警报数据。故障管理系统390可以从预处理数据单元305接收警报数据,并且可使用该警报数据以宣布故障状态。故障管理系统可将故障消息发送至预处理数据单元305,并且预处理数据单元305可通过停止一个或多个软件应用程序,存储数据,重新设置一个或多个软件应用程序以及尝试清除一个或多个警报来响应故障消息。
数据过滤单元310可具有功能,例如过滤输入数据、输出数据、处理的数据、历史数据、工具/模块数据和/或警报数据。在一个实施例中,可使用EWMA过滤器。例如,数据过滤单元310可以包含异常值抑制过滤器,其可除去在统计上无效的异常值。换言之,不可靠的数据可被丢弃并且不在计算中考虑。业务规则可用于过滤过程中以确保经过滤后的数据是可靠的。另外,业务规则可用于确定FDC系统如何处理未过滤的和过滤的数据。FDC系统规则可用于确定哪些数据是可过滤的数据,哪些数据是异常值数据,以及哪些数据指示警报状态。
可使用来自每批中第一个晶片的数据,来自一个批次中每个晶片的数据,晶片平均数据,批次平均数据或湿洗周期数据来更新数据预处理应用程序。另外,可建立规则以补偿由室壁上的沉积导致的OES数据的变化。
当数据被过滤时,数据过滤单元310可产生警报数据。故障管理系统390可从数据过滤单元310接收错误数据并使用错误数据以宣布警报状态。故障管理系统可将故障消息发送至数据过滤单元310,并且数据过滤单元310可通过停止一个或多个软件应用程序,存储数据、重新设置一个或多个软件应用程序以及尝试清除一个或多个警报来响应故障消息。例如,可响应警报和/或故障状态而改变过滤限制。
目标数据单元315可具有功能,例如接收所需的处理结果数据,检验处理结果数据,存储所需的处理结果数据以及定标(scaling)所需的处理结果数据。目标数据可以包含输入数据、输出数据、处理的数据、历史数据、工具/模块数据和警报数据。例如,数据可以包含预处理和/或后处理度量数据,并且度量数据可包含位置测量(site measurement)数据和晶片数据。另外,数据可以包含来自主系统的规则数据,其被用于检验和/或定标所述数据。
当数据未被接收到和/或未被检验时,目标数据单元315可产生警报数据。故障管理系统390可以从目标数据单元315接收警报数据,并且可使用该警报数据以宣布故障状态。故障管理系统可将故障消息发送至目标数据单元315,并且目标数据单元315可通过停止一个或多个软件应用程序,存储数据,重新设置一个或多个软件应用程序以及尝试清除一个或多个警报来响应故障消息。例如,目标数据单元315可请求数据发送器重新发送数据。
在一个实施例中,目标数据可以是目标CD数据。目标CD数据可适用于位于晶片上一个或多个位置的一个或多个CD。位置数据、尺寸数据和限制数据可被提供给该晶片上的每个测量位置。例如,测量位置预先已知,并且与目标CD数据一致。在替代性实施例中,目标数据可以是深度、侧壁角度或厚度。
目标数据源可以预先被确定。例如,数据源可以是外部数据源,例如主机或工厂源,或内部源,例如与处理工具关联的内部测量装置。用于检验和/或定标来自外部的或内部源的规则可以不同。可以提供GUI屏幕以供查看与目标数据单元315关联的处理过程。
目标计算单元320引导目标计算。例如,目标计算可被设置等于数据源项目。替代性地,可输入等式,其使一个数据集与另一个数据集相关联。另外,目标计算可包含额外的补偿项。例如,额外的补偿系数可用于校正在另一个步骤(例如,光致抗蚀剂步骤)中引入的误差。新的目标值可以是在运行时或运行前计算的变量,并且等式可用于计算目标值。
处理结果计算单元350可以具有功能,例如接收前馈数据和检验前馈数据。前馈数据可以包含输入数据、输出数据、处理的数据、历史数据、工具/模块数据和警报数据。例如,数据可以包含预处理和/或后处理度量数据,并且度量数据可包含位置测量数据和晶片数据。另外,数据可以包含来自主系统的规则数据,其被用于检验和/或定标所述数据。
处理结果计算单元350可以具有功能,例如接收目标数据和处理目标数据,存储所需的处理结果数据,以及定标所需的处理结果数据。
处理结果计算单元350可以使用测量数据和目标数据之间的差来确定所需的处理结果。另外,处理结果计算单元350可使用来自主系统的数据以确定所需的处理结果。
当存在计算错误时或当数据未被接收时,处理结果计算单元350可以产生警报数据。故障管理系统390可以从处理结果计算单元350接收警报数据,并且可使用该警报数据以宣布故障状态。故障管理系统可将故障消息发送至处理结果计算单元350,并且处理结果计算单元350可通过停止一个或多个软件应用程序,存储数据,重新设置一个或多个软件应用程序以及尝试清除一个或多个警报来响应故障消息。例如,处理结果计算单元350可重新计算结果。
在一个实施例中,所需的处理结果可以是测量数据和目标CD数据之间的差。因为所需的处理结果是由每个晶片的将要受控的处理过程限定的,所以所需的处理结果还可以由将要受控的处理室/模块限定。因此,每个所需的处理结果可与相应的控制策略/计划相关联。可在晶片或批次开始之前指定每个控制策略/计划的所需的处理结果值。
例如,目标CD可与预处理度量数据相比较。当预处理度量数据小于目标CD时,可以宣布错误。当预处理度量数据近似等于目标CD时,可以宣布″空″状态。当预处理度量数据大于目标CD时,可以确定修整(trim)量。如果包含修整量和配方参数之间关系的处理模型已被检验,在处理中将要去除的修整量可认为是所需的结果。
建模单元325可以具有功能,例如处理模型生成,处理模型验证,处理模型更新,以及使用处理模型进行的模拟。另外,数据可以包含来自主系统的规则数据,其用于产生、检验和/或更新处理模型。
处理模型可以表示所需结果和过程变量之间的已检验的关系,其中需要所述过程变量以达到所需结果。处理模型可被分成两种类型,理论类型或经验类型。经验模型可以是基于公式的模型和/或基于表格的模型。例如,基于公式的模型可使用回归方程,所述回归方程具有基于若干估算的实验数据的约束条件。可使用具有配方变量的结果连续地更新基于公式的模型,所述配方变量基于若干估算的实验数据。基于公式的模型可认为是无噪声的平滑模型。基于表格的模型可使用包含所需结果的分段结合的表格,其中所述所需结果具有基于若干估算的实验数据的配方变量。基于表格的模型可认为是具有最小化噪声的最优化模型。
处理模型可以是线性的或非线性的。当非线性过程可被表示为若干相应的有限空间上的若干线性过程的组合时,非线性过程可被实现为相对于每个空间的若干约束条件的若干有限线性模型。另外,可为一个或多个不同的室状态创建最优化模型,并且模型优化应用程序可用于基于随时间而变化的室特征而更新模型。
处理过程控制器单元330可具有功能,例如接收数据,确定配方参数,以及计算预测的结果数据。接收数据可以包含输入数据、输出数据、处理的数据、历史数据、工具/模块数据、警报数据、所需的处理结果数据和模型数据。另外,数据可以包含来自主系统的规则数据,其被用于确定配方参数。
处理过程控制器单元330可以具有功能,例如接收目标数据和处理目标数据,存储所需的处理结果数据,以及定标所需的处理结果数据。
处理过程控制器单元330可以使用测量数据和目标数据之间的差来确定所需的处理结果。另外,处理过程控制器单元330可使用来自主系统的规则数据以确定所需的处理结果。
当存在计算错误时或当数据未被接收时,处理过程控制器单元330可以产生警报数据。故障管理系统390可以从处理过程控制器单元330接收警报数据,并且可使用该警报数据以宣布故障状态。故障管理系统可将故障消息发送至处理过程控制器单元330,并且处理过程控制器单元330可通过停止一个或多个软件应用程序,存储数据,重新设置一个或多个软件应用程序以及尝试清除一个或多个警报来响应故障消息。例如,处理过程控制器单元330可重新计算结果。
处理过程控制器330可被用作处理模型管理器,其管理处理模型并选择用于解决配方参数的最佳拟合模型。处理过程控制器330可被用作配方参数解算器,其根据最佳拟合处理模型和模型约束条件产生配方参数。另外,处理过程控制器330可被用作处理模型优化器,其根据过程趋势输入来更新或调整活动的处理模型。
处理过程控制器330可使用所需的处理结果输入并依赖处理模型和约束条件将其分辨为可能的/可达到的/预测的处理结果。另外,处理过程控制器330可用于管理具有约束条件的多层处理模型并根据过程趋势输入更新活动的处理模型。
当存在计算错误时或当数据未被接收时,处理过程控制器330可以产生警报数据。故障管理系统390可以从处理过程控制器330接收警报数据,并且可使用该警报数据以宣布故障状态。故障管理系统可将故障消息发送至处理过程控制器330,并且处理过程控制器330可通过停止一个或多个软件应用程序,存储数据,重新设置一个或多个软件应用程序以及尝试清除一个或多个警报来响应故障消息。例如,处理过程控制器330可重新计算配方。
配方参数可以包含配方设定点(控制变量),其可从处理过程控制器330被发送至处理模块335,以用于与处理配方合并,和处理晶片。在一例中,可以使用标称的配方,并且标称的配方在修改之前可以是处理配方。例如,标称的配方还可以是关联的控制配方或控制模型的基本参考配方,其包含有关测量标称的输入和所需的结果输出的信息而与室状态无关。
当处理过程控制器330或者处理模块335检测到控制故障时,可出现警报。例如,配方选择故障、配方接收超时、集成通信故障以及同步故障可导致警报出现。当控制故障发生时,警报可被发送至故障管理系统390,并且故障管理系统390可以确定响应。响应可包含:使用工具处理配方;绕过而不进行处理;停止处理过程控制器例程;停止处理模块处理,继续处理晶片;以及继续处理该批次。
可使用由处理过程控制器330确定的配方参数来处理晶片。处理模块335可以是蚀刻模块、沉积模块或测量模块,或它们中两个或更多的组合。例如,处理模块335可以是蚀刻处理室或具有若干蚀刻处理室的蚀刻处理工具,处于R2R控制下。刻蚀处理过程包含依赖维护周期和室状态的处理过程移动和漂移的特征。替代性地,可使用处理子系统(处理船(process ship))执行修整例程,所述处理子系统可包含COR模块、PHT模块和至少一个缓冲模块
故障管理系统390可以处理前馈错误和反馈错误。前馈错误可出现在一个或多个前馈单元301中。前馈单元301可以收集数据,处理数据,将数据与所需结果进行比较,以及在达不到所需结果时宣布错误。故障管理系统390可以检查前馈错误并可使用一个或多个前馈错误对故障进行分类。可为不同的前馈错误或前馈错误组建立严重性级别。反馈错误可出现在一个或多个反馈单元302中。反馈单元302可以收集数据,处理数据,将数据与所需结果进行比较,以及在达不到所需结果时宣布错误。故障管理系统390可以检查反馈错误并可使用一个或多个反馈错误对故障进行分类。可为不同的反馈错误或反馈错误组建立严重性级别。另外,故障管理系统390可以检查前馈错误和反馈错误,并且可使用前馈错误和反馈错误的结合来对故障进行分类。例如,严重性级别可以包含记录级别、通知级别、通知和暂停级别,以及通知和停止级别。
后处理数据单元340可具有功能,例如产生数据、接收数据、处理数据、存储数据和发送数据。数据可包含输入数据、输出数据、处理的数据、历史数据、工具/模块数据以及警报数据。例如,产生的数据可以包含后处理度量数据,并且度量数据可包含位置测量数据和晶片数据。位置测量数据包括以下项目:适合度(GOF)、栅格厚度、临界尺寸(CD)、材料厚度、材料横截面面积、沟槽横截面面积、侧壁角度、微分宽度、位置结果和位置号码。晶片数据包括以下项目:CD测量标记、测量位置的数目、配方结果、X坐标和Y坐标。后处理数据可用于反馈控制,而反馈过程可使用业务规则来控制。
当数据由后处理数据单元340产生和/或处理时,后处理数据单元340可以产生警报数据。故障管理系统390可以从后处理数据单元340接收警报数据,并且可使用该警报数据以宣布故障状态。故障管理系统可将故障消息发送至后处理数据单元340,并且后处理数据单元340可通过停止一个或多个软件应用程序,再生数据,存储数据,重新处理数据,重新设置一个或多个软件应用程序以及尝试清除一个或多个警报来响应故障消息。
数据过滤单元345可以具有功能,例如过滤后处理数据和/或历史数据。在一个实施例中,可使用EWMA过滤器。例如,数据过滤单元345可以包含异常值抑制过滤器,其可除去在统计上无效的异常值。不可靠的数据不会被用在计算中。业务规则可用于过滤过程中以确保经过滤后的数据是可靠的。另外,业务规则可用于确定FDC系统如何处理未过滤的和过滤的数据。FDC系统规则可用于确定哪些数据是可过滤的数据,哪些数据是异常值数据,以及哪些数据指示警报状态。
可使用来自每批中第一个晶片的数据,来自一个批次中每个晶片的数据,晶片平均数据,批次平均数据或湿洗周期数据来更新数据后处理应用程序。另外,可建立规则以补偿由室壁上的沉积导致的OES数据的变化。
当数据被过滤时,数据过滤单元345可产生警报数据。故障管理系统390可以从数据过滤单元345接收警报数据,并且可使用该警报数据以宣布故障状态。故障管理系统可将故障消息发送至数据过滤单元345,并且数据过滤单元345可通过停止一个或多个软件应用程序,存储数据,重新设置一个或多个软件应用程序以及尝试清除一个或多个警报来响应故障消息。例如,可响应警报和/或故障状态而改变过滤限制。
实际的处理结果计算单元350可以具有功能,例如确定实际的处理结果。例如,测量的目标CD可以是来自处理过程或处理步骤的实际处理结果中的一个,或者在处理过程中测量的修整量可被认为是实际的处理结果。
预测的结果计算单元355可具有功能,例如确定预测的处理结果。例如,输入数据和处理模型可用于确定预测的处理结果。预测的处理结果可用于区别模型误差和其它误差源,例如处理过程漂移和测量误差。
误差计算单元360可用于计算预测结果和实际结果之间的偏移,历史结果和实际结果之间的偏移,和/或所需结果和实际结果之间的偏移。
批次平均单元365计算可用作对EWMA过滤器370输入的批次平均。在替代性实施例中,不同的平均可被使用并且可基于晶片、批次和/或批量。
处理过程误差用作对EWMA过滤器370的输入,而过滤器输出作为反馈被用于处理过程中以便提高处理结果的准确度。
实际的处理结果的精度受到发生在控制器中各种位置的随机噪声源的影响。例如,测量数据受到随机噪声的影响,当重复地取样时,所述随机噪声具有高斯或″正态″分布。替代性地,噪声的其它分布,例如双峰分布是可能的。EWMA过滤器可用于从反馈环中去除随机噪声,并因此提高控制器的精度。
处理的准确度取决于测量的精度,由该处理引入的随机噪声数量,处理模型的质量以及处理中的系统变化。两种类型系统变化被归入该模拟;蚀刻器的维护周期期间常常会有慢漂移,而在清洁周期后在处理中可发生突跳。
EWMA过滤器370可由下列等式描述:Y(n)=μY(n-1)+(1-μ)X(n)
在此X是过滤器的输入,而Y是过滤器的输出。μ的值被限定在0到1之间,在0时没有过滤作用而在1时具有最大的过滤作用。Y(0)的值通常被设为EWMA输出的额定值。至于控制器,在EWMA过滤器的输入是错误信号之处,初始值可被设为0。
反馈环的主要用途是通过补偿处理过程中的系统变化来提高处理结果的准确度。
EWMA过滤提供了用于减少处理过程中随机噪声的概念上和计算上简单的技术。过滤器应用的过滤量是由常数μ的值控制的,其可被设为0和1之间的值。EWMA过滤技术的副作用是它延迟了控制器上对处理过程中系统变化的响应。
EWMA 370可提供随机噪声源的过滤和对系统的处理过程变化之间的折衷。当EWMA过滤被用在存在常值漂移的反馈环中时,其会在处理过程中引入偏移。因子μ可根据随机噪声源的幅度和处理过程中变化的幅度而进行调整。
EWMA过滤器370的输出可被提供给处理结果计算单元350。当执行进一步计算时,处理结果计算单元350可以使用该反馈数据。数据可以以晶片到晶片时间常数或批次到批次时间常数被反馈。
EWMA过滤器370的输出可以是偏移,并且该偏移可以是处理过程误差量的估算。该偏移可以表示过程趋势并且可用于最优化处理模型和配方参数。
控制器的性能可由存在于反馈环中的残差的平均和标准偏差表示。
故障检测是通过周期地测量直接地或间接地表示图3所示的处理过程中的操作的参数组来执行的。参数可以直接与处理配方设定点相关或依赖处理配方设定点。例如,典型处理模块中的室压力可由处理配方压力定点以及所测量的处理压力表示。出于故障检测的目的,将处理限制设置为百分之五或更小是合理的,并且当参数超过处理过程限制时,可根据为FDC系统建立的业务规则产生警报并且处理过程可被停止或者采取其它行动。
当控制和/或分析策略与前馈单元301相关联时,那么该策略可以包含前馈FDC计划。例如,前馈FDC计划可在开始事件(例如,晶片进入事件,处理开始事件或配方开始事件发生)之后执行。当控制和/或分析策略与反馈单元302相关联时,那么该策略可以包含反馈FDC计划。例如,反馈FDC计划可在停止事件之后(例如,晶片输出事件,处理过程停止事件或配方停止事件发生)被执行。计划执行可以是基于规则的并且可包含SQL语句。前馈FDC计划和反馈FDC计划可以是FDC系统的一部分。
当在前馈FDC计划中检测到错误和/或警报时,一级警报消息可被发送给干预管理器,并且干预管理器可处理该一级警报消息。当在反馈FDC计划中检测到错误和/或警报时,二级的警报消息可被发送给干预管理器,并且干预管理器可处理该二级警报消息。
前馈FDC计划和反馈FDC计划可独立地运行。每个FDC计划不需要知道其它FDC计划中的行动。因此,在行动中可能存在若干冗余或不一致,而干预管理器可用于解决任何问题。
由控制器(例如R2R控制器)用来控制处理过程的处理结果和处理配方参数设定点之间的关系是由处理模型提供的。处理模型可以是线性的、二次的或完全二次的。
构造FDC模型所需的数据采集可在执行DOE以收集构造处理模型所必需的数据的同时被执行。构造FDC模型所需的数据,称为训练集,包括处理配方设定点以及由此产生的相关参数。因为DOE通常跨越控制器控制的容许范围,所以由此产生的训练集也将跨越随后处理流程(process run)所期望的数据范围,包括控制器工作的那些流程。
一旦已使用PLS的技术分析训练集并且获得FDC模型,该模型就被传递到FDC系统。当控制器基于引入材料的环境工作时,FDC模型可被激活运行。FDC模型和处理配方都可被存储在存储器中的数据库中。
FDC模型和处理配方是动态的并且可通过处理过程进行更新。历史和更新的FDC模型以及处理配方都可被存储在存储器中的数据库中。R2R控制器可在处理过程被执行之前,期间或之后改变配方设定点,并且配方设定点可以是FDC模型的输入。设定点的修改可影响FDC模型的有效性。在一个实施例中,FDC模型可被自动地环绕设定点标准化以便保持有效。例如,可使用被定义为实际定点对标称设定点的比率的标准化FDC模型。
图4说明了根据本发明实施例的FDC系统和R2R控制器的简化流程图。在所说明的实施例中,示出在R2R控制器存在情况下的FDC处理过程400。
参照图4,R2R控制器410使用R2R控制模型405来调整配方参数415,所述配方参数415被发送给处理模块420以及处理模型计算引擎425。处理模型计算引擎425使用FDC模型430以及室和晶片状态435来计算预测的依赖处理参数(dependent process parameter)440的矢量。配方参数415由处理模块420使用,所述处理模块420在晶片的处理期间产生测量的依赖处理参数445矢量。差计算引擎450比较测量的依赖处理参数445和预测的处理参数440,其产生指示该处理是否正常(类似于先前已知的良好的处理流程)或不正常(与先前已知的良好处理流程不相近似)的单个标量参数。例如,如果处理流程与已知的良好的处理流程相同,该值可以是0;如果处理过程是临界的,该值可以是1,如果处理过程处于故障状态,该值可以大于1。在455,执行查询以确定该差是否在指定容许值之内。当该差在指定容许值之内时,处理过程分枝至460并且处理下一个晶片。当该差不在指定容许值之内时,处理过程分枝至465并且执行警报检测处理过程465。
在警报检测处理过程465中,FDC系统检查警报并确定故障状态何时发生。当半导体处理系统工作时,可发生多个不同的警报/故障状态。FDC系统可检查在特定时间活动的警报/故障状态集合并执行故障分级。
FDC系统可以将警报/故障状态分成多个不同级别。FDC系统可以确定哪些警报/故障状态是危险的,以及哪些警报/故障状态是提供消息的。警报/故障状态创建者可为每个警报/故障状态分配一个严重性级别并将其报告给FDC系统。例如,严重性级别可从级别1-危险的到级别10-提供消息的,并且FDC系统可改变先前分配的严重性级别。
FDC系统可以使用单个警报/故障状态或若干警报/故障状态以识别特定故障。例如,可使用来自SPC制图的若干结果来建立若干故障分级。故障分级原因电子数据表可以包含表格/矩阵,所述表格/矩阵包括来自图表的栏以及预测原因栏。另外,可通过得分图(score plot)的位置,或通过贡献图(contribution plot)上的图案来对故障进行分级。
当从处理模块收到警报/故障状态时,FDC系统可允许处理模块执行一个或多个处理过程重试。处理过程重试通常可在处理序列中的早期步骤中执行。例如。在稳定性步骤期间,或在初始化步骤期间,处理过程可从处理步骤的起始重新开始,其中重试已执行。另外,重试之后的数据被连接到重试之前的数据,并且这允许随后检查警报状态。此外,在处理过程重试期间,可发出警报。
当从处理模块收到警报/故障状态时,FDC系统可以使用一个或多个表格和/或矩阵来确定警报和/或故障最可能的原因是什么。所述表格可以包含警报和/或故障状态的原因和/或解决方案。一旦警报和/或故障状态已发生,一个或多个用户就能够确认该警报/故障,这意味着用户察觉到该警报/故障状态。
当宣布故障状态时,FDC系统可以执行通知和/或干预。通知可以通过电子邮件、寻呼机、手机或其它无线设备实现。通知可由人在白天以及多个时段进行配置。例如,一个或多个GUI屏幕(未示出)可被提供给工具操作员、工作站上的处理过程工程师以及主机监视器。GUI显示可显示在暂停处理过程中晶片的位置,并可显示其它未被暂停的处理模块中的其它晶片的当前处理位置。
由FDC系统发送的一个或多个故障消息可以包含故障系统标识符。例如,现场工程师可包含故障系统标识符以确定最可能导致错误的原因是什么。数据库应该具有用于故障系统标识符的字段。可使用故障系统标识符、日期/时间、警报级别、接收者、工具、处理模块和/或警报消息来对警报记录进行分类。
另外,FDC系统可以执行干预,所述干预可包含如下中的至少一个:在当前批次的末端暂停处理工具,在当前晶片的末端暂停处理工具,在当前批次的末端暂停处理模块,在当前晶片的末端暂停处理模块,将晶片/批次重新路由至不同的工具,或将晶片/批次重新路由至不同的模块。
由R2R控制器410用来控制处理过程的处理结果和处理配方参数之间的关系是由R2R控制模型405提供的。产生R2R控制模型405所需的步骤包括:适当的处理模型的选择(例如,线性的、二次的、完全二次的),用于控制处理过程的处理参数(一个或多个)的选择,适当的实验设计(DOE)的选择,实验的执行,产生模型的数据的分析,和在R2R控制器中安装该模型。
在集成的APC/FDC系统(例如Ingenio系统)中,构造FDC模型430所需的数据采集可与执行DOE以收集构造R2R控制模型405所必需的数据同时执行。例如,可执行自动DOE处理过程。构造FDC模型430所需的数据,称为训练集,包括处理配方设定点以及由此产生的相关参数。因为DOE通常跨越R2R控制器410控制的容许范围,所以由此产生的训练集也将跨越随后处理流程所期望的数据范围,包括R2R控制器410工作之处的那些流程。
一旦已使用PLS的技术分析训练集并且获得FDC模型,该模型就被传递到集成APC系统的FDC子系统。当R2R控制器基于引入材料的环境工作时,FDC模型可被激活运行。
本发明的一个方面提供了用于在先进处理过程控制(APC)的系统中实现故障检测与分类(FDC)的方法,包括:创建FDC模型;将FDC模型提供给处理模型计算引擎;使用处理模型计算引擎计算预测的依赖处理参数矢量;建立包括一组配方参数的处理配方;将处理配方提供给处理模块;执行处理配方以产生测量的依赖处理参数矢量;计算预测的依赖处理参数矢量和测量的依赖处理参数矢量之间的差;将所述差和阈值进行比较;并在所述差大于阈值时宣布故障状态。
在一个实施例中,SPC技术可用于宣布故障状态。另外,FDC模型引擎可使用独立的处理参数、处理室状态或引入的晶片状态,或它们的组合以用于计算依赖处理参数。
当用于将处理参数输入与所需处理结果关联的R2R控制模型被创建时,自动DOE处理过程可被使用,并且用于将依赖处理参数与独立的处理参数关联的FDC模型可被同时创建。
R2R控制器410可以包含前馈部分和/或反馈部分,所述反馈部分用于调整控制器以保持所需的后处理结果。集成度量模块(IMM)可用于在处理晶片之前确定晶片状态(预处理状态),并且IMM可用于在处理晶片之后确定晶片状态(后处理状态)。
当R2R控制器包括反馈部分时,EWMA过滤技术可用于计算反馈偏移。当R2R控制器包括反馈部分时,批次平均可用于计算平均误差。
可从OES传感器、电压/电流(V/I)探测器、温度传感器、压力传感器、流量传感器或RF传感器、RF传感器、等离子体密度传感器、根密度(radical density)传感器、电子能量传感器、离子能量传感器、RGA(残余气体分析仪)或它们的组合获得室状态信息。例如,处理模型计算引擎425可以包含从使用OES传感器、电压/电流(V/I)探测器、温度传感器、压力传感器、流量传感器或RF传感器、RF传感器、等离子体密度传感器、根密度传感器、电子能量传感器、离子能量传感器、RGA(残余气体分析仪)或它们的组合得出的测量结果产生的相关参数。
R2R控制模型405可以使用PLS分析技术,或PCA分析技术,或马田(Mahalanobis-Taguchi)系统,或它们的组合。另外,FDC模型430可以使用PLS分析技术,或PCA分析技术,或它们的组合。
图5显示了根据本发明实施例的用于对半导体处理系统中的处理工具执行故障检测与分类(FDC)处理的简化流程图;FDC软件和所关联的GUI屏幕提供用于监控系统中一个或多个处理工具的简单的、用户友好的例程。可以为由半导体处理系统中的处理工具正在执行的每个生产步骤执行例程500。替代性地,可以为由半导体处理系统中处理模块执行的一组生产步骤执行例程500。生产步骤可以是刻蚀处理过程、沉积处理过程、扩散处理过程、清洗处理过程、测量处理过程、转移处理过程或其它半导体制造过程。
在510,可接收开始事件。例如,处理工具/模块控制器可将开始事件发送给APC系统。替代性地,另一个计算机,例如主机控制器,可发送启动事件。开始事件可以是当处理或配方步骤开始时的时间点并且可以是基于环境的。例如,晶片进入,配方开始,处理开始,步骤开始,模块开始以及工具开始都可以是开始事件。另外,当晶片进入处理室时,开始事件可以发生。替代性地,当晶片进入传递室时或当晶片进入处理系统时,开始事件可以发生。
在515,控制策略是基于处理环境而确定的。处理环境可取决于正被执行的生产步骤和/或正被监控的室。环境确定为特定处理配方执行哪个策略和/或计划。例如,如果配方包含环境项FDC,那么当处理工具运行具有包含该环境项(单元)FDC的任何配方的处理过程时,与该FDC环境项关联的控制策略可被执行。
在运行期间,开始事件可使APC系统查找当前环境数据,确定哪些策略匹配该环境,确定运行哪些计划,以及调用它们相应的脚本。控制策略记录可以包含环境-匹配信息,例如晶片-运行、工具、室、配方、槽等等。例如,APC系统可以比较运行时间环境信息并设法将其与策略数据库匹配。每个控制策略可以包含如下环境信息中的至少一些:工具id、批次id、室id、暗盒id、槽id、晶片id、配方id、开始时间、结束时间、步骤号、状态、维护计数值、产品id和材料id。处理过程环境可取决于正被执行的处理和正被监控的工具。在环境匹配过程中,搜索顺序是很重要的。例如,可使用GUI表格中的优先次序执行搜索。可使用SQL语句执行搜索。一旦确定控制策略,就可自动地确定控制计划、数据收集计划和/或FDC计划。计划ID可被发送给″执行控制策略″模块。当执行比较处理过程环境功能时如果匹配策略不存在,那么软件就将错误/警报消息显示在工具状态GUI屏幕中的故障字段中并且弹出式窗口可用于允许用户改正错误。
环境可由环境单元的组合定义。例如,环境可以是以预先确定的顺序排列的环境单元阵列,或者环境可以是以词典形成存在的名称-值对的集合。
在520,与控制策略关联的计划被执行。控制计划、数据收集计划、数据预处理计划和FDC计划中的至少一个可被执行。另外,判断计划、干预计划、传感器计划、参数选择计划和修整计划也可被执行。
在产出高质量产品的生产过程中收集的数据可用于建立″良好的工具状态″数据,而在随后收集的数据可与该基准数据相比较以确定工具是否正确地实时执行。
控制策略可被建立以确定工具健康状态作为质量控制(QC)测试的一部分。控制策略及其关联的计划可被执行以确保系统或系统的一部分例如处理工具正常地工作。例如,工具健康控制策略及其关联的计划可在规定时间或当用户预定一个时执行。当工具健康控制策略及其关联的计划正被执行时,可收集诊断的晶片数据。诊断、仿真(dummy)、产品或测试晶片可被处理,并且环境可以是工具、模块或传感器诊断。
控制策略和它们关联的FDC计划可为处理模块特征化过程(例如有关干燥的过程和室指纹识别过程)而被建立和执行。例如,在清洁或维护过程(即,湿法清洗)之后,可使用与室特征化有关的策略、计划和配方来处理多个仿真晶片(dummy wafer)。用户可使用作为系统一部分的策略和计划,或者用户可以容易和迅速地开发新的有关室特征化的控制策略(使用APC系统)和FDC计划(使用FDC计划)。来自这些室特征化流程的数据可用于进一步地精炼处理过程、工具和/或FDC模型。所述数据可用于分析以识别最好的控制策略、R2R模型和FDC模型。
当执行数据收集计划时,设置静态和动态传感器。数据收集计划可以包含传感器设置计划。例如,传感器的起停时间可由传感器设置计划确定。动态传感器所需的动态变量可由传感器设置计划确定。配方开始事件可用于告诉传感器开始记录。晶片进入事件可用于设置传感器。配方停止事件或晶片输出事件可用于告诉传感器停止记录。
所收集的数据和正被使用的传感器取决于控制策略环境。所希望的是,可为产品晶片和非产品晶片使用不同的传感器并收集不同的数据。例如,工具状态数据可以是为产品晶片而收集的数据的一小部分,而工具状态数据可以是为非产品晶片而收集的数据的一大部分。
数据收集计划还包含数据预处理计划,所述数据预处理计划确定如何根据峰值计数、步骤调整、值阀(value thresholds)和值修剪(clip)限制来处理预期的观测参数。
当执行数据预处理计划时,时序数据可从原始数据文件中创建并被保存在数据库中;晶片汇总数据可从时序数据中创建;并且批次汇总数据可从晶片数据中创建。当晶片正被处理时,可执行数据收集。当晶片离开该处理步骤时,之后可执行数据预处理计划。
数据收集计划是由用户配置以收集所需数据的可重复使用的实体。数据收集计划包括一个或多个单独的处理模块上的一个或多个传感器的配置。该计划还包含选择应该由相关传感器收集的数据项目,以及选择保存哪些数据项目。
传感器可以是装置、仪器、处理工具、处理模块、传感器、探测器或其它实体,所述实体收集观测数据或需要软件设置相互作用,或者可由系统软件将其作为传感器处理。例如,处理工具和处理模块可作为数据收集计划的传感器来对待。
若干相同传感器类型的实例可同时被安装在工具上。用户可以选择具体的传感器(一个或多个)以供每个数据收集计划使用。
在系统中收集的数据流过实时传感器收集和数据库存储器之间的一组步骤。所收集的数据可被发送给存储装置,所述存储装置可以包含实时存储器SQL数据库。存储装置可通过由用户通过APC系统中的计划定义的不同的算法以及由用户定义的脚本为将被处理的数据提供物理位置。
APC系统为每个处理模块提供独立的数据收集模式和设置模式;也就是说,每个处理模块可独立于任何其它处理模块,并且一个处理模块的设置不会中断其它处理模块的数据收集。这使得半导体处理系统的非生产时间量最小化。
当控制策略包括FDC计划时,FDC计划可在开始事件(例如,晶片进入事件,处理开始事件或配方开始事件发生)之后执行。计划执行可以是基于规则的并且可包含SQL语句。当错误和/或警报由与控制策略关联的FDC计划检测到时,错误和/或警报消息可被发送给干预管理器,该干预管理器可以处理该错误和/或警报消息,并且该干预管理器可以发送通知和/或干预消息。
在525,可基于处理环境确定分析策略。处理环境可取决于正被执行的生产步骤和/或正被监控的工具。环境确定为特定处理步骤执行哪个分析策略和/或计划。例如,为将分析策略和诸如″工具健康″的处理过程类型关联起来,该分析策略的环境应该包含环境项″工具健康″。
分析策略可以是计划的持有者。分析策略和相关计划在收集数据之后″分析″数据。
在一个实施例中,处理环境可与一个分析策略列表相比较。例如,当″处理过程开始″事件发生时,APC服务器获得当前处理环境作为字符串。处理环境可与分析策略的列表进行比较,并然后确定适当的策略。
在该处理过程中,搜索顺序可以是很重要的。例如,可通过使用GUI表格中的优先次序执行搜索。可使用SQL语句执行搜索。当分析策略被确定时,SPC计划、PLS计划、PCA计划、MVA计划、FDC计划、干预计划和用户定义的计划中的至少一个可被自动地确定。计划ID可被发送给″执行分析策略″模块。当执行比较处理环境功能时如果匹配策略不存在,那么软件就将错误消息显示在工具状态GUI屏幕中的故障字段中并且弹出式窗口可被提供给用户以确定将要使用的正确的策略。
可存在匹配运行环境的多个分析策略,并且可在特定时间为特定的处理工具执行这些分析策略。用户可通过在列表向上或向下移动策略来改变具体的环境内的策略顺序。当将要选择的策略的时间到来时,软件可从列表顶部开始并下搜索直到它找到由环境确定的要求匹配的第一策略并首先执行该策略。
另外,在每个分析策略中可存在多个计划,并且用户通过在列表上向上或向下移动计划以确定分析策略内的计划顺序。当将要执行的计划的时间到来时,软件从列表顶部开始并向下搜索列表。
一种用于使用基于环境执行的方法可用于执行环境匹配。例如,当执行环境匹配时,可使用当前正被处理的晶片环境。替代性地,可使用当前正被处理的衬底或其它半导体产品的环境。当环境被确定时,其可与分析策略的环境进行比较。当环境匹配出现时,可以执行一个或多个分析策略。
当分析策略被执行时,分析计划、发明计划和/或FDC计划可被确定。例如,可使用把至少一个分析策略的动态设置和调用考虑在内的环境匹配执行软件模块。在一例中,晶片输出事件可触发系统控制器以查找当前环境数据,确定运行哪些分析策略,并调用相应的脚本以确定相关联的计划。
在530,与分析策略关联的计划被执行。在一个实施例中,在结束事件之后,与分析策略关联的计划被执行。在替代性的实施例中,结束事件不是必需的。结束事件可以是当处理过程或配方步骤停止时的时间点并且可以是基于环境的。例如,晶片输出,配方停止,处理停止,步骤停止,模块停止以及工具停止都可以是停止事件。另外,当晶片离开处理室时,停止事件可以发生。替代性地,当晶片离开传递室或当晶片离开处理系统时,停止事件可以发生。
当分析计划被执行时时,SPC计划、PLS计划、PCA计划、MVA计划、FDC计划、干预计划和用户定义的计划中的至少一个可被执行。对在产出高质量产品的生产过程中收集的数据的分析可用于建立″良好的工具状态″模型,并且可使用该基准模型来分析随后收集的数据以确定工具是否被正确地实时执行。
分析策略可以被建立以确定工具健康状态作为质量控制(QC)测试的一部分。例如,工具健康分析策略及其关联的计划可被执行以确保系统或系统的一部分(例如处理工具)正常地工作。工具健康分析策略及其关联的计划可在规定时间或当用户预定一个时执行。当工具健康分析策略及其关联的计划正被执行时,可使用诊断模型分析诊断晶片数据,在此诊断模型可以包含SPC制图、PLS模型、PCA模型、FDC模型和MVA模型。
分析策略和它们关联的FDC计划可为处理模块特征化过程(例如有关干燥的过程和室指纹识别过程)而被建立和执行。例如,在清洁或维护过程(即,湿法清洗)之后,可使用与室特征化有关的策略、计划和配方来处理多个仿真晶片。用户可使用作为系统一部分的策略和计划,或者用户可以容易和迅速地开发新的有关室特征化的控制策略(使用APC系统)和FDC计划(使用FDC计划)。来自这些室特征化流程的数据可用于进一步地精炼处理过程、工具和/或FDC模型。所述数据可用于分析以识别最好的控制策略、R2R模型和FDC模型。
当分析策略包括FDC计划时,FDC计划可在结束事件(例如晶片输出事件、处理停止事件、配方停止事件、批量输出事件或批次输出事件)之后执行。该执行可以是基于规则的并且可包含SQL语句。在替代性实施例中,FDC计划可独立于结束事件而运行。当错误和/或警报由与分析策略关联的FDC计划检测到时,FDC计划可将错误和/或警报消息发送给干预管理器,该干预管理器可以处理该错误和/或警报消息,并且该干预管理器可以发送通知和/或干预消息。
控制策略FDC计划和分析策略FDC计划可独立地运行。每个FDC计划不需要知道其它FDC计划中的行动。因此,在行动中可能存在若干冗余或不一致,而干预管理器可用于解决任何问题。
在535,可执行查询以确定是否检测到错误。当已检测到错误时,例程500分枝到550。当未检测到错误时,例程500分枝到540。
在550,可执行干预计划。干预计划执行如下处理:从每个FDC计划获得消息(警报);对来自不同FDC计划的行动进行分类;为电子邮件和记录附上类似工具Id、配方Id、配方开始时间等的处理条件;保存记录文件/数据库;以及发送适当的消息至干预管理器。
干预计划可以包含多个可作为数据分析结果的不同行动。例如,这些行动可以包含:标记可疑晶片或批次并通知系统所有者和/或工具所有者;呼叫或发送电子邮件给工程师以审核数据并做出决定;禁止工具处理晶片直到数据已被审核以及禁止解除;停止该工具或使得该工具处于″离线″,其可净化(purge)工具中的剩余晶片;以及触发室清洁或维护例程。
所希望的是,在每个处理步骤期间只执行一个干预计划。干预计划执行可在FDC控制器中实现。例如,干预计划可从其它计划获得信息(消息)。该消息可以包含计划ID,具有建议行动的消息,故障消息,恢复消息和行动列表。
当执行干预计划时,有关适当行动的消息可由干预管理器发送。例如,行动候选可以包含:在状态屏幕上显示故障消息;发送消息以在下一个晶片之前暂停该处理过程;发送消息以在下一个批次之前暂停该处理过程;发送暂停或停止消息至一个或多个工具,发送暂停或停止消息至一个或多个处理模块,发送暂停或停止消息至一个或多个传感器,发送电子邮件至系统所有者,工具所有者或处理过程所有者。例如,″停止″消息可用于告诉工具继续处理已经在该工具中的晶片,而″终止″消息可用于告诉工具不要处理工具中的晶片并将它们发送回载体(carrier)。
有时,FDC和/或APC系统可以在没有人的干预的情况下干预和响应问题。在其它情况下,人的干预是需要。例如,用户可以访问来自系统的数据以确定故障的性质,并且该用户可决定继续进行该处理过程或终止它。如果用户终止该处理过程,那么工具可进入修理状态。该用户可从工具屏幕触发它。例如,用户可以决定进行室湿法清洁。在湿法清洁、检验和处理测试之后,当未检测到故障时该处理过程可重新开始处理下一个晶片。FDC和/或APC系统可将″工具健康″图表呈现给用户。例如,图表可以包含压力计数据、质量流数据、泄漏数据、泵数据、气体系统数据、暗盒系统数据和传递系统数据。该图表可在不同时间为一个或多个工具,一个或多个模块,一个或多个晶片,一个或多个处理步骤显示实时数据、历史数据和实时和历史数据的组合。
在540,可执行查询以确定处理过程是否已完成。当该处理过程已结束时,例程500分枝到560,并且例程500结束。当该处理过程未完成时,例程500分枝到515,并且例程500继续进行,如图5所示。
,APC系统可用于在工具不在生产中时检测和分类工具误差(tool error);在生产期间检测和分类工具误差;在生产期间检测和纠正工具误差;在生产之前预测工具误差;以及在生产之后预测工具误差。例如,工具状态监控系统可与处理工具接口,所述处理工具执行自监控的功能,例如自动设置功能、自动检验功能和自检查功能。当该工具实时发送机器事件时,监控系统实时监控数据,而当该工具非实时发送数据时,监控系统在工具一发送数据(即,存储在机器记录中的数据)时就处理该数据。工具数据可以包含信息,例如泄漏率检查,零偏移,历史事件,警报信息和处理过程记录数据。
系统可以包含可被用于FDC应用程序、室指纹识别应用程序、干燥完成应用程序、耗材寿命预测、湿法清洁循环应用程序和用于零件装配的诊断应用程序的策略、计划和基准模型。另外,APC系统可以收集和分析来自处理工具的ARAMS(自动化可靠性、可用性和可维护性标准)记录。APC系统可以执行该数据收集,作为数据收集计划的一部分。
该系统可以包含用于收集和分析维护数据的策略和计划。数据收集计划包含消耗性部件和可维护部件。例如,这些部件可以包含聚焦环、屏蔽环、上电极等。维护数据策略和计划取决于工具类型、处理模块类型和号码等。默认维护数据策略和计划可作为工具设置、处理模块设置和附加传感器设置信息的一部分而被自动地配置。用户可以改变默认设置。维护数据可用于提供晶片到晶片FDC,批量到批量FDC,或批次到批次FDC。
该系统可以存储用于不同类型警报的信息,并且消息可被显示在一个或多个GUI屏幕上,例如警报汇总屏幕600,如图6所示。在一个实施例中,警报汇总屏幕包含表格601,所述表格601具有用于警报号602、警报时间604、警报识别信息606、警报的描述608、警报类型610、警报是否已被设置或清除的指示612、发起警报的工具的指示614、发起警报的模块的指示616以及警报源618的表目。警报群可以包含出现在工具的警报,出现在软件中的警报,以及由于违反运行规则而出现的警报。软件应用程序可在多个不同情况下产生软件警报。例如,具有变化的严重性级别的警报可在如下情况中产生,当开机时;当关机时;当连接到工具和/或模块时,当脱离或失去与工具和/或模块的连接时;当执行不成功的控制行动时;以及当遇到任何错误时。软件警报可用分配的错误代码来区分。
在一个实施例中,处理系统和主系统协作以确定对警报和/或故障的正确响应,以及处理晶片的正确顺序。
R2R控制器和FDC系统在多道处理中协作。例如,在晶片处理期间当通过处理模块的一个道次(pass)不能提供所需的处理过程效果时,可能需要一个或多个额外的道次。在该情况下,错误不由R2R控制器或FDC系统产生。另外,当隔离和嵌套结构出现在晶片上时,R2R控制器和FDC系统在晶片处理期间协作。
图7说明了根据本发明示范性实施例的FDC控制策略屏幕700的示范性视图;FDC控制策略屏幕可以包含多个配置项目。策略名称字段702可用于输入/编辑FDC控制策略名称。描述字段704可用于输入/编辑FDC控制策略描述。模式字段714可用于输入/编辑FDC控制策略的模式。例如,模式可以包含标准模式和模拟模式。可使用启动框启动或停用FDC控制策略。
负载端口字段706可用于从处理工具获得负载端口信息列表。负载端口更新按钮712可用作刷新函数,并且可用于从处理工具获得当前负载端口信息。
系统配方字段716可用于从处理工具获得系统配方列表。系统配方更新按钮718可用作刷新函数,并且可用于从处理工具获得当前系统配方信息。例如,系统配方名称可用于通过匹配一个或多个环境项目(例如系统配方名称)触发FDC控制策略。
传递路由字段708可用于从处理工具获得选定负载端口和系统配方的传递路线。传递路由更新按钮719可用作刷新函数,并且可用于从处理工具获得当前配方信息。
度量数据故障字段710可用于根据下列选项输入/编辑度量数据故障行动:使用工具处理配方(标称的配方)-软件将该指示发送给处理工具,并且处理工具使用该工具处理配方。不使用处理配方(空配方)-软件将与晶片关联的空配方信息发送给处理工具,并且该晶片进入和离开室而不会被处理。例如,PM暂停命令可用于暂停处理模块,而系统暂停命令可用于暂停包含传递系统的系统。
控制故障字段720可用于根据下列选项输入/编辑控制故障:使用工具处理配方(标称的配方)-软件将该指示发送给处理工具,并且处理工具使用该工具处理配方。不使用处理配方(空配方)-软件将与晶片关联的空配方信息发送给处理工具,并且该晶片进入和离开室而不会被处理。PM暂停-暂停处理模块,和系统暂停-暂停包含传递系统的系统。
另外,当需要额外的环境项目时,多个使用环境规格字段721可用于提供这些额外的环境匹配项目。批次ID(一个或多个)字段可用于输入/编辑批次标识符;晶片ID(一个或多个)字段可用于输入/编辑晶片标识符;CJID(一个或多个)字段可用于输入/编辑控制作业标识符;PJID(一个或多个)字段可用于输入/编辑处理作业标识符;暗盒ID(一个或多个)字段可用于输入/编辑暗盒标识符;载体ID(一个或多个)字段可用于输入/编辑载体标识符;槽(一个或多个)字段可用于输入/编辑槽号;衬底ID(一个或多个)字段可用于输入/编辑衬底标识符;晶片类型(一个或多个)字段可用于输入/编辑晶片类型;划线(Scribed)晶片ID(一个或多个)字段可用于输入/编辑划线晶片标识符;一个开始时间字段可用于输入/编辑开始时间;而第二开始时间字段可用于输入/编辑结束时间。
如图7所示,FDC控制策略可以将一个或多个FDC控制计划包含在本发明的一个实施例中。另外,控制(前馈)计划标签722和反馈计划标签724可用于创建新的FDC控制计划,关联FDC控制计划和FDC控制策略,以及编辑FDC控制计划。
因此,使用FDC控制策略屏幕,用户可以执行FDC控制策略配置,查看现有的FDC控制策略,创建新的FDC控制策略,复制现有的FDC控制策略,编辑现有的FDC控制策略,删除现有的FDC控制策略,以及测试FDC控制策略。例如,下拉列表可用于选择一系列行动。
图8说明了根据本发明示范性实施例的FDC控制计划编辑屏幕800的示范性视图。为创建FDC控制计划,用户可以选择计划名称项目以及选择新的控制计划或现有计划或模型。例如,下拉式菜单可出现在FDC控制策略屏幕上并且可选择添加计划选择。
FDC控制计划屏幕可以包含多个字段。计划名称字段802可用于输入/编辑FDC控制计划的名称。模块字段806可用于输入/编辑模块名称。例如,如果计划与策略关联,那么模块字段可被自动地填入。如果计划是无关联的,那么模块字段可用于选择处理模块或测量模块。配方字段808可用于输入/编辑配方。例如,如果计划与策略关联,那么配方字段可被自动地填入。如果计划是无关联的,那么该字段可用于为处理模块选择处理配方,以及为测量模块选择测量配方。
描述字段804可用于输入/编辑计划的描述。更新字段810显示计划上次被改变的时间。
数据源表格812可用于输入/编辑数据源。例如,FDC计划数据源屏幕可以被打开。数据源表格可以包含源类型、数据源描述以及数据源参数/值。例如,所选择的源类型确定显示在数据源屏幕上的选项;″Telius ODP″类型可用于定义集成度量模块数据源,所述集成度量模块数据源是处理工具的一部分;″所需输出″类型允许用户为控制器输入固定单位;″反馈偏移″类型允许用户定义不变的反馈变量;″控制计划值″允许用户创建引用不同控制计划结果的变量(创建嵌套计划);当每个数据源被选择时,″集成度量位置过滤″类型创建具有每个选项的描述的表格;以及″ContextItem″类型允许用户创建引用环境项目的变量,例如Slot_Id、Wafer_Id或晶片号。
符号可从符号下拉列表中选择出来,而源类型可从数据源类型下拉式菜单中选择出来。例如,数据源信息字段可根据所选择的数据源而变化。
两输入数据源(d1、d2)被示出,但是这不是必需的。可以使用不同数目的数据输入源,并且每个数据输入源可以具有不同的符号值。数据源可以是控制计划值,例如所需的处理结果或校准的日期项目。另外,数据源可以是ODP工具,并且它可以是处理工具的一部分,例如Telius。此外,另一个数据源可以是SEM,并且参数/值可以是实际的测量数据,例如CD-SEM数据。
通常,处理过程控制可以包含在晶片到达处理模块之前使用在晶片上测量的度量信息来更新处理模块。控制器可以使用预处理数据以确定对各种物理模块所需的访问量。所需的处理结果可以是模型方程中的″y″值。任务是确定何时所需的处理结果″y″是正确值。
在目标计算字段814中,在FDC控制计划屏幕上,可输入目标计算。例如,目标计算可被设置等于数据源项目。替代性地,可输入一等式,其使一个数据集与另一个数据集相关联。另外,目标计算可包含额外的补偿项。例如,额外的补偿系数可用于校正在另一个步骤(例如,光致抗蚀剂步骤)中引入的误差。新的目标值可以是在运行时或运行前计算的变量,并且等式可用于计算目标值。
另外,下限值和上限值可被使用,并且这些值可被输入下限字段和上限字段。例如,新的下限值和上限值可以是运行时或运行前计算的常数或变量,并且等式可用于计算该新的下限值和上限值。
模型选择字段816可用于编辑/输入静态模型和/或公式模型。例如,在模型类型选择项目下,表格中的选择项目可用于输入和/或编辑模型类型。下拉列表可从表格项目激活,并且可从下拉列表中进行选择。下拉列表中的一个选项允许创建新的模型。其他选项可用于显示和选择将要使用或修改的现有模型。每个模型类型可以具有与其关联的模块名、目标值、下限、上限和配方输出。当创建新的模型时,新模型类型可被使用并被输入模型类型字段,并且新模型名称可被使用并被输入模型名称字段。
预测结果计算字段820可用于输入新的预测结果值或选择现有的预测结果值。预测结果值可以是预期结果的方程。例如,当输入名称、目标计算和模型选择信息时可保存控制计划。
#字段818包括模型列表中的多个模型。模型类型允许选择静态或者公式模型。模型名称字段列出可用模型的名称。例如,为创建新的模型,可从下拉列表中选择″新静态配方″选项或″新公式配方″选项。可以创建包括一个或多个静态配方的静态控制计划。例如,可以显示十个或十个以上静态模型。可以显示具有相同目标值(t1)的静态模型,但是这不是必需的。可以使用不同数目的静态和/或公式模型,并且每个模型可以具有不同的目标值。当每个静态配方被使用时,新的目标值可被计算出来。静态配方模型可以具有不同的工作范围,如下限值和上限值所限定的。另外,静态配方模型可具有不同的静态配方输出,并且可为每个静态配方确定不同的静态配方输出。
FDC控制计划可以包含静态模型配方,或公式模型配方,或它们的组合。控制器可以自动产生模块的控制计划。处理配方可以包含一个或多个处理过程,其中每个处理过程均包括一个或多个处理步骤。处理配方可在单个室或多个室中执行。可使用标称的配方、静态配方和公式模型中的至少一个来配置处理配方。
静态配方可以是用于获得具体处理结果的单个配方调整集。静态配方集合可用于设立基于表格的控制器,或者静态配方可与公式模型一起使用以处理应该使用相同配方之处的所需的输出范围。当使用具有静态配方的反馈时,可在控制计划中为每个所使用的静态配方指定单个预测处理结果。
在此所提供的软件和关联的GUI屏幕在多语言中也是可用的。GUI屏幕布局已被设计成能辅助全球工具安装。许多国家的用户将发现基于环境的数据管理软件是很容易使用和了解的。当系统被安装或系统配置被改变时,软件为用户创建所有所需的数据库和文件。基于环境的数据管理GUI屏幕提供了系统和各级别终端用户之间交互的装置。
在安装时及后来在配置改变时该软件设置机器类型和硬件配置。例如,对于语言和视图的用户偏好,可创建用户分布图(profile),从而提供例如以下特征:仅触摸屏,支持键盘和鼠标,多种语言-日语、英语、法语德语等,用户类-系统,分类级别,PE,EE,操作员,色盲-灰度色标/图案或颜色,左到右,上到下工作流程,图标,图画,或文字,以及静态标签。
虽然在上文仅仅详细描述了本发明的某些实施例,本领域技术人员将会意识到可以对上述实施例进行许多修改而不会在本质上偏离本发明的新颖的教导和优势。因此,所有这样的修改都旨在包含在本发明的范围内。

Claims (37)

1.一种用于在包含运行到运行R2R控制器的先进处理控制APC系统中实现故障检测与分类FDC的方法,所述方法包括:
从存储器接收FDC模型,所述FDC模型以正常的工具级参数为基础;
接收处理室状态数据和晶片状态数据;
基于R2R控制模型从所述R2R控制器接收包括一组配方参数的处理配方,所述R2R控制模型不同于所述FDC模型;
将所述处理配方提供给处理模块;
将所述FDC模型、所述处理室状态数据、所述晶片状态数据以及所述处理配方提供给处理模型计算引擎;
基于所提供的所述FDC模型、所述处理室状态数据、以及所述晶片状态数据,使用所述处理模型计算引擎计算预测的依赖处理参数矢量;
仅使用一个批次的多个晶片中的第一晶片来执行所述处理配方,以产生测量的依赖处理参数的第一矢量;
使用所述预测的依赖处理参数矢量和所述第一晶片的所述测量的依赖处理参数的第一矢量,计算所述第一晶片的第一差;
将所述第一晶片的第一差和阈值进行比较;
当所述第一晶片的第一差大于所述阈值时创建警报;以及
将警报信息显示在GUI屏幕上。
2.根据权利要求1所述的方法,其中统计处理控制SPC技术用于宣布所述警报。
3.根据权利要求1所述的方法,其中所述FDC模型将所述处理室状态数据,或引入的晶片状态,或它们的组合用作计算所述预测的依赖处理参数的独立处理参数。
4.根据权利要求1所述的方法,进一步包括:
创建R2R控制模型,该R2R控制模型使用自动实验设计DOE方法将处理参数输入与所需的处理结果相联系;以及 
创建所述FDC模型,该FDC模型使用自动DOE方法将所述预测的依赖处理参数与独立的处理参数相联系。
5.根据权利要求4所述的方法,其中所述R2R控制模型使用部分最小二乘方PLS分析技术,或主成分分析PCA分析技术,或者它们的组合。
6.根据权利要求1所述的方法,其中所述APC系统包含集成度量模块IMM,所述集成度量模块用于确定在处理所述第一晶片之前的晶片状态以及在处理所述第一晶片之后的新晶片状态。
7.根据权利要求1所述的方法,其中所述R2R控制器包括前馈部分,或反馈部分,或它们的组合,其用于调整所述R2R控制器以保持所需的测量的依赖处理参数矢量。
8.根据权利要求1所述的方法,其中所述R2R控制器包括反馈部分,指数加权流动平均数EWMA过滤技术被用于计算反馈偏移。
9.根据权利要求3所述的方法,其中所述APC系统包含多个传感器并且所述处理室状态数据可从所述传感器中的至少一个获得,其中所述多个传感器包含光学发射光谱OES传感器、电压/电流V/I探测器、温度传感器、压力传感器、流量传感器、或RF传感器、等离子体密度传感器、根密度传感器、电子能量传感器、离子能量传感器、残余气体分析仪RGA或它们的组合。
10.根据权利要求3所述的方法,其中所述APC系统包含多个传感器并且由测量产生的所述测量的相关处理参数可从所述传感器中的至少一个获得,其中所述多个传感器包含光学发射光谱OES传感器、电压/电流V/I探测器、温度传感器、压力传感器、流量传感器、或RF传感器、等离子体密度传感器、根密度传感器、电子能量传感器、离子能量传感器、残余气体分析仪RGA或它们的组合。
11.根据权利要求3所述的方法,其中所述FDC模型使用PLS分析技术,或PCA分析技术,或马田系统,或它们的组合。
12.根据权利要求1所述的方法,进一步包括:
为所述警报建立严重性级别;
当所述警报的所述严重性级别大于或等于严重性限度时宣布故障状 态,其中为故障状态建立故障级别;以及
当所述警报的所述严重性级别小于所述严重性限度时等待另一个警报。
13.根据权利要求12所述的方法,进一步包括:
当宣布故障状态时,发送干预消息至所述处理模块;
当接收到所述干预消息并且所述故障级别小于故障限度时,暂停所述处理模块;以及
当接收到所述干预消息并且所述故障级别大于或等于所述故障限度时,停止所述处理模块。
14.根据权利要求12所述的方法,进一步包括:
接收附加警报;
为所述附加警报建立严重性级别;
使用所述警报的所述严重性级别和所述附加警报的所述严重性级别建立总体严重性级别;
当所述总体严重性级别大于或等于所述严重性限度时,宣布附加故障状态;以及
当所述总体严重性级别小于所述严重性限度时,等待另一个警报。
15.根据权利要求14所述的方法,进一步包括:
当宣布故障状态时,发送干预消息至所述处理模块;
当接收到所述干预消息并且所述故障级别小于故障限度时,暂停所述处理模块;以及
当接收到所述干预消息并且所述故障级别大于或等于所述故障限度时,停止所述处理模块。
16.根据权利要求1所述的方法,进一步包括:
确定所述警报是否在故障管理系统中的前馈单元中或在所述故障管理系统中的反馈单元中;
当所述警报在所述前馈单元中时,建立第一严重性级别;
当所述警报在所述反馈单元中时,建立第二严重性级别;
当所述第一严重性级别,或所述第二严重性级别,或其组合大于或等 于严重性限度时宣布故障状态,其中为所述故障状态建立故障级别;以及
当所述第一严重性级别,或所述第二严重性级别,或其组合小于所述严重性限度时,等待另一个警报。
17.根据权利要求16所述的方法,进一步包括:
当宣布故障状态时,发送干预消息至所述处理模块;
当接收到所述干预消息并且所述故障级别小于故障限度时,暂停所述处理模块;以及
当接收到所述干预消息并且所述故障级别大于或等于所述故障限度时,停止所述处理模块。
18.根据权利要求16所述的方法,进一步包括:
存储警报状态的数据。
19.一种操作半导体处理系统的方法,包括:
在处理模块中定位一个批次的多个晶片中的第一晶片;
在处理器接收所述第一晶片的处理环境信息;
使用所述处理环境信息在所述处理器上执行控制策略;
使用所述第一晶片的处理环境信息在所述处理器上执行分析策略;
使用运行到运行R2R控制模型计算所述第一晶片的第一修整量,其中计算的第一修整量包括垂直修整值、或水平修整值、或它们的组合;
使用R2R控制器计算第一组处理参数,以实现所述第一晶片的所述计算的第一修整量;
在所述处理模块中使用所述第一组处理参数执行第一处理配方,以处理所述第一晶片;
使用FDC模型计算所述第一晶片的预测的修整量;
确定所述第一晶片的实际的修整量;
将用于所述第一晶片的所述实际的修整量和用于所述第一晶片的所述计算的第一修整量进行比较;
当所述第一晶片的所述实际的修整量显著大于所述第一晶片的所述计算的第一修整量时,创建R2R警报;
将用于所述第一晶片的所述实际的修整量和用于所述第一晶片的所述 预测的修整量进行比较;
当所述实际的修整量显著大于用于所述第一晶片的所述预测的修整量时,创建FDC警报;
当所述第一晶片的所述R2R或FDC警报已由至少一个执行的策略建立时,在所述处理器上执行干预计划;以及
当所述R2R或FDC警报未被至少一个执行的计划建立时,从所述处理模块移走所述第一晶片,其中FDC模型不同于所述R2R控制模型。
20.根据权利要求19所述的方法,进一步包括:
当所述第一晶片的所述R2R警报产生时,为所述R2R警报建立第一严重性级别;
当所述第一晶片的所述FDC警报产生时,为所述FDC警报建立第一严重性级别;
使用所述R2R警报的所述第一严重性级别和所述FDC警报的所述第一严重性级别建立总体严重性级别;
当所述总体严重性级别大于或等于严重性限度时宣布故障状态;以及
当所述总体严重性级别小于所述严重性限度时,等待来自另一个晶片的另一个警报。
21.根据权利要求20所述的方法,进一步包括:
当宣布所述故障状态时,发送干预消息至所述处理模块;
当接收到所述干预消息并且故障级别小于故障限度时,暂停所述处理模块;以及
当接收到所述干预消息并且所述故障级别大于或等于所述故障限度时,停止所述处理模块。
22.根据权利要求19所述的方法,进一步包括:
当所述第一晶片的所述实际的修整量显著地小于所述第一晶片的所述计算的第一修整量时,更新所述R2R模型;以及
当所述第一晶片的所述实际的修整量显著地小于所述第一晶片的所述预测的修整量时,更新所述FDC模型。
23.根据权利要求19所述的方法,进一步包括: 
获得晶片状态数据,其中所述晶片状态数据包含使用集成度量模块IMM收集的所述第一晶片上的多个测试结构的测量的临界尺寸CD数据,所述集成度量模块包括光学数字轮廓测定ODP系统;
获得所述第一晶片上的所述多个测试结构的参考数据,其中所述参考数据是使用CDSEM获得的;
将所述测量的CD数据和所述参考数据进行比较;以及
当所述参考数据和所述测量的CD数据之间的差大于阈值时,宣布第一警报。
24.根据权利要求23所述的方法,其中所述测量的CD数据是通过测量所述第一晶片上的多个栅格图案而获得的。
25.根据权利要求19所述的方法,进一步包括:
获得所述第一晶片上的多个嵌套结构的测量数据,其中所述测量数据是使用ODP获得的;
获得所述第一晶片上的所述多个嵌套结构的参考数据,其中所述参考数据是使用CDSEM获得的;以及
使用所述多个嵌套结构的所述测量数据和所述多个嵌套结构的所述参考数据之间的差来确定所述计算的第一修整量。
26.根据权利要求19所述的方法,进一步包括:
获得所述第一晶片上的多个孤立结构的测量数据,其中所述测量数据是使用ODP获得的;
获得所述第一晶片上的所述多个孤立结构的参考数据,其中所述参考数据是使用CDSEM获得的;以及
使用所述多个孤立结构的所述测量数据和所述多个孤立结构的所述参考数据之间的差来确定所述计算的第一修整量。
27.根据权利要求19所述的方法,进一步包括:
获得预处理度量数据;
过滤所述预处理度量数据;以及
当多个数据异常值超过阈值时,宣布错误。
28.根据权利要求19所述的方法,进一步包括: 
获得后处理度量数据;
过滤所述后处理度量数据;以及
当多个数据异常值超过阈值时,宣布错误。
29.一种用于在包含运行到运行R2R控制器的先进处理控制APC系统中实现故障检测与分类FDC的系统,包括:
用于从存储器接收FDC模型的装置,所述FDC模型以正常的工具级参数为基础,
用于接收处理室状态数据和晶片状态数据的装置,
用于基于R2R控制模型从所述R2R控制器接收包括一组配方参数的处理配方的装置,所述R2R控制模型不同于所述FDC模型,
用于将所述处理配方提供给处理模块的装置;
用于将所述FDC模型、所述处理室状态数据、所述晶片状态数据以及所述处理配方提供给处理模型计算引擎的装置;
用于基于所提供的所述FDC模型、所述处理室状态数据、以及所述晶片状态数据,使用所述处理模型计算引擎计算预测的依赖处理参数矢量的装置,
用于仅使用一个批次的多个晶片中的第一晶片执行所述处理配方,以产生测量的依赖处理参数的第一矢量的装置,
用于使用所述预测的依赖处理参数矢量和所述第一晶片的所述测量的依赖处理参数的第一矢量,计算所述第一晶片的第一差的装置,
用于将所述第一晶片的所述第一差和阈值进行比较的装置,
用于当所述第一晶片的所述第一差大于所述阈值时创建警报的装置,以及
用于将警报信息显示在GUI屏幕上的装置。
30.根据权利要求29所述的系统,其中所述系统进一步包括:
用于创建R2R控制模型的装置,该R2R控制模型使用自动实验设计DOE方法将处理参数输入与所需的处理结果相联系;以及
用于创建所述FDC模型的装置,该FDC模型使用自动DOE方法将所述预测的依赖处理参数与独立的处理参数相联系。 
31.根据权利要求29所述的系统,其中所述系统进一步包括:
用于为所述警报建立严重性级别的装置;
用于当所述警报的严重性级别大于或等于严重性限度时宣布故障状态,并且为故障状态建立故障级别的装置;以及
用于当所述警报的所述严重性级别小于所述严重性限度时,等待另一个警报的装置。
32.根据权利要求31所述的系统,其中所述系统进一步包括:
用于当故障状态被宣布时,发送干预消息至所述处理模块的装置;
用于当所述干预消息被接收并且所述故障级别小于故障限度时,暂停所述处理模块的装置;以及
用于当所述干预消息被接收并且所述故障级别大于或等于所述故障限度时,停止所述处理模块的装置。
33.根据权利要求31所述的系统,其中所述系统进一步包括:
用于接收附加警报的装置;
用于为所述附加警报建立严重性级别的装置;
用于使用所述警报的所述严重性级别和所述附加警报的所述严重性级别建立总体严重性级别的装置;
用于当所述总体严重性级别大于或等于所述严重性限度时,宣布附加故障状态的装置;以及
用于当所述总体严重性级别小于所述严重性限度时,等待另一个警报的装置。
34.根据权利要求33所述的系统,其中所述系统进一步包括:
用于当所述故障状态被宣布时,发送干预消息至所述处理模块的装置;
用于当所述干预消息被接收并且所述故障级别小于故障限度时,暂停所述处理模块的装置;以及
用于当所述干预消息被接收并且所述故障级别大于或等于所述故障限度时,停止所述处理模块的装置。
35.根据权利要求29所述的系统,其中所述系统进一步包括: 
用于确定所述警报是否在故障管理系统中的前馈单元或所述故障管理系统中的反馈单元中的装置;
用于当所述警报在所述前馈单元中时,建立第一严重性级别的装置;
用于当所述警报在所述反馈单元中时,建立第二严重性级别的装置;
用于当所述第一严重性级别,或所述第二严重性级别,或其组合大于或等于严重性限度时宣布故障状态的装置,其中为所述故障状态建立故障级别;以及
用于当所述第一严重性级别,或所述第二严重性级别,或其组合小于所述严重性限度时,等待另一个警报的装置。
36.根据权利要求35所述的系统,其中所述系统进一步包括:
用于当所述故障状态被宣布时,发送干预消息至处理模块的装置;
用于当所述干预消息被接收并且所述故障级别小于故障限度时,暂停所述处理模块的装置;以及
用于当所述干预消息被接收并且所述故障级别大于或等于所述故障限度时,停止所述处理模块的装置。
37.根据权利要求35所述的系统,其中所述系统进一步包括:
用于存储警报状态的数据的装置。 
CN2005800481123A 2005-02-16 2005-12-15 使用运行到运行控制器的故障检测与分类(fdc) Active CN101258499B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/058,321 US7477960B2 (en) 2005-02-16 2005-02-16 Fault detection and classification (FDC) using a run-to-run controller
US11/058,321 2005-02-16
PCT/US2005/045546 WO2006088545A2 (en) 2005-02-16 2005-12-15 Fault detection and classification (fdc) using a run-to-run controller

Publications (2)

Publication Number Publication Date
CN101258499A CN101258499A (zh) 2008-09-03
CN101258499B true CN101258499B (zh) 2011-09-28

Family

ID=36816691

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800481123A Active CN101258499B (zh) 2005-02-16 2005-12-15 使用运行到运行控制器的故障检测与分类(fdc)

Country Status (5)

Country Link
US (1) US7477960B2 (zh)
JP (1) JP5020101B2 (zh)
KR (1) KR101108856B1 (zh)
CN (1) CN101258499B (zh)
WO (1) WO2006088545A2 (zh)

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8172546B2 (en) 1998-11-23 2012-05-08 Entegris, Inc. System and method for correcting for pressure variations using a motor
US7389492B2 (en) * 2001-03-12 2008-06-17 International Business Machines Corporation Framework for code generators
US7799273B2 (en) 2004-05-06 2010-09-21 Smp Logic Systems Llc Manufacturing execution system for validation, quality and risk assessment and monitoring of pharmaceutical manufacturing processes
US7444197B2 (en) 2004-05-06 2008-10-28 Smp Logic Systems Llc Methods, systems, and software program for validation and monitoring of pharmaceutical manufacturing processes
KR100639676B1 (ko) * 2004-09-21 2006-10-30 삼성전자주식회사 반도체 제조용 포토리소그라피 설비 제어시스템 및 그제어방법
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
WO2006057957A2 (en) 2004-11-23 2006-06-01 Entegris, Inc. System and method for a variable home position dispense system
US7676295B2 (en) * 2005-02-18 2010-03-09 Lam Research Corporation Processing information management in a plasma processing tool
DE102005014796B3 (de) * 2005-03-31 2006-11-02 Advanced Micro Devices, Inc., Sunnyvale Modell für eine fortschrittliche Prozesssteuerung, das eine Solloffsetgröße umfasst
TWI298431B (en) * 2005-06-02 2008-07-01 Powerchip Semiconductor Corp A method for adjusting tool setting, a manufacture control system, and a semiconductor manufacturing system
US7289867B1 (en) * 2005-06-08 2007-10-30 Advanced Micro Devices, Inc. Automated integrated circuit device manufacturing facility using distributed control
US7596421B2 (en) * 2005-06-21 2009-09-29 Kabushik Kaisha Toshiba Process control system, process control method, and method of manufacturing electronic apparatus
DE102005030586A1 (de) * 2005-06-30 2007-01-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung der Messunsicherheit als Steuerungseingang
US7277824B1 (en) * 2005-07-13 2007-10-02 Advanced Micro Devices, Inc. Method and apparatus for classifying faults based on wafer state data and sensor tool trace data
DE102005046972A1 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung einer Kombination aus gewichteten relativen Voreinstellungswerten
CN101583796B (zh) 2005-11-21 2012-07-04 恩特格里公司 多级泵及形成多级泵的方法
US8753097B2 (en) 2005-11-21 2014-06-17 Entegris, Inc. Method and system for high viscosity pump
KR101243509B1 (ko) 2005-12-02 2013-03-20 엔테그리스, 아이엔씨. 펌프에서의 압력 보상을 위한 시스템 및 방법
US8083498B2 (en) 2005-12-02 2011-12-27 Entegris, Inc. System and method for position control of a mechanical piston in a pump
US7878765B2 (en) 2005-12-02 2011-02-01 Entegris, Inc. System and method for monitoring operation of a pump
US7206721B1 (en) * 2005-12-12 2007-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and systems of offline measurement for process tool monitoring
US7672749B1 (en) * 2005-12-16 2010-03-02 GlobalFoundries, Inc. Method and apparatus for hierarchical process control
US7584083B1 (en) * 2005-12-30 2009-09-01 At&T Corp. Modeling and simulation of workcenter processes
US8600539B2 (en) * 2006-01-27 2013-12-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus
TWI402423B (zh) 2006-02-28 2013-07-21 Entegris Inc 用於一幫浦操作之系統及方法
JP4874678B2 (ja) * 2006-03-07 2012-02-15 株式会社東芝 半導体製造装置の制御方法、および半導体製造装置の制御システム
US8070972B2 (en) * 2006-03-30 2011-12-06 Tokyo Electron Limited Etching method and etching apparatus
JP5177958B2 (ja) * 2006-03-31 2013-04-10 Hoya株式会社 処理データ管理システム、磁気ディスク製造装置用の処理システム、および、磁気ディスク製造装置のデータ管理方法
JP4746471B2 (ja) * 2006-04-21 2011-08-10 シスメックス株式会社 精度管理システム、精度管理サーバ及びコンピュータプログラム
US7596718B2 (en) * 2006-05-07 2009-09-29 Applied Materials, Inc. Ranged fault signatures for fault diagnosis
US7587296B2 (en) * 2006-05-07 2009-09-08 Applied Materials, Inc. Adaptive multivariate fault detection
JP4697879B2 (ja) * 2006-05-09 2011-06-08 東京エレクトロン株式会社 サーバ装置、およびプログラム
TWI315054B (en) * 2006-05-10 2009-09-21 Nat Cheng Kung Universit Method for evaluating reliance level of a virtual metrology system in product manufacturing
US7398172B2 (en) * 2006-05-31 2008-07-08 International Business Machines Corporation Method and system of providing a dynamic sampling plan for integrated metrology
US20080010531A1 (en) * 2006-06-12 2008-01-10 Mks Instruments, Inc. Classifying faults associated with a manufacturing process
US7313450B1 (en) * 2006-06-14 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Automatic methods and systems for manufacturing recipe feedback control
US8055370B1 (en) * 2006-06-23 2011-11-08 Novellus Systems, Inc. Apparatus and methods for monitoring health of semiconductor process systems
US7352439B2 (en) * 2006-08-02 2008-04-01 Asml Netherlands B.V. Lithography system, control system and device manufacturing method
US7542820B2 (en) * 2006-09-28 2009-06-02 Lam Research Corporation Methods and arrangement for creating recipes using best-known methods
JP5224744B2 (ja) * 2006-10-04 2013-07-03 株式会社日立国際電気 基板処理装置
US7738986B2 (en) * 2006-10-09 2010-06-15 GlobalFoundries, Inc. Method and apparatus for compensating metrology data for site bias prior to filtering
US20080147228A1 (en) * 2006-12-19 2008-06-19 Fenner Joel S Automated dynamic recipe generation and selection for semiconductor manufacturing
JP2008250594A (ja) * 2007-03-30 2008-10-16 Hitachi Ltd 装置診断方法および装置診断用モジュールならびに装置診断用モジュールを実装した装置
DE102007016922A1 (de) * 2007-04-05 2008-10-09 Vistec Semiconductor Systems Gmbh Verfahren zur Detektion von Defekten auf der Rückseite eines Halbleiterwafers
US7966526B2 (en) * 2007-04-10 2011-06-21 Galileo Tools Gmbh Software event recording and analysis system and method of use thereof
US8271103B2 (en) 2007-05-02 2012-09-18 Mks Instruments, Inc. Automated model building and model updating
US8145337B2 (en) * 2007-05-04 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology to enable wafer result prediction of semiconductor wafer batch processing equipment
US8010321B2 (en) * 2007-05-04 2011-08-30 Applied Materials, Inc. Metrics independent and recipe independent fault classes
US8682466B2 (en) * 2007-05-04 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic virtual metrology for semiconductor wafer result prediction
US7765020B2 (en) * 2007-05-04 2010-07-27 Applied Materials, Inc. Graphical user interface for presenting multivariate fault contributions
GB0709420D0 (en) * 2007-05-17 2007-06-27 Rolls Royce Plc Machining process monitor
TWI338916B (en) * 2007-06-08 2011-03-11 Univ Nat Cheng Kung Dual-phase virtual metrology method
US7793162B2 (en) 2007-08-16 2010-09-07 International Business Machines Corporation Method for reporting the status and drill-down of a control application in an automated manufacturing environment
US7805639B2 (en) 2007-08-16 2010-09-28 International Business Machines Corporation Tool to report the status and drill-down of an application in an automated manufacturing environment
US7835814B2 (en) * 2007-08-16 2010-11-16 International Business Machines Corporation Tool for reporting the status and drill-down of a control application in an automated manufacturing environment
US8812261B2 (en) * 2007-08-23 2014-08-19 Applied Materials, Inc. Method and apparatus to automatically create virtual sensors with templates
US7710137B2 (en) * 2007-09-04 2010-05-04 Globalfoundries Inc. Method and apparatus for relative testing of integrated circuit devices
US8150541B2 (en) 2007-11-13 2012-04-03 Fisher-Rosemount Systems, Inc. Methods and apparatus to modify a recipe process flow associated with a process control system during recipe execution
US8825189B2 (en) * 2007-11-13 2014-09-02 Fisher Rosemount Systems, Inc. Methods and apparatus to execute an auxiliary recipe and a batch recipe associated with a process control system
US8555206B2 (en) * 2007-12-21 2013-10-08 Fisher-Rosemount Systems, Inc. Methods and apparatus to present recipe progress status information
CN101939713B (zh) * 2008-02-05 2013-05-22 应用材料公司 运作电子装置制造系统的方法与设备
KR101581673B1 (ko) * 2008-02-05 2015-12-31 어플라이드 머티어리얼스, 인코포레이티드 제조 프로세스들로부터의 가연성 폐기물 가스들을 처리하기 위한 시스템 및 방법
US20090282296A1 (en) * 2008-05-08 2009-11-12 Applied Materials, Inc. Multivariate fault detection improvement for electronic device manufacturing
TW200951752A (en) * 2008-06-06 2009-12-16 Inotera Memories Inc A method for prognostic maintenance in semiconductor manufacturing
TWI368962B (en) * 2008-07-04 2012-07-21 Inotera Memories Inc Method of fault detection and classification for wafer acceptance test
TWI399660B (zh) * 2008-07-09 2013-06-21 Inotera Memories Inc 偵測半導體製程變異之方法
US8649990B2 (en) * 2008-07-09 2014-02-11 Inotera Memories, Inc. Method for detecting variance in semiconductor processes
JP2010034180A (ja) * 2008-07-28 2010-02-12 Toshiba Corp 半導体製造装置の制御方法および半導体装置の製造方法
US8494798B2 (en) * 2008-09-02 2013-07-23 Mks Instruments, Inc. Automated model building and batch model building for a manufacturing process, process monitoring, and fault detection
US8527080B2 (en) 2008-10-02 2013-09-03 Applied Materials, Inc. Method and system for managing process jobs in a semiconductor fabrication facility
US9069345B2 (en) * 2009-01-23 2015-06-30 Mks Instruments, Inc. Controlling a manufacturing process with a multivariate model
JP5200970B2 (ja) * 2009-02-04 2013-06-05 富士ゼロックス株式会社 品質管理システムおよび品質管理装置および品質管理プログラム
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US10024321B2 (en) * 2009-05-18 2018-07-17 Emerson Climate Technologies, Inc. Diagnostic system
JP5500871B2 (ja) * 2009-05-29 2014-05-21 株式会社日立ハイテクノロジーズ テンプレートマッチング用テンプレート作成方法、及びテンプレート作成装置
US8437870B2 (en) * 2009-06-05 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing a virtual metrology advanced process control platform
US9323234B2 (en) 2009-06-10 2016-04-26 Fisher-Rosemount Systems, Inc. Predicted fault analysis
US8571696B2 (en) * 2009-06-10 2013-10-29 Fisher-Rosemount Systems, Inc. Methods and apparatus to predict process quality in a process control system
US10768611B2 (en) * 2009-06-16 2020-09-08 Applied Materials, Inc. Counter and timer constraints
US8618807B2 (en) * 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
KR101799603B1 (ko) 2009-06-30 2017-11-20 램 리써치 코포레이션 플라즈마 프로세싱 시스템 내에서의 자동적인 결함 검출 및 분류와 그 방법
US8538572B2 (en) * 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
US8473089B2 (en) * 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8295966B2 (en) * 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8655472B2 (en) * 2010-01-12 2014-02-18 Ebara Corporation Scheduler, substrate processing apparatus, and method of transferring substrates in substrate processing apparatus
US9002492B2 (en) 2010-02-16 2015-04-07 Applied Materials, Inc. Methods and apparatuses for utilizing adaptive predictive algorithms and determining when to use the adaptive predictive algorithms for virtual metrology
GB2477997B (en) * 2010-02-23 2015-01-14 Artemis Intelligent Power Ltd Fluid working machine and method for operating fluid working machine
JP5454671B2 (ja) * 2010-02-26 2014-03-26 株式会社日立製作所 故障原因診断システムおよびその方法
TWI412906B (zh) * 2010-04-13 2013-10-21 Univ Nat Cheng Kung 具有虛擬量測功能的製造執行系統與製造系統
CN102254788B (zh) * 2010-05-19 2014-08-20 国立成功大学 具有虚拟测量功能的制造执行系统与制造系统
US8717181B2 (en) 2010-07-29 2014-05-06 Hill-Rom Services, Inc. Bed exit alert silence with automatic re-enable
TWI427722B (zh) * 2010-08-02 2014-02-21 Univ Nat Cheng Kung 使用具有信心指標之虛擬量測的先進製程控制系統與方法及其電腦程式產品
US8527081B2 (en) * 2010-08-31 2013-09-03 Applied Materials, Inc. Method and apparatus for automated validation of semiconductor process recipes
US8489218B2 (en) * 2010-10-15 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber match using important variables filtered by dynamic multivariate analysis
US8855804B2 (en) 2010-11-16 2014-10-07 Mks Instruments, Inc. Controlling a discrete-type manufacturing process with a multivariate model
US8501499B2 (en) * 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
TWI447828B (zh) * 2011-06-22 2014-08-01 Inotera Memories Inc 製程原始資料的壓縮方法及壓縮系統
US8769345B2 (en) * 2011-07-29 2014-07-01 General Electric Company Computing device and methods of presenting data to identify faults within power systems
US9110452B2 (en) * 2011-09-19 2015-08-18 Fisher-Rosemount Systems, Inc. Inferential process modeling, quality prediction and fault detection using multi-stage data segregation
SE544803C2 (sv) * 2011-10-11 2022-11-22 Sandvik Mining & Construction Oy Förfarande, system och anordning för en arbetsmaskin
US9594367B2 (en) * 2011-10-31 2017-03-14 Rockwell Automation Technologies, Inc. Systems and methods for process control including process-initiated workflow
US9915940B2 (en) * 2011-10-31 2018-03-13 Applied Materials, Llc Bi-directional association and graphical acquisition of time-based equipment sensor data and material-based metrology statistical process control data
US9141914B2 (en) * 2011-10-31 2015-09-22 Hewlett-Packard Development Company, L.P. System and method for ranking anomalies
JP5779482B2 (ja) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
CN102592399B (zh) * 2012-03-09 2013-11-06 中铁大桥局集团武汉桥梁科学研究院有限公司 基于gsm短信和电子邮件的桥梁结构健康监测预警方法
US9541471B2 (en) 2012-04-06 2017-01-10 Mks Instruments, Inc. Multivariate prediction of a batch manufacturing process
US9429939B2 (en) 2012-04-06 2016-08-30 Mks Instruments, Inc. Multivariate monitoring of a batch manufacturing process
US9378197B1 (en) * 2012-08-16 2016-06-28 Gmg Holdings, Llc Statistical analysis method for automatically selecting a statistical analysis algorithm based on data values and input parameters
US20140278165A1 (en) * 2013-03-14 2014-09-18 Johnson Controls Technology Company Systems and methods for analyzing energy consumption model data
US20150012255A1 (en) * 2013-07-03 2015-01-08 International Business Machines Corporation Clustering based continuous performance prediction and monitoring for semiconductor manufacturing processes using nonparametric bayesian models
KR102026069B1 (ko) 2013-08-05 2019-09-30 삼성전자 주식회사 반도체 설비의 센서 데이터 분할 시스템 및 그 방법
US9910430B2 (en) 2013-08-23 2018-03-06 Applied Materials, Inc. K-nearest neighbor-based method and system to provide multi-variate analysis on tool process data
KR102124111B1 (ko) * 2013-10-02 2020-06-18 에이에스엠엘 네델란즈 비.브이. 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치
US20150120248A1 (en) * 2013-10-30 2015-04-30 General Electric Company System and method for diagnosing machine faults
EP2871548B1 (en) 2013-11-06 2017-07-19 Siemens Aktiengesellschaft Method of producing a pharmaceutical product using a MES
CN104901982B (zh) * 2014-03-05 2018-07-10 中芯国际集成电路制造(天津)有限公司 一种在线数据监控系统及监控方法
EP3114538B1 (en) * 2014-03-06 2019-10-16 ABB Schweiz AG Optimized method for sorting alarms
TWI641934B (zh) * 2014-08-05 2018-11-21 聯華電子股份有限公司 虛擬量測系統與方法
CN107111311B (zh) * 2014-09-10 2020-01-14 西门子能源公司 利用稀疏编码方法的燃气涡轮机传感器故障检测
KR102195070B1 (ko) * 2014-10-10 2020-12-24 삼성에스디에스 주식회사 시계열 데이터의 분석을 통한 이상 감지 및 예측 시스템 및 방법
JP6383647B2 (ja) * 2014-11-19 2018-08-29 東京エレクトロン株式会社 測定システムおよび測定方法
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
US20160342147A1 (en) * 2015-05-19 2016-11-24 Applied Materials, Inc. Methods and systems for applying run-to-run control and virtual metrology to reduce equipment recovery time
CN105041702B (zh) * 2015-08-14 2017-03-01 北京中科科仪股份有限公司 一种磁悬浮分子泵控制方法及系统
CN105137324B (zh) * 2015-08-18 2017-12-05 电子科技大学 一种基于仿真分类模型的多探测点故障元器件定位方法
US9785919B2 (en) * 2015-12-10 2017-10-10 General Electric Company Automatic classification of aircraft component distress
US10401823B2 (en) 2016-02-04 2019-09-03 Makino Inc. Real time machining process monitoring utilizing preprocess simulation
US10037238B2 (en) * 2016-02-10 2018-07-31 Dell Products, L.P. System and method for encoding exception conditions included at a remediation database
CN105742144A (zh) * 2016-02-26 2016-07-06 镇江乐华电子科技有限公司 一种监控透射电子显微镜的预警系统
US11054815B2 (en) * 2016-03-11 2021-07-06 Applied Materials, Inc. Apparatus for cost-effective conversion of unsupervised fault detection (FD) system to supervised FD system
CN112652557A (zh) 2016-03-29 2021-04-13 株式会社国际电气 处理装置、装置管理控制器、计算机可读的记录介质、半导体器件的制造方法以及显示方法
JP6645993B2 (ja) 2016-03-29 2020-02-14 株式会社Kokusai Electric 処理装置、装置管理コントローラ、及びプログラム並びに半導体装置の製造方法
CN107305365B (zh) * 2016-04-21 2020-07-17 北京北方华创微电子装备有限公司 一种基于rga的工艺过程控制方法及工艺过程控制系统
CN106197522B (zh) * 2016-06-29 2018-11-20 成都金本华电子有限公司 一种基于双数据通路的装备故障诊断方法
WO2018009733A1 (en) * 2016-07-07 2018-01-11 Aspen Technology, Inc. Computer system and method for monitoring key performance indicators (kpis) online using time series pattern model
US10495334B2 (en) 2016-09-28 2019-12-03 Johnson Controls Techology Company Systems and methods for steady state detection
US20180087790A1 (en) * 2016-09-28 2018-03-29 Johnson Controls Technology Company Systems and methods for automatically creating and using adaptive pca models to control building equipment
CN107943809B (zh) * 2016-10-13 2022-02-01 阿里巴巴集团控股有限公司 数据质量监控方法、装置及大数据计算平台
KR20180076592A (ko) 2016-12-28 2018-07-06 삼성전자주식회사 반도체 장치의 계측 방법
US10606253B2 (en) * 2017-02-08 2020-03-31 United Microelectronics Corp. Method of monitoring processing system for processing substrate
JP6795093B2 (ja) * 2017-06-02 2020-12-02 富士通株式会社 判定装置、判定方法及び判定プログラム
US10768612B2 (en) * 2017-06-02 2020-09-08 Applied Materials, Inc. Method for back end planning and scheduling
CN107341520B (zh) * 2017-07-10 2019-10-01 美的集团股份有限公司 冰箱故障的判断方法、服务器和计算机可读存储介质
KR102389689B1 (ko) * 2017-09-04 2022-04-22 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 기판 처리 장치의 이상 감시 방법, 및 기록 매체에 저장된 프로그램
EP3696693A4 (en) * 2017-10-10 2021-05-19 Siemens Aktiengesellschaft METHOD AND DEVICE FOR MONITORING THE CONDITION OF A DEVICE IN THE PROCESS INDUSTRY AND MEDIUM
US11610273B2 (en) 2018-04-26 2023-03-21 InfinityQS International, Inc. Enterprise-wide process stream analysis and grading engine with interactive user interface method, system, and computer program product
KR20210020158A (ko) * 2018-07-12 2021-02-23 어플라이드 머티어리얼스, 인코포레이티드 제조 환경들에 대한 블록-기반 예측
KR102053931B1 (ko) * 2019-06-07 2019-12-11 주식회사 에스에스티 친환경 반도체 제조 가스처리 전력저감 시스템
CN110908990A (zh) * 2019-11-25 2020-03-24 上海华力集成电路制造有限公司 Fdc数据清洗方法
JP7365923B2 (ja) * 2020-02-12 2023-10-20 東京エレクトロン株式会社 温度制御装置、温度制御方法、および検査装置
US20220019203A1 (en) * 2020-07-16 2022-01-20 Changxin Memory Technologies, Inc. Method and device for processing semiconductor manufacturing information
US11687439B2 (en) * 2020-07-23 2023-06-27 Pdf Solutions, Inc. Automatic window generation for process trace
CN112486113B (zh) * 2020-11-20 2021-09-24 长江存储科技有限责任公司 一种机台程式控制方法及装置
CN112580997B (zh) * 2020-12-24 2021-07-27 上海赛美特软件科技有限公司 数据收集方法及系统、spc系统及计算机存储介质
CN114764550A (zh) * 2021-01-12 2022-07-19 联华电子股份有限公司 失效检测与分类模型的运作方法与运作装置
CN113003041B (zh) * 2021-03-05 2022-05-03 深圳市友和环境科技有限公司 一种智能的垃圾处理方法
US20230195071A1 (en) * 2021-12-17 2023-06-22 Applied Materials, Inc. Methods and mechanisms for generating a data collection plan for a semiconductor manufacturing system
CN116774109B (zh) * 2023-06-26 2024-01-30 国网黑龙江省电力有限公司佳木斯供电公司 基于声纹检测信息的变压器故障识别系统

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1239321A (zh) * 1998-06-13 1999-12-22 三星电子株式会社 用于半导体器件中的接触故障检测的装置和方法
US6556884B1 (en) * 2000-06-16 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for interfacing a statistical process control system with a manufacturing process control framework
CN1449577A (zh) * 2000-07-25 2003-10-15 先进微装置公司 施行最后临界尺寸控制的方法及装置
CN1186700C (zh) * 2000-09-15 2005-01-26 先进微装置公司 半导体制造中用来改进控制的自调适取样方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6725098B2 (en) * 2001-10-23 2004-04-20 Brooks Automation, Inc. Semiconductor run-to-run control system with missing and out-of-order measurement handling
US6721691B2 (en) 2002-03-26 2004-04-13 Timbre Technologies, Inc. Metrology hardware specification using a hardware simulator
US7065422B1 (en) * 2002-06-28 2006-06-20 Advanced Micro Devices, Inc. Method and apparatus for system state classification

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1239321A (zh) * 1998-06-13 1999-12-22 三星电子株式会社 用于半导体器件中的接触故障检测的装置和方法
US6556884B1 (en) * 2000-06-16 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for interfacing a statistical process control system with a manufacturing process control framework
CN1449577A (zh) * 2000-07-25 2003-10-15 先进微装置公司 施行最后临界尺寸控制的方法及装置
CN1186700C (zh) * 2000-09-15 2005-01-26 先进微装置公司 半导体制造中用来改进控制的自调适取样方法

Also Published As

Publication number Publication date
WO2006088545A3 (en) 2008-02-21
KR101108856B1 (ko) 2012-02-09
US20060184264A1 (en) 2006-08-17
JP2008530817A (ja) 2008-08-07
CN101258499A (zh) 2008-09-03
KR20070117579A (ko) 2007-12-12
US7477960B2 (en) 2009-01-13
JP5020101B2 (ja) 2012-09-05
WO2006088545A2 (en) 2006-08-24

Similar Documents

Publication Publication Date Title
CN101258499B (zh) 使用运行到运行控制器的故障检测与分类(fdc)
JP4694843B2 (ja) 半導体製作プロセスの監視とコンロトールのための装置
US7113838B2 (en) Method and apparatus for monitoring tool performance
US7636608B2 (en) Method for dynamic sensor configuration and runtime execution
KR101154658B1 (ko) 반도체 프로세싱 시스템에서 프로세싱 시스템 컨트롤러를 조작하는 방법 및 호스트 컨트롤러를 조작하는 방법
KR101032931B1 (ko) 에칭 처리를 위한 피드포워드, 피드백 웨이퍼 대 웨이퍼 제어 방법
KR101582960B1 (ko) 장비 엔지니어링 시스템을 제어하기 위한 수율 예측 피드백
KR101200657B1 (ko) 반도체 프로세싱 시스템에서 프로세싱 시스템 컨트롤러를 조작하는 방법 및 호스트 컨트롤러를 조작하는 방법
CN100367140C (zh) 使用基线控制脚本控制工具的方法和装置
EP1181651A2 (en) Semiconductor processing techniques
KR100804287B1 (ko) 복수의 프로세스 제어기를 통합하는 방법 및 장치
Bagchi et al. Data analytics and stochastic modeling in a semiconductor fab
Vijayaraghavan Fault detection and classification in etch tools
KR100970684B1 (ko) 동적 센서 구성 및 런타임 실행 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant