KR20060126933A - 유전체 막을 처리하기 위한 방법 및 시스템 - Google Patents

유전체 막을 처리하기 위한 방법 및 시스템 Download PDF

Info

Publication number
KR20060126933A
KR20060126933A KR1020067004979A KR20067004979A KR20060126933A KR 20060126933 A KR20060126933 A KR 20060126933A KR 1020067004979 A KR1020067004979 A KR 1020067004979A KR 20067004979 A KR20067004979 A KR 20067004979A KR 20060126933 A KR20060126933 A KR 20060126933A
Authority
KR
South Korea
Prior art keywords
dielectric film
exposing
processing
containing material
film
Prior art date
Application number
KR1020067004979A
Other languages
English (en)
Other versions
KR101026211B1 (ko
Inventor
도렐 이오안 토마
지안홍 쥬
가즈히로 하마모토
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20060126933A publication Critical patent/KR20060126933A/ko
Application granted granted Critical
Publication of KR101026211B1 publication Critical patent/KR101026211B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

유전체 막을 처리하기 위한 방법으로 유전체 막의 적어도 하나의 면을 CxHy 함유 물질에 노출시키는 단계를 포함하며, 여기서 x 와 y는 각각 1 이상의 정수이다. 상기 유전체 막은 건식 에칭 공정에 후속하여 그 내부에 에칭 특징부가 형성되어 있는 세공을 구비하거나 구비하지 않은 저유전율 막을 포함할 수 있다. 에칭 공정 혹은 에싱의 결과로서, 유전체 막에 형성된 특징부 내의 노출된 표면들은 손상되거나 활성화되어 불순물의 억류, 수분의 흡수, 유전율 증가 등을 초래할 수 있다. 이와 같이 손상된 표면은 예컨대, 유전율을 복원(즉, 유전율 감소)시키는 것과, 불순물, 수분 혹은 잔류물을 제거하도록 이들 표면들을 세척하는 것 중 하나 이상을 행함으로써 처리된다. 더욱이, 장벽층 준비와 막 내의 특징부의 금속화는 노출된 세공을 폐쇄하여 장벽 막 증착을 위한 표면을 제공하도록 특징부의 측벽 표면의 밀봉을 행함으로써 이루어지는 처리를 포함할 수 있다.

Description

유전체 막을 처리하기 위한 방법 및 시스템{METHOD AND SYSTEM FOR TREATING A DIELECTRIC FILM}
본 발명은 유전체 막을 처리하기 위한 방법 및 시스템에 관한 것으로, 보다 구체적으로 말하면 유전체 막의 회복(healing), 밀봉(sealing), 및 세척 중 하나 이상을 행하도록 유전체 막을 처리하기 위한 방법 및 시스템에 관한 것이다.
반도체 분야에 공지된 바와 같이, 배선 지연(interconnect delay)은 집적 회로(IC)의 속도와 성능 향상을 실행하는데 있어서 주요한 제약 인자이다. 배선 지연을 최소화시키기 위한 하나의 방법은 IC 생산 동안 저유전율(low-k) 물질을 사용함으로써 배선 용량(interconnect capacitance)을 줄이는 것이다. 따라서, 최근에는, 이산화규소와 같은 상대적으로 고유전율의 절연 물질을 대체하기 위한 저유전율 물질을 개발하였다. 특히, 저유전율 막은 반도체 소자의 금속 층들 사이의 중간 레벨(inter-level) 및 내부 레벨(intra-level) 유전체 층들을 위해 사용되고 있다. 추가적으로, 절연 물질의 유전율을 더 감소시키기 위해, 물질의 막에 세공(pores), 즉 다공성 저유전율의 유전체 막을 형성하였다. 이러한 저유전율 막은 포토레지스트의 인가하는 방법과 유사한 스핀-온 유전(spin-on dielectric; SOD) 방법 혹은 화학 증착(CVD)에 의해 증착될 수 있다. 따라서, 저유전율 물질의 사용 은 기존의 반도제 제조 공정에서 쉽게 채택될 수 있었다.
상기 저유전율 물질은 반도체 회로의 제작에 유리하게 사용될 것 같이 보이지만, 본 발명자는 이들 막들은 또한 많은 문제점을 안고 있다는 것을 발견하였다. 첫째, 저유전율 막은 보다 전통적인 유전체 층들보다 덜 견고하게 되는 경향이 있고, 에칭 및 플라스마 에싱 공정(plasma ashing precess)에 의한 것과 같이 유전체 층의 패터닝(patterning)에 주로 사용되는 웨이퍼 공정 동안 손상될 수 있다. 더욱이, 몇몇 저유전율 막이 손상될 때, 특히 패터닝 이후 높은 반응성을 나타내는 경향이 있기 때문에, 저유전율 물질은 물을 흡수 및/또는 다른 증기와의 반응 및/또는 유전체 층의 전기 특성을 변경시킬 수 있는 불순물 처리를 행할 수 있도록 해준다.
더욱이, 본 발명자는 몇몇 저유전율 유전체 막의 공극률(porosity)이 종종 유전체를 이용한 금속 집적화와 관련한 문제점을 종종 악화시킨다는 것을 인식하였다. 일반적으로, 저유전율 유전체 막을 이용한 구리 금속 집적화는 물결무늬 구조(damascene structure)의 사용을 필요로 하며, 여기서 금속 와이어링 패턴은 구리 증착 이전에 유전체 막에 형성된다. 유전체 막으로 구리 막의 확산을 최소화시키기 위해, 장벽 층(barrier layer)은 통상 패턴 에칭에 후속하여 전술한 패턴의 내면 상에 형성된다. 그러나, 세공의 노출 및/또는 유전체 막 내의 패턴의 에칭에 후속하는 저유전율 막의 손상은 유전체 막에 장벽층의 불량한 점착뿐만 아니라 전술한 노출된 세공에 국부적인 장벽 막 내의 불완전을 통한 장벽 물질 및 구리의 확산과 관련한 문제점을 초래한다.
추가적으로, 전술한 바와 같은 손상된 저유전율 막 등의 다공성 저유전율 유전체 막은 수분 및 다른 불순물을 쉽게 흡수할 수 있다. 예컨대, 패턴 에칭에 후속하여, 노출된 면은 소수성에서 친수성으로 변경될 수 있고, 노출된 표면층은 탄소(C)가 고갈될 수 있고, 세공은 에칭 공정에서 나온 불순물을 보유할 수 있다.
본 발명의 목적은 유전체 막의 처리와 관련한 전술한 문제점 혹은 다른 문제점을 줄이거나 완전히 없애는 데 있다.
본 발명의 다른 목적은 유전체 막의 회복, 밀봉, 및/또는 세척 중 하나 이상을 행하도록 유전체 막을 처리하는 데 있다.
본 발명의 또 다른 목적은 유전체 막으로 장벽 물질의 확산을 줄이고 및/또는 유전체 막에 장벽 막의 점착을 향상시키기 위해 유전체 막을 처리하는 데 있다.
전술한 목적 및/또는 다른 목적들은 본 발명에 따라 유전체 막을 처리하는 방법에 의해 달성될 수 있다. 본 발명의 하나의 양태에 있어서, 상기 방법은 유전체 막의 적어도 하나의 면을 CxHy 함유 물질에 노출시키는 단계를 포함하며, 여기서 x 와 y는 각각 1 이상의 정수이다. 그 대안으로, 상기 방법은 유전체 막의 적어도 하나의 면을 질소 함유 물질 및 염소 함유 물질 중 하나 이상에 노출시키는 단계를 더 포함할 수 있다.
본 발명의 또 하나의 양태에 따르면, 기판에 유전체 막을 생성하는 방법이 제공되며, 이 방법은 기판 상에 상기 유전체 막을 형성하는 단계와; 유전체 막 상에 마스크를 형성하는 단계와; 마스크 내에 패턴을 형성하는 단계와; 마스크 내의 패턴을 유전체 막으로 전사시킴으로써 유전체 막 내에 적어도 하나의 특징부를 형성하는 단계와; 유전체 막 내의 특징부의 측벽을 처리 화합물에 노출하는 단계를 포함한다. 상기 처리 화합물은 CxHy 함유 물질을 포함하며, 여기서 x와 y는 각각 1 이상의 정수이다. 그 대안으로, 상기 처리 화합물은 질소 함유 물질 및 염소 함유 물질 중 하나 이상을 더 포함할 수 있다.
본 발명의 또 다른 양태에 있어서, 유전체 막을 처리하는 방법은 유전체 막을 처리 화합물에 노출하는 단계를 포함하며, 처리 화합물은 CxHy 함유 물질을 포함하며, 여기서 x와 y는 각각 1 이상의 정수이다. 그 대안으로, 상기 처리 화합물은 질소 함유 물질과 염소 함유 물질 중 하나 이상을 더 포함한다.
또 다른 양태에 따르면, 처리된 유전체 막이 제공되며, 상기 처리된 유전체 막은 유전체 막과; 유전체 막 내에 형성된 특징부과; 특징부의 표면을 회복하는 수단을 포함한다.
또 다른 양태에 따르면, 밀봉된 유전체 막이 제공되며, 상기 밀봉된 유전체 막은 다공성 유전체 막과; 다공성 유전체 막 내에 형성된 특징부와; 다공성 유전체 내의 특징부의 표면 상에 노출된 세공을 밀봉하는 수단을 포함한다.
또 다른 양태에 따르면, 기판 상에서 유전체 막을 처리하기 위한 프로세싱 시스템이 제공된다. 이 시스템은 프로세스 챔버와; 프로세스 챔버에 결합되는 동시에 기판 상에 유전체 막을 처리하기 위해 상기 프로세스 챔버에 처리 화합물을 공급하도록 구성된 유체 분배 시스템을 포함하며, 처리 화합물은 CxHy 함유 물질을 포함하며, 여기서 x와 y는 각각 1 이상의 정수이다. 그 대안으로, 상기 처리 화합물은 질소 함유 물질과 염소 함유 물질 중 하나 이상을 더 포함한다.
또 다른 양태에 따르면, 기판 상에서 유전체 막을 처리하기 위한 프로세싱 시스템이 제공된다. 상기 시스템은 유전체 막을 처리 화합물에 노출시키기 위한 수단을 포함하며, 처리 화합물은 CxHy 함유 물질을 포함하며, 여기서 x와 y는 각각 1 이상의 정수이다. 그 대안으로, 상기 처리 화합물은 질소 함유 물질과 염소 함유 물질 중 하나 이상을 더 포함한다.
도 1a 내지 도 1e는 본 발명의 하나의 실시 형태에 따른 유전체 막을 형성 및 처리하는 방법을 간략하게 개략적으로 도시한 도면이다.
도 2는 본 발명의 하나의 실시 형태에 따라 유전체 막을 생성하는 방법을 나타내는 플로차트이다.
도 3a 및 도 3b는 유전체 막을 처리하는 방법을 도시한 도면이다.
도 4a 내지 도 4c는 본 발명의 하나의 실시 형태에 따라 유전체 막을 처리하기 위해 사용되는 오가노 실리콘 구조(organosilicon structure)를 개략적으로 나타내는 도면이다.
도 4d는 본 발명의 또 다른 실시 형태에 따른 유전체 물질에서 실라놀기와의 반응을 개략적으로 나타낸 도면이다.
도 4e는 유전체 막의 표면 상에 실라놀기와 실릴기간의 입체 장애(steric hindrance)를 도시한 도면이다.
도 5는 본 발명 하나의 실시 형태에 따라 유전체 막을 처리하기 위한 프로세싱 시스템을 도시한 도면이다.
도 6은 본 발명의 또 다른 실시예에 따라 초임계 프로세싱 시스템을 간략하고 개략적으로 도시한 도면이다.
도 7은 본 발명의 또 다른 실시예에 따른 초임계 프로세싱 시스템을 상세하고 개략적으로 도시한 선도이다.
도 8은 본 발명의 하나의 실시예에 따른 초임계 세척, 세정 혹은 경화 단계에 대한 압력과 시간 사이의 관계를 나타내는 그래프이다.
도 9는 본 발명의 또 다른 실시예에 따라 유전체 층을 처리하기 위한 단계를 개략적으로 나타낸 블록선도이다.
도 10a 및 도 10b는 회복 화합물과의 처리 전후에 실리콘을 주성분으로 하는 저유전율의 유전체 물질에 대한 적외선 흡수도 스펙트럼을 나타낸 그래프이다.
동일한 도면 부호는 동일하거나 대응하는 구성 요소의 표시하는 도면을 참조하면, 도 1a 내지 도 1e에는 유전체 막에 소정의 패턴을 형성하고, 유전체 막에 에칭 패턴의 노출면의 회복, 밀봉 및 세척 중 하나 이상을 행하기 위해 에칭된 표면의 노출면을 처리하는 방법이 개략적으로 도시되어 있다. 또한, 도 2에는 본 발명의 하나의 실시 형태에 따른 방법을 행하는 플로차트(100)가 도시되어 있다. 도 1a, 도 1b 및 도 2에 도시된 바와 같이, 유전체 막(20)은 추가의 층들을 포함하거나 또는 포함하지 않을 수 있는 기판(10)의 상측면 상에 형성된다(도 2에 도면 부호 110으로 표시). 상기 기판(10)은 반도체, 금속성 도체 혹은 그 위에 유전체 막이 형성될 다른 임의의 기판일 수 있다. 유전체 막은, 유전율이 약 4(예컨대, 열적 이산화규소의 유전율은 3.8 내지 3.9 범위에 속함)인 SiO2의 유전율 미만의 공칭 유전율 값을 갖는다. 보다 구체적으로, 유전체 막(20)은 3.0 미만의 유전율을 지니거나 또는 1.6 내지 2.7 범위의 유전율을 지닐 수 있다.
유전체 막(20)은 동경 엘렉트론 주식회사(Tokyo Electron Limited; TEL)에서 상업적으로 입수 가능한 Clean Track ACT 8 SOD 및 ACT 12 SOD 코팅 시스템에서 제공되는 것과 같은 화학 증착(CVD) 기술, 혹은 스핀-온 유전(SOD) 기술을 이용하여 형성될 수 있다. Clean Track ACT 8(200mm) 및 ACT 12(300mm) 코팅 시스템은 SOD 물질을 위한 코팅, 베이킹, 경화 툴을 제공한다. 상기 트랙 시스템은 100mm, 200mm, 300mm 및 그 이상의 크기의 프로세싱 기판을 처리하도록 구성될 수 있다. 유전체 막을 기판에 형성하기 위한 다른 시스템 및 방법은 스핀-온 기술과 CVD 유전 기술 분야의 종사자들에게 널리 알려져 있다.
유전체 막(20)은 예컨대, 저유전율(혹은 low-k) 유전체 막인 것을 특징으로 할 수 있다. 유전체 막(20)은 유기, 무기 및 유기-무기 하이브리드 물질 중 적어도 하나를 포함할 수 있다. 또한, 유전체 막(20)은 다공성 혹은 비다공성일 수 있다. 예컨대, 유전체 막은 CVD 기술을 이용하여 증착된 산화 오가노 실란 (organosilane) 등의 실리케이트계 물질을 포함할 수 있다. 이러한 막의 예로는 어플라이드 머티리얼즈 인코포레이티드(Applied Materials Inc.)에서 상업적으로 시판하고 있는 Black DiamondTM CVD 오가노실리케이트 글라스(OSG) 혹은 노벨러스 시스템즈(Novellus systems)에서 상업적으로 시판하고 있는 CoralTM CVD 막을 들 수 있다. 추가적으로, 예컨대 다공성 유전체 막은 소형 공극(혹은 세공)을 만들기 위해 경화 공정 중에 파괴되는 CH3 결합을 지닌 규소 산화물계 매트릭스 등의 단상 물질을 포함할 수 있다. 또한, 예컨대 다공성 유전체 막은 경화 공정 중에 증발되는 유기 물질(예컨대, 포로젠) 세공을 지닌 규소 산화물계 매트릭스 등의 2상 물질을 포함할 수 있다. 그 대안으로, 유전체 막(20)은 SOD 기술을 사용하여 증착된 수소 실세스퀴옥산(hydrogen silsesquioxane; HSQ) 혹은 메틸 실세스퀴옥산(MSQ) 등의 무기, 실리케이트계 물질을 포함할 수 있다. 이러한 막의 예로는 다우 코닝(Dow Corning)에서 상업적으로 시판하고 있는 FOx HSQ, 다우 코팅에서 상업적으로 시판하고 있는 XLK 다공성 HSQ, 및 JSR 마이크로일렉트로닉스(Microelectronics)에서 상업적으로 시판하고 있는 JSR LKD-5109를 포함한다. 또 다른 대안으로, 유전체 막(20)은 SOD 기술을 사용하여 증착된 유기 물질을 포함할 수 있다. 이러한 막의 예로는 다우 케미컬(Dow Chemical)에서 상업적으로 시판하고 있는 SiLK-I, SiLK-J, SiLK-H, SiLK-D 및 다공성 SiLK 반도체 유전체 수지, FLARETM, 및 허니웰(Honeywell)에서 상업적으로 시판하고 있는 나노 글라스(Nano-glass)를 포함한다.
유전체 막(20)이 일단 준비되면, 패턴화된 마스크(30)는 그 상측면 상에 형성된다(도 2에 도면 부호 120으로 표시). 상기 패턴화된 마스크(30)는 마이크로-리소그래피를 사용하여 포토레지스트 등의 감광 물질의 층에 형성된 후, 디벨로핑 용매(developing solvent)를 사용하여 상기 감광성 물질의 조사된 영역(포지티브 포토레지스트의 경우와 같이) 혹은 비조사된 영역(네거티브 레지스트의 경우와 같이)의 제거되는 패턴(35)을 포함할 수 있다. 그 대안으로, 상기 마스크(30)는 양층 마스크, 혹은 매복 ARC(BARC)층, 희생 DUOTM 층, 혹은 그 내부에 매립된 가변 에칭 저항 ARC(TERA)층 등의 무반사 코팅(ARC)을 지닌 복층 마스크를 포함할 수 있다. 예컨대, 상기 마스크층(혹은 층들)은 트랙 시스템 혹은 CVD 시스템을 사용하여 형성될 수 있다. 트랙 시스템은 248nm 레지스트, 193nm 레지스트, 157nm 레지스트, EUV 레지스트, (상부/바닥) 무반사 코팅(TARC/BARC) 및 상층을 처리하도록 구성될 수 있다. 예컨대, 상기 트랙 시스템은 동경 엘렉트론 주식회사(Tokyo Electron Limited; TEL)에서 상업적으로 입수 가능한 Clean Track ACT 8 혹은 ACT 12 레지스트 코팅 및 디벨로핑 시스템을 포함할 수 있다. 기판 상에 포토레지스트 막을 형성하기 위한 다른 시스템 및 방법은 스핀-온 레지스트 기술 분야의 종사자들에게 널리 알려져 있다. 또한, 예컨대, 마스크 패턴은 임의의 적절한 종래의 스테핑 리소그래픽 시스템, 혹은 스캐닝 리소그래픽 시스템을 사용하여 형성될 수 있다.
마스크 패턴(35)은 건식 플라스마 에칭을 사용하여 측벽(45)을 지닌 특징부 (40)를 형성하기 위해 밑에 놓인 유전체 막(20)으로 전사될 수 있다(도 2에 도면 부호 130으로 표시). 예컨대, 산화규소, 이산화규소 등의 산화물 유전체 막을 에칭할 때, 혹은 산화된 오카노실레인 등의 무기 저유전율의 유전체 막을 에칭할 때, 에칭 가스는 조성은 보통 적어도 하나의 C4F8, C5F8, C3F6, C4F6, CF4 등과 적어도 하나의 불활성 가스, 산소, 및 CO 등의 탄화플루오르계 화학물을 포함한다. 또한, 예컨대, 유기 저유전율의 유전체 막을 에칭할 때, 에칭 가스 조성은 보통 적어도 하나의 질소 함유 가스 및 수소 함유 가스를 포함한다. 전술한 바와 같은 유전체 막을 선택적으로 에칭하기 위한 기술은 유전체 에칭 프로세스 분야의 종사자들에게 널리 알려져 있다.
에칭 중에, 측벽(45) 등의 유전체 막(20)에 형성된 특징부 내에서 노출된 표면은 손상되거나 활성화될 수 있다. 이러한 표면에 의한 야기된 손상 혹은 활성화는 수분의 흡착 또는 에칭 공정(즉, 건식 에칭 혹은 에싱 공정 중에 마스크 제거) 중에 불순물 및/또는 화학물의 점착을 초래할 수 있다. 예컨대, 다공성 저유전율의 유전체 막은 에칭 공정 중에 매우 쉽게 손상 및/또는 활성화될 수 있다. 일반적으로, 다공성 저유전율 막은 실라놀(Si-OH)기 및/또는 오가노기를 갖는 가장 일반적인 실리콘 산화막이다. 이러한 물질은 에칭 공정 중에 부분적으로 유기 성분의 고갈로 인해 활성화 또는 손상될 수 있다. 어느 경우라도, 추가의 실라놀기가 노출되어 물 및/또는 다른 불순물을 쉽게 흡착할 수 있다. 따라서, 노출된 저유전율의 유전체층을 갖는 소자 구조는 취급 및 특히 패턴화 단계 이후 오염 없는 상태 로 유지하기가 어렵다. 더욱이, 대부분의 저유전율 물질의 활성화 및/또는 손상은 유전율(k 값) 증가를 초래할 수 있다. 활성화 혹은 손상된 저유전율 막은 1 또는 그 이상의 값만큼 k 값의 증가를 나타낼 수 있다는 것으로 관찰되었다.
전술한 바와 같이, 본 발명의 하나의 실시 형태에 있어서, 손상 및 노출된 표면(예컨대, 에칭 혹은 에싱 공정에 후속)은 회복, 밀봉 및 손상된 표면의 세척 중 적어도 하나 이상을 행하도록 처리된다. 손상된 표면의 회복은 유전율 값의 복원을 포함한다.
따라서, 본 발명의 하나의 실시 형태에 따르면, 유전체 막(20)은 손상된 표면의 회복, 노출된 다공성 표면의 밀봉, 도 1e에 도시된 바와 같이 측벽(45) 등의 손상된 표면의 세척 중 하나 이상을 행하기 위해 처리된다(도 2에서 도면부호 140으로 표시). 상기 회복 공정은 유전율 값의 복원에 의해 유전체 막의 회복(rejuvenation)을 포함한다. k 값의 복원은 예컨대, 탄소 고갈 사이트를 탄소 함유 물질(예컨대, CH3)로 보충하는 것을 특징으로 할 수 있다. 상기 회복 공정은 또한 상기 표면상의 실라놀(Si-OH)기를 저유전율 막으로 부식시켜 상기 표면을 부동화(passivation)하는 표면 캡핑(capping)된 실릴기를 형성하는 처리제를 사용하는 저유전율 표면의 부동화를 포함할 수 있다. 저유전율 표면의 부동화의 상세 내용은 2003년 3월 4일자로 출원된 변리사 서류 번호 제SSI-03501, "웨이퍼 공정에서 저유전체 물질의 부동화 방법"이라는 제목의 미국 특허 출원에 상세히 개시되어 있으며, 이 특허 내용 전체는 본 명세서에 인용되어 있다. 또한, 밀봉 공정은 예컨 대, 노출된 표면의 노출된 공극을 밀봉하는 것을 특징으로 할 수 있다. 더욱이, 세척 공정은 수분 제거, 불순물 혹은 잔류물 등의 제거 중 어느 하나를 포함할 수 있다.
이러한 처리 공정 중에, 유전체 막(20)은 CxHy 함유 화합물을 포함하는 화합물에 노출되며, 여기서 첨자 "x"와 "y"는 1 이상의 정수를 나타낸다. 변형례로서, 상기 처리 화합물은 유전체 막(20) 상의 표면 화학 작용을 보조하기 위해 하나 이상의 질소(N) 함유 화합물 및 염소(Cl) 함유 화합물을 더 포함할 수 있다. 예컨대, CxHy 함유 성분은 CH 함유 화합물, CH2 함유 화합물 및 CH3 함유 화합물들 중 하나 이상을 포함할 수 있다.
도 3a 및 도 3b에는 처리 공정의 일례가 추가로 도시되어 있다. 도 3a에 있어서, 다공성 저유전율의 유전체 막(142)은 세공(144)을 갖는 것으로 도시되어 있으며, 여기서 에칭 혹은 에싱 공정에 후속하여 이들 세공 내에서 노출된 표면들은 손상되는 것으로 관찰되었다. 표면 손상은 OH 사이트로서 수분(즉, H2O)을 흡수 할 수 있는 결합되어 있지 않은 화학 결합 손(dangling bond; 146)으로서 나타난다. 도 3b를 참조하면, 유전체 막은 CxHy 함유 물질(예컨대, CH3)을 포함하는 처리 화합물에 노출되며, 이러한 노출 동안 상기 처리 공정은, OH 및 다른 잔류물 제거를 위해 세공(144) 세척, OH와 결합되어 있지 않은 화학 결합 손(146)을 CxHy(예컨대, CH3)로 치환시킴에 의한 세공의 노출면 회복, 그리고 노출된 세공(144)을 폐쇄시키 도록 유전체 막(142) 상으로 CxHy(예컨대, CH3) 함유 분자(148)의 점착에 의한 세공(144)의 밀봉을 용이하게 해준다. 따라서, 처리된 저유전율 막은 CxHy 물질을 갖는 표면 영역을 포함하는데, 이 물질은 저유전율 막에 불순물 및 수분을 없애는 특성, 결합되어 있지 않은 화학 결합 손의 감소, 혹은 상기 표면 영역 내의 밀봉된 세공 등과 같은 향상된 물리적 특성을 제공한다. CxHy는 또한 CxHy 물질 없이 대응하는 막보다 더 낮은 유전율을 제공한다.
도 4a를 참조하면, 처리 화합물은 헥사메틸디실라잔(HMDS)을 갖는 경우와 같이 모든 오가노기, 혹은 위치 1 내지 위치 4 중 어느 한 곳에 부착되는 오가노기와 할로겐기(F, Cl, Br 등)의 조합을 가질 수 있는 실란 구조(150)를 포함한다.
도 4b를 참조하면, 상기 처리 화합물은 펜트-발렌트(pent-valent) 오가노실리콘 화합물(152)을 포함하며, 여기서 규소 원자는 티가놀바이피라미드(tiganolbipyramidal) 구조로 위치 1, 2, 3, 4, 5에서 5자리 리간드로 정합되어 있다. 통상적으로, 이러한 화합물(152)은 하나 이상의 위치 1-5가 디플루오로트리메틸리케이트 음이온(difluorotrimethylilicate anion)의 경우와 같이 할로겐 원자와 함께 정합되어 있는 음이온이다. 상기 구조(152)가 음이온일 때, 화합물(152)은 또한 나트륨, 칼륨 혹은 다른 무기 혹은 유기 양이온(도시 생략) 등의 적절한 양이온을 포함한다.
도 4c를 참조하면, 처리 화합물은 헥사메틸디실라잔(HMDS)의 경우와 마찬가지로 2개의 오가노실릴기가 아민의 질소에 정합되어 있는 아민 구조로서 설명될 수 있는 실라잔 구조(154)를 포함한다.
도 4d에는 반응 시퀀스(1)에서 유전체 물질의 표면 상에서 실라놀기와 반응하는 헥사메틸디실라잔(HMDS)과, 반응 시퀀스(2)에서 유전체 물질의 표면 상에서 실라놀기와 반응하는 트리메틸디실라잔(TMDS)이 간략하게 도시되어 있다. 트리메틸디실라잔(TMDS)은 반응 시퀀스(1)에서의 산물이며, 이것은 다음에 반응 시퀀스(2)에 따라 저유전율 물질의 표면 상에서 실라놀기와 추가로 반응할 수 있다는 것에 주목해야 한다. 따라서, 헥사메틸디실라잔(HMDS)은 본 발명의 방법에 따라 사용하기에 우수한 처리 화합물을 제공한다.
도 4e에는 유전체 물질의 표면(51) 상의 실라놀기(53)와 실릴기(55) 사이의 입체 장애(steric hindrance)가 도시되어 있다. 실라놀기(53)는 매우 크며 실라놀기를 위한 보호 장벽을 실제로 제공할 수 있다는 것에 주목해야 한다. 따라서, 유전체 물질의 전체 표면 혹은 대부분을 완전하게 실리레이트(silylate)하는 것은 일반적으로 불가능하다. 그러나, 유전체 물질이 미리 처리될 때, 더 큰 분율의 실라놀기(53)가 상기 표면(51) 상에서 실릴기(55)와 치환되는 것으로 여겨진다.
그 대안으로, 상기 처리 화합물은 헥사메틸디실라잔(HMDS), 트리메틸디실라잔(TMDS), 클로로트리메틸디실란(TMCS), 트리클로로메틸디실란(TCMS), [C6H5Si(CH3)2]2NH(혹은 1,3-디페닐-1,1,3,3-테트라메틸디실라잔), C15H29NSi(혹은 N-tert-부틸-1,1-디메틸-1-(2,3,4,5-테트라메틸-2,4-사이클로펜타디엔-1-yl)-실란아민), (CH3)2NH 디메틸아민, H2N(CH2)3Si(OC2H5)3 3-아미노프로필트리에톡시실란, (CH4SiO)4(혹은 TMCTS, 혹은 테트라메틸사이클로테트라실록산), 및 [(CH3)2SiO]4(혹은 OMCTS, 혹은 옥타메틸사이클로테트라실록산) 중 하나 이상을 포함할 수 있다.
하나의 예로서, 1nm 이하의 세공 크기를 지닌 다공성 저유전율의 유전체 막을 처리할 때, 처리 화합물은 HMDS, TMDS, 및 (CH3)2NH 디메틸아민 중 하나 이상을 포함할 수 있다. 제2의 예로서, 1nm 이상의 세공 크기를 지닌 다공성 저유전율의 유전체 막을 처리할 때, 처리 화합물은 [C6H5Si(CH3)2]2NH, C15H29NSi, 및 H2N(CH2)3Si(OC2H5)3 3-아미노프로필트리에톡시실란 중 하나 이상을 포함할 수 있다. 그 대안으로, 제3의 예로서, 유전체 막은 제1 시간 주기 동안 HMDS, TMDS, 및 (CH3)2NH 디메틸아민 중 하나 이상 등과 같은 제1 처리 화합물에 노출되고, 제2 시간 주기 동안 [C6H5Si(CH3)2]2NH, C15H29NSi, 및 H2N(CH2)3Si(OC2H5)3 3-아미노프로필트리에톡시실란 중 하나 이상과 같은 제2 처리 화합물에 노출된다.
그 대안으로, 유전체 막을 처리 화합물에 노출시키는 것에 추가하여, 기판은 노출에 의해 용이해진 표면 반응을 보조 혹은 가속시키기 위해 가열될 수 있다. 이 기판 온도는 50℃ 내지 400℃, 양호하게는 100℃ 내지 200℃ 범위에 속할 수 있다.
도 5에는 에칭 공정 혹은 에싱에 후속하여 유전체 막에서 노출된 표면을 회복, 밀봉 및 세척 중 적어도 하나를 행하기 위해 유전체 막을 처리하기 위한 프로세싱 시스템(170)의 블록선도가 도시되어 있다. 프로세싱 시스템(170)은 프로세스 챔버(172)와, 이 프로세스 챔버(172)에 결합되어 프로세스 챔버(172) 내에 장착된 기판에 처리 화합물을 주입하도록 구성된 유체 분배 시스템(174)과, 그리고 프로세스 챔버(172)와 유체 분배 시스템에 결합되어 공정법에 따라 프로세싱 시스템(170)을 제어하도록 구성된 컨트롤러(176)를 포함한다.
상기 프로세싱 시스템(170)은 기상 처리 장치를 포함할 수 있으며, 처리 화합물은 캐리어 가스(carrier gas)와 함께 또는 그렇지 않고 증기 운송 수단을 경유하여 유전체 막으로 주입된다. 예컨대, 유체 분배 시스템(174)은 캐리어 가스, 혹은 질소 등의 불활성 기체를 공급하기 위한 캐리어 가스 공급 시스템과, HMDS 저장조 등의 처리 화합물의 저장조를 포함할 수 있다. 상기 유체 분배 시스템(174)은 처리 유체 저장조를 통한 캐리어 가스의 버블링(bubbling)과, 처리될 유전체 막을 지닌 기판에 노출되도록 프로세스 챔버(172)에 처리 화합물 증기의 이송을 허용하는 증기 운반 시스템을 더 포함할 수 있다. 더욱이, 유체 분배 시스템(174)은 그 내부에서 처리 화합물 증기의 응축을 방지하기 위해 증기 운반 시스템의 온도를 상승시키기는 온도 제어 시스템을 더 포함할 수 있다. 상기 프로세스 챔버(172)는 기판을 실장하기 위한 고정식, 이동식 혹은 회전식 기판 홀더를 더 포함할 수 있다. 추가적으로, 상기 기판 홀더는 유전체 막이 처리 화합물에 노출될 때 표면 반응을 보조하기 위해 기판을 가열 및/또는 기판의 온도를 제어하도록 구성될 수 있다. 이 기판 온도는 50℃ 내지 400℃ 범위에 속할 수 있고, 양호하게는 100℃ 내지 200℃ 범위에 속할 수 있다. 보다 구체적으로, 예시적인 증기 이송-공급 장치는 동경 엘렉트론 주식회사에 양도된 미국 특허 제5,035,200호에 개시되어 있으며, 그 내용 전체는 본 명세서에 인용되어 있다.
상기 프로세싱 시스템(170)은 액상 처리 장치를 포함할 수 있으며, 여기서 처리 화합물은 캐리어 가스와 함께 또는 그렇지 않고 액체 운송 수단을 경유하여 유전체 막으로 주입된다. 예컨대, 유체 분배 시스템(174)은 HMDS 저장조 등의 처리 화합물의 저장조와, 프로세스 챔버(172)를 통해 처리 화합물을 순환시키기 위한 액체 운반 시스템을 포함할 수 있다. 프로세스 챔버(172)는 처리될 유전체 막을 지닌 기판을 처리 화합물의 욕조 속으로 그리고 그 밖으로 이송시키기 위한 기판 홀더를 구비하는 침지조(immersion bath)를 포함할 수 있다. 추가적으로, 상기 기판 홀더는 유전체 막이 처리 화합물에 노출될 때 표면 반응을 보조하기 위해 기판을 가열 및/또는 기판의 온도를 제어하도록 구성될 수 있다. 이 기판 온도는 50℃ 내지 400℃ 범위에 속할 수 있고, 양호하게는 100℃ 내지 200℃ 범위에 속할 수 있다. 예컨대, 기판 상에 처리된 표면에 국부적인 화학적 이송을 증대시키도록 약간의 교반을 일으키기 위해 처리 화합물의 욕조 내에 기포를 발생시킬 수 있다. 보다 구체적으로, 예시적인 침지조 장치는 동경 엘렉트론 주식회사에 양도된 미국 특허 제5,730,162호에 개시되어 있으며, 초음파 교반을 이용하는 침지조 장치는 미국 특허 제5,911,232호에 설명되어 있으며, 이들 각각의 내용 전체는 본 명세서에 인용되어 있다. 또한, 예컨대, 유체 분배 시스템(174)은 HMDS 저장조 등의 처리 화합물의 저장조와, 처리될 유전체 막을 지닌 기판의 상측면 상으로 처리 화합물을 분배시키기 위한 액체 운반 시스템을 더 포함할 수 있다. 상기 액체 운반 시스템은 처리 화합물을 분배하기 위한 하나 또는 그 이상의 유체 노즐을 더 포함할 수 있다. 상기 프로세스 챔버(172)는 기판을 실장하기 위한 고정식, 이동식 혹은 회전식 기판 홀더를 더 포함할 수 있다. 추가적으로, 상기 기판 홀더는 유전체 막이 처리 화합물에 노출될 때 표면 반응을 보조하기 위해 기판을 가열 및/또는 기판의 온도를 제어하도록 구성될 수 있다. 이 기판 온도는 50℃ 내지 400℃ 범위에 속할 수 있고, 양호하게는 100℃ 내지 200℃ 범위에 속할 수 있다. 보다 구체적으로, 예시적인 액체 이송-공급 장치는 동경 엘렉트론 주식회사에 양도된 미국 특허 제6,589,338호에 개시되어 있으며, 그 내용 전체는 본 명세서에 인용되어 있다.
상기 프로세싱 시스템(170)은 초임계 프로세싱 장치를 포함할 수 있으며, 여기서 처리 화합물은 이하에 상세히 후술되어 있는 바와 같이, 초임계 이산화탄소(SCCO2), 혹은 액체 CO2 등의 초임계 유체를 매개로 유전체 막으로 주입된다.
컨트롤러(176)는 마이크로프로세서, 메모리, 그리고 프로세스 챔버(172)와 유제 분배 시스템(174)에 입력을 전달 및 활성화시키기에 충분한 제어 전압을 발생시킬 수 있을 뿐만 아니라 이들 시스템에서 나온 출력을 모니터할 수 있는 디지털 I/O 포트(잠재적으로 D/A 및/또는 A/D 변환기)를 포함한다. 메모리에 저장된 프로그램은 저장된 공정법에 따란 시스템(172, 174)과 상호 작용하도록 사용된다. 컨트롤러(176)의 일례는 텍사스주 오스틴 소재의 델 코프레이션(Dell Corporation)에서 입수 할 수 있는 DELL PRECISION WORKSTATION 530TM 이다. 상기 컨트롤러(176)는 또한 범용 컴퓨터, 디지털 신호 프로세스 등으로서 실시될 수 있다.
컨트롤러(176)는 프로세싱 챔버(172)와 유체 분배 시스템(174)에 대해 국부 적을 배치될 수 있거나, 또는 인터넷 혹은 안트라넷을 매개로 프로세싱 챔버(172)와 유체 분배 시스템(174)에 대해 원격적으로 배치될 수 있다. 따라서, 컨트롤러(176)는 직접 접속, 인트라넷, 및 인터넷 중 하나 이상을 사용하여 프로세싱 챔버(172)와 유체 분배 시스템(174)과의 데이터를 교환할 수 있다. 컨트롤러(176)는 고객측(즉, 장치 제조업자 등)에서 인트라넷에 연결될 수 있거나, 또는 판매 업자측(즉, 장치 제조업자)에서 인트라넷에 연결될 수 있다. 더욱이, 또 다른 컴퓨터(즉, 컨트롤러, 서버 등)이 직접 접속, 인트라넷, 및 인터넷 중 하나 이상을 통해 데이터를 교환하기 위해 컨트롤러(176)에 엑세스될 수 있다.
도 6에는 초임계 프로세싱 장치(200)가 간략하게 도시되어 있다. 이 장치(200)는 이산화탄소 공급원(221)을 포함하며, 이것은 이산화탄소 공급원(221)에서 입구 라인(226)으로 이산화탄소의 흐름을 시작 및 차단시키도록 개폐될 수 있는 공급원 밸브(223)를 통해 입구 라인(226)에 연결되어 있다. 상기 입구 라인(226)은 초임계 이산화탄소 스트림을 발생 및/또는 유지시키기 위해 박스(220)로 개략적으로 도시된 하나 또는 그 이상의 역류 밸브 펌프 및 히터가 설치되는 것이 바람직하다. 입구 라인(226)은 또한 초임계 이산화탄소의 스트림이 프로세싱 챔버(201)로의 유입을 허용 또는 방지하도록 구성되어 있는 입구 밸브(225)를 구비하는 것이 바람직하다.
도 6을 다시 참조하면, 상기 프로세싱 챔버(201)에는 이 프로세싱 챔버(201)를 배기시키기 위해 및/또는 이 프로세싱 챔버(201) 내에서 압력을 조절하기 위한 하나 이상의 압력 밸브(209)가 설치되는 것이 바람직하다. 또한, 본 발명의 실시 예들에 따른 프로세싱 챔버(201)는 이 프로세싱 챔버(201)를 가압 및/또는 배기시키기 위한 펌프 및/또는 진공 펌프(211)에 연결되어 있다.
도 6에 도시된 바와 같이, 상기 장치(200)의 프로세싱 챔버(201) 내에는 웨이퍼 구조체(213)를 유지 및/또는 지지하기 위한 척(233)이 설치되는 것이 바람직하다. 이 척(233) 및/또는 프로세싱 챔버(201)는 본 발명의 또 다른 실시예에 따라 웨이퍼 구조물(213)의 온도 및/또는 프로세싱 챔버(201) 내의 초임계 처리액의 온도를 조절하기 위한 하나 이상의 히터(231)를 구비한다.
상기 초임계 프로세싱 장치(200)는 또한 프로세싱 챔버(201)에 연결되어 있는 순환 라인 혹은 루프(203)를 구비하는 것이 바람직하다. 순환 라인(203)에는 이 순환 라인(203)을 통해 그리고 프로세싱 챔버(201)를 통해 초임계 처리액의 흐름을 조절하기 위한 하나 이상의 밸브(215, 215')들이 설치되는 것이 바람직하다. 순환 라인(203)에는 또한 박스(205)로 개략적으로 도시된 바와 같이 초임계 처리액의 유지와, 초임계 처리액을 순환 라인(203)을 통해 그리고 프로세싱 챔버(201)를 통해 초임계 처리액을 유동시키기 위한 적절한 개수의 역류 밸브, 펌프 및/또는 히터가 설치되는 것이 바람직하다. 본 발명의 실시예에 따르면, 상기 순환 라인(203)은 현장에서 초임계 처리액을 발생시키도록 순환 라인(203)으로 회복 화합물 등의 화학물 주입하기 위한 분사 포트(207)를 구비한다.
도 7에는 초임계 처리 프로세싱 장치(76)가 도 6 보다 더 상세하게 도시되어 있다. 초임계 프로세싱 장치(76)는 웨이퍼 생성 및 초임계 처리액으로 웨이퍼를 처리하도록 구성되어 있다. 초임계 프로세싱 장치(76)는 이산화탄소 공급 용기 (332), 이산화탄소 펌프(334), 프로세싱 챔버(336), 화학제품 공급 용기(338), 순환 펌프(340), 및 배기 가스 수집 용기(344)를 포함한다. 이산화탄소 공급 용기(332)는 이산화탄소 펌프(334)와 이산화탄소 배관(346)을 경유하여 프로세싱 챔버(336)에 접속되어 있다. 이산화탄소 배관(346)은 이산화탄소 펌프(334)와 프로세싱 챔버(336) 사이에 배치된 이산화탄소 히터(348)를 포함한다. 상기 프로세싱 챔버(336)는 프로세싱 챔버 히터(350)를 포함한다.
순환 펌프(340)는 순환 입구(354)와 그리고 순환 출구(356)에서 프로세싱 챔버(336)에 접속되는 순환 라인(352) 상에 배치되어 있다. 상기 화학제품 공급 용기(338)는 제1 분사 펌프(359)를 포함하는 화학제품 공급 라인(358)을 경유하여 순환 라인(352)에 접속되어 있다. 세정제 공급 용기(360)는 제2 분사 펌프(363)를 포함하는 세정액 공급 라인(362)을 경유하여 순환 라인(352)에 접속되어 있다. 상기 배기 가스 수집 용기(344)는 배기 가스 배관(364)을 경유하여 프로세싱 챔버(336)에 접속되어 있다.
이산화탄소 공급 용기(332), 이산화탄소 펌프(334) 및 이산화탄소 히터(348)는 이산화탄소 공급 장치(349)를 형성한다. 화학제품 공급 용기(338), 제1 분사 펌프(359), 세정제 공급 용기(360) 및 제2 분사 펌프(363)는 화학제품 및 세정제 공급 장치(365)를 형성한다.
상기 초임계 프로세싱 장치(76)는 밸브 수단, 전자 제어 부품, 필터 및 초임계 유체 프로세싱 시스템에 통상적으로 사용되는 유틸리티 연결부를 포함하다는 것을 당업자들은 쉽게 알 수 있다.
도 7을 다시 참조하면, 작동시, 유전체 막이 그 위에 설치되어 있는 웨이퍼(도시 생략)는 프로세싱 챔버(336)의 웨이퍼 캐비티(312)속으로 삽입되고, 프로세싱 챔버(336)는 게이트 밸브(306)를 폐쇄시킴으로써 밀봉된다. 프로세싱 챔버(336)는 이산화탄소 공급 용기(332)에서 나온 이산화탄소와 함께 이산화탄소 펌프(334)에 의해 가압되며, 이 이산화탄소는 프로세싱 챔버(336) 내의 이산화탄소 온도가 임계 온도보다 높게 되도록 보장하기 위해 프로세싱 챔버(336)가 프로세싱 챔버 히터(350)에 의해 가열되는 동안 이산화탄소 히터(348)에 의해 가열된다. 이산화탄소의 임계 온도는 31℃이다. 프로세싱 챔버(336) 내의 이산화탄소의 온도는 40℃ 내지 약 200℃ 범위, 양호하게는 초임계 부동화(passivating) 단계 동안 150℃ 또는 거의 150℃인 것이 바람직하다.
초기의 초임계 상태에 도달하자마자, 제1 분사 펌프(359)는, 이산화탄소 펌프가 초임계 이산화탄소를 더 가압하는 동안 순환 라인(352)을 경유하여 화학제품 공급 용기(338)에서 프로세싱 챔버(336)로 회복 화합물 등의 프로세싱 화학제품을 펌핑한다. 프로세싱 챔버(336)로 프로세싱 화학물을 첨가하는 최초에, 프로세싱 챔버(336) 내의 압력은 1,070 내지 9,000psi, 양호하게는 3,000psi 또는 거의 3,000psi인 것이 바람직하다. 원하는 양의 프로세싱 화학물을 프로세싱 챔버(336)로 펌핑하여 원하는 초임계 상태에 도달하면, 이산화탄소 펌프(334)는 프로세싱 챔버(336)의 가압을 정지시키고, 제1 분사 펌프(359)는 프로세싱 챔버(336)로의 프로세싱 화학물의 펌핑을 정지시키며, 순환 펌프(340)는 초임계 이산화탄소와 프로세싱 화학물을 포함하는 초임계 세척액을 순환시키기 시작한다. 양호하게는, 이 시 점에서 프로세싱 챔버(336) 내의 압력은 약 3,000psi 이다. 초임계 처리액을 순환시킴으로써, 초임계 처리액은 웨이퍼의 표면에 신속하게 보충되며, 이에 따라 웨이퍼 상에 유전체 층의 표면을 부동화 속도를 향상시킨다.
유전체층을 구비하는 웨이퍼(도시 생략)가 압력 챔버(336) 내에서 처리될 때, 웨이퍼는 기계 척, 진공 척 혹은 다른 적절한 홀딩 및 고정 수단을 이용하여 유지된다. 본 발명의 실시예에 따르면, 웨이퍼는 프로세싱 챔버(336) 내에 고정되거나, 그 대안으로 초임계 공정 단계 동안 회전, 스펀 혹은 그렇지 않으면 교반된다.
초임계 처리액이 순환 라인(352) 및 프로세싱 챔버(336)를 통해 순환된 후, 프로세싱 챔버(336)는 프로세싱 챔버(336)의 상태를 초기의 초임계 상태에 근접하도록 그 상태를 복귀시키기 위해 초임계 처리액의 일부를 배기 가스 수집 용기(344)로 배기시킴으로써 부분적으로 감압된다. 양호하게는, 상기 프로세싱 챔버(336)는 프로세싱 챔버(336)에서 수집 용기(344)로 완전히 배기되기 이전에 이러한 감암 및 가압 사이클 중 하나 이상을 통해 순환된다. 압력 챔버(336)를 배기한 후, 제2 초임계 처리 단계가 실행되거나, 혹은 웨이퍼는 게이트 밸브(306)를 통해 프로세싱 챔버(336)로부터 제거되며, 웨이퍼 처리가 제2 프로세싱 장치 혹은 모듈(도시 생략)에서 지속된다.
도 8에는 본 발명의 방법에 따라 초임계 세척/부동화 공정 단계 등의 초임계 공정 단계에 있어서 압력 대 시간의 예시적인 곡선(400)이 도시되어 있다. 도 7 및 도 8 모두를 참조하면, 초기 시간(T0) 이전에, 포스트-에칭 잔류물(post-etch residue)이 그 위에 남아 있는 웨이퍼 구조체는 케이트 밸브(306)를 통해 프로세싱 챔버(366) 내부에 배치되며 프로세싱 챔버(336)는 밀봉된다. 초기 시간(T0) 내지 제1 존속 시간(T1)에 걸쳐, 프로세싱 챔버(336)는 가압된다. 프로세싱 챔버(336)가 임계 압력(Pc)(1,070psi)에 도달하면, 회복 화합물을 포함하는 프로세싱 화학물은 전술한 바와 같이, 양호하게는 순환 라인(352)을 통해 프로세싱 챔버(236)로 분사된다. 상기 프로세싱 화학물은 양호하게는 상기 시스템으로 분사되는 헥사메틸디실라잔(HMDS), 클로로트리메틸디실란(TMCS), 트리클로로메틸디실란(TCMS), 및 이들의 조합을 포함한다. 프로세스 화학물의 여러 분사는 원하는 농도의 화학 제품을 갖는 초임계 처리액을 만들기 위해 존속 시간(T1)에 걸쳐 실행될 수 있다. 본 발명의 실시예에 따른 프로세싱 화학물은 또한 하나 이상의 캐리어 용매, 아민염, 플루오르화수소 및/또는 다른 플루오르화물의 다른 공급원, 혹은 N, N-디메틸아세타민(DMAC), 감마-부틸롤아세톤(BLO), 디메닐 설폭사이드(DMSO), 에틸렌 카보네이트(EC) N-메틸피롤리돈(NMP), 디메틸피페리돈, 프로필렌 카보네이트, 알콜 혹은 이들의 조합을 포함할 수 있다. 양호하게는, 프로세스 화학물의 분사는 굴절 지점(405)으로 표시된 바와 같이 약 1,100-1,200psi에 도달하자마자 시작된다. 그 대안으로, 프로세싱 화학물은 제2 존속 시간(T2) 내내 혹은 제2 존속 시간(T2) 이후에 프로세싱 챔버(336)로 분사된다.
프로세싱 챔버(336)가 제2 존속 시간(T2)에서 양호하게는 약 3,000psi(그러 나 작동 압력이 초임계 상태를 유지하기에 충분한 한 임의의 값이라도 좋음)인 작동 압력(Pop)에 도달한 후, 초임계 처리액은 전술한 바와 같이 순환 라인(325)을 이용하여 웨이퍼 위 및/또는 그 둘레와 프로세싱 챔버(336)를 통해 순환된다. 그 다음 프로세싱 챔버(336) 내의 압력은 증가하고, 다음 존속 시간에 걸쳐 초임계 처리액은 순환 라인(325)을 이용하여 웨이퍼 위 및/또는 그 둘레와 프로세싱 챔버(336)를 통해 계속 순환되고, 프로세싱 챔버 내에서 초임계 처리액의 농도는 후술하는 바와 같이 푸쉬-스루(push-through) 프로세스에 의해 조절된다.
다시 도 8을 참조하면, 푸쉬-스루 프로세스에서, 존속 시간(T3)에 걸쳐, 초임계 이산화탄소의 새로운 스톡은 프로세싱 챔버(336)로 급송되는 반면에, 그 내부에서 현탁되거나 또는 분해된 프로세싱 잔류물과 함께 초임계 세척액은 통기 라인(364)을 통해 프로세싱 챔버(336)로부터 동시적으로 치환된다. 푸쉬-스루 단계가 완료된 후, 그 다음 존속 시간(T4)에 걸쳐, 프로세싱 챔버(336)는 수회의 감압 및 가압 사이클을 통해 순환된다. 양호하게는, 이것은 제1 배기에서 작동 압력(Pop) 미만인 약 1,100-1,200psi로 프로세싱 챔버(336)를 통시시킨 다음 제1 압력 재충전을 이용하여 프로세싱 챔버(336) 내의 압력을 1,100-1,200psi에서 작동 압력(Pop) 이상으로 상승시킴으로써 달성된다. 그 후, 감압 및 가압 사이클이 완료되며, 프로세싱 챔버는 대기압으로 완전히 통기 및 배기된다. 웨이퍼 프로세싱에 있어서, 다음 웨이퍼 처리 단계가 시작되거나 웨이퍼가 프로세싱 챔버로부터 제거되고 제2 공정 장치 혹은 모듈로 처리 지속을 위해 운반된다.
상기 곡선(400)은 단지 예시의 목적으로 제공된 것이다. 당업자라면 초임계 처리 단계는 본 발명의 영역을 벗어나지 않고 임의의 수의 상이한 시간/압력 혹은 온도 프로파일을 지닐 수 있다는 것으로 이해할 것이다. 더욱이, 각각의 단계가 임의의 수의 가압 및 감압 사이클을 지니는 임의의 수의 세척 및 세정 프로세싱 시퀀스를 고려할 수 있다. 또한, 전술한 바와 같이, 초임계 처리액 내의 여러 화학물 및 종들의 농도는 즉시 적용하기 위해 용이하게 맞추어 만들어질 수 있고, 또 초임계 처리 단계 내에서 언제든지 변경될 수 있다. 본 발명의 양호한 실시예에 따르면, 유전체층은 도 6 및 도 7을 참조하여 전술한 바와 같이 약 3분의 사이클 동안 1 내지 10회의 부동화 단계로 처리된다.
도 9에는 패턴화된 저유전율의 유전체층과 그 위의 에칭 후 잔류물을 포함하는 기판 구조체를 초임계 세척 및 처리 화합물(혹은 부동화액)을 사용하여 처리하기 위한 단계를 나타내는 블록선도(500)가 도시되어 있다. 단계 502에서, 포스트-에칭 잔류물을 포함하는 기판 구조체가 프로세싱 챔버 내에 배치 및 밀봉된다. 기판 구조체가 단계 502에서 프로세싱 챔버 내에 배치 및 밀봉된 이후, 단계 504에서 프로세싱 챔버는 초임계 CO2로 가압되고, 프로세싱 화학물은 초임계 세정 및 부동화액을 만들기 위해 초임계 CO2에 첨가된다. 양호하게는, 세척 및 부동화 화합물은 적어도 하나의 오가노실리콘 화합물을 포함한다.
초임계 세척 및 부동화액이 상기 단계 504에서 만들어진 후, 단계 506에서 기판 구조체는 잔류물의 적어도 일부를 기판 구조체로부터 제거하고 그 잔류물의 제거 후 노출된 표면을 부동화시키기에 충분한 시간 주기 동안 초임계 처리액 내에서 유지된다. 단계 506 동안, 초임계 세척 및 부동화액은 프로세싱 챔버를 통해 순환 및/또는 그렇지 않으면 기판 구조체의 표면 위로 초임계 세척액을 이동시키도록 교반되는 것이 바람직하다.
다시 도 9를 참조하면, 단계 506에서 기판 구조체로부터 잔류물의 적어도 일부를 제거한 후, 프로세싱 챔버는 단계 508에서 부분적으로 배기된다. 상기 단계 504와 단계 506을 포함하는 세척 공정은 단계 508을 단계 504로 연결하는 화살표로 표시된 바와 같이 기판 구조체로부터 잔류물을 제거하고 노출된 표면을 부동화시키기 위해 요구되는 임의 회수만큼 반복된다. 본 발명의 실시예에 따르면, 상기 공정은 새로운 초임계 이산화탄소, 새로운 화학물 혹은 양자를 이용하는 단계 504와 단계 506을 포함한다. 그 대안으로, 세척 화학물의 농도는 초임계 이산화탄소로 프로세싱 챔버를 희석시키고, 세척 화학물 혹은 그것의 조합인 추가 충전량을 첨가함으로써 변경된다.
도 9를 다시 참조하면, 프로세싱 단계 504, 506 및 단계 508이 완료된 후, 단계 510에서 기판 구조체를 초임계 세정액으로 처리하는 것이 바람직하다. 초임계 세정액은 초임계 CO2와 하나 이상의 유기질 용매를 포함하는 것이 바람직하지만, 순수 초임계 CO2일 수 있다.
도 9에 도시된 바와 같이, 기판 구조체를 단계 504, 506 및 508에서 세척하 고 단계 510에서 세정한 후, 단계 512에서 프로세싱 챔버는 감압되고 기판 구조체는 프로세싱 챔버로부터 제거된다. 그 대안으로 기판 구조체는 단계 510과 504를 연결하는 화살표로 표시된 바와 같이 단계 504, 506, 508 및 510을 포함하는 하나 이상의 추가적인 세척/세정 공정을 통해 반복된다. 그 대안으로 또는 하나 이상의 추가적인 세척/세정 사이클을 통한 기판 구조체를 순환시키는 것에 추가하여, 단계 510과 508을 연결하는 화살표에 의해 표시된 바와 같이 단계 512에서 챔버로부터 기판 구조체를 제거하기 이전에 여러 세정 사이클로 처리된다.
전술한 바와 같이, 상기 기판 구조체는 초임계 이산화탄소와, 메탄올, 에탄올, n-헥산, 및/또는 이들의 조합 등과 같은 하나 이상의 용매를 포함하는 초임계 용액을 사용함으로써 그 위의 저유전율의 유전체층을 부동화시키기 이전에 건조 및/또는 예비 처리될 수 있다. 또한 전술한 바와 같이, 초임계 이산화탄소와 n-헥산을 포함하는 초임계 용액으로 저유전율의 유전체층을 에비 처리하는 것은 저유전율의 유전체층의 표면 상에 실릴기의 피복을 향상시키는 것으로 보인다. 또한, 포스트-에칭 잔류물 및/또는 패턴화된 저유전율의 유전체층을 포함하는 웨이퍼는 임의의 회수의 세척 및 부동화 단계 및/또는 시퀀스로 처리될 수 있다는 것이 당업자들에게는 명백할 것이다.
저유전율의 유전체 물질의 부동화 방법은 비록 포스트-에칭 처리 및/또는 포스트-에칭 세척 처리를 참조하여 본 명세서에서 이미 설명하였지만, 본 발명의 방법은 저유전율의 유전체 물질을 직접 부동화시키기 위해 사용될 수 있다는 것으로 당업자들은 이해할 것이다. 더욱이, 본 발명의 방법에 따라 저유전율의 유전체 물 질을 처리할 때 초임계 세정 단계는 항시 필수적인 것은 아니며, 초임계 부동화 용액으로 저유전율의 유전체 물질을 처리하기 이전에 저유전율의 유전체 물질을 간단히 건조하는 것이 몇몇 응용에서 적합할 수도 있다는 것을 인식할 것이다.
일실시예에 있어서, 도 6 및 도 7에 상세히 설명한 바와 같은 초임계 프로세싱 시스템은 여러 가지의 조건 하에서 회복 화합물에 저유전율의 유전체층을 노출시킴으로써 MSQ 물질로부터 형성된 그 유전체층으로 샘플을 처리하기 위해 사용된다. 제1 세트의 조건하에서, 저유전율의 유전체 물질의 층을 갖는 샘플을 헥산과 6퍼센트의 TMCS의 용액으로 처리하였다. 그 다음, 그 샘플을 약 1시간 동안 약 100℃에서 서냉시켰다. 제2 세트의 조건하에서는, 저유전율의 유전체 물질의 층을 지닌 샘플을 약 3,000psi에서 약 1.0퍼센트의 TMCS을 갖는 초임계 이산화탄소로 부동화액으로 처리하였다. 제3 세트의 조건하에서, 저유전율의 유전체 물질의 층을 지닌 샘플을 약 3,000psi에서 100℃로 약 1.0 퍼센트 TMCS를 지닌 초임계 이산화탄소 부동화액으로 처리하였다. 전술한 조건하에서 샘플들을 처리한 후, 비처리된 샘플의 퓨리에 변환 적외선(FTIR : Fourier Transform Infrared) 분광과 처리된 샘플 각각을 수집하였다. 수집된 FTIR 분광의 비교 그래프가 도 10a 및 도 10b에 도시되어 있다.
도 10a에는 약 250 내지 4,000(m-1)의 파수에서의 적외선 스펙트럼 구역을 나타낸 그래프가 도시되어 있다. 피크(611)는 Si(CH3)3 기의 C-H 신장에 해당하는 것으로, 이는 처리 화합물로 처리된 모든 샘플들에 있어서 현저하게 증가한 것이 다. 피크(661)는 Si(CH3)3 기의 C-H 결합에 해당하는 것으로, 이것 또한 처리 화합물로 처리된 모든 샘플들에 있어서 현저하게 증가한 것이다. 도 10b에는 처리된 샘플에 있어서 피크(661)의 증가를 더 상세하게 도시하기 위해 약 2,800 내지 3,100(m-1)의 파수에서 도 10a에 도시된 적외선 스펙트럼 구역의 확장을 나타낸 비교 그래프가 도시되어 있다.
도 10a를 다시 참조하면, 처리된 샘플에서는 무시될 수 있지만 비처리된 샘플에서는 두드러진 O-H 신장에 해당하는 폭넓은 피크(663)가 도시되어 있다. 도 10a 및 도 10b에 도시된 스펙트라로부터 알 수 있듯이, TMCS는 습식 벤치(wet bench) 조건과 초임계 처리 조건 양자에서 저유전율 유전체 물질의 부동화를 위한 효과적인 처리 화합물인 것이 명백하다.
본 발명은 저유전율의 유전체 표면을 부동화하는 능력을 가지며, 초임계 프로세싱 환경에서 패턴화된 저유전율의 유전체층을 위해 포스트-에칭 잔류물 제거(한정하려는 의도는 아니지만 스핀-온 중합체 무반사 코팅층과 포토폴리머 포함)와 같은 다른 처리 단계에도 적합할 수 있다.
본 발명은 또한 패턴화 단계 후에 잃었던 유전체 물질의 유전율(k-값)을 복원 혹은 부분적으로 복원하는 것으로 관찰되었고, 시간의 경과에 따라 안정되는 저유전율의 유전체층을 형성하는 것으로 예시되었다. 본 발명은 또한 노출된 다공성 표면을 밀봉 또는 부분적으로 밀봉하는 것으로 관찰되었다.
이상, 단지 본 발명의 몇몇 예시적인 실시예들이 상세하게 설명되었지만 당 업자는 본 발명의 신규한 교시와 장점에서 현저하게 벗어나지 않고 많은 변형이 가능하다는 것을 쉽게 인식할 것이다. 예컨대, 저유전율 표면에 손상은 주로 에칭 혹은 에시로 발생된 손상을 참조하여 설명하였지만, 본 발명은 단지 이러한 손상을 처리하는 데에만 한정되는 것은 아니고 저유전율의 막을 포함하는 웨이퍼의 다른 취급 혹은 처리에 의해 야기된 저유전율 막 손상의 처리를 위해 실시될 수 있다. 따라서, 이러한 모든 변형은 본 발명의 영역 내에 포함되는 것을 의도한다.

Claims (48)

  1. 유전체 막을 처리하기 위한 방법으로서,
    상기 유전체 막의 적어도 하나의 면을 CxHy 함유 물질에 노출시키는 단계
    를 포함하며, 상기 x 와 y는 각각 1 이상의 정수이며, 상기 유전체 막의 유전율 값은 SiO2의 유전율 미만인 것인 유전체 막 처리 방법.
  2. 제1항에 있어서, 상기 유전체 막의 적어도 하나의 면을 질소 함유 물질 및 염소 함유 물질 중 하나 이상에 노출시키는 단계를 더 포함하는 것인 유전체 막 처리 방법.
  3. 제1항에 있어서, 상기 유전체 막을 노출시키는 단계는 1.6 내지 2.7 범위의 유전율을 지닌 유전체 막을 노출시키는 단계를 포함하는 것인 유전체 막 처리 방법.
  4. 제1항에 있어서, 상기 유전체 막을 노출시키는 단계는 다공성 유전체 막과 비다공성 유전체 막 중 하나 이상을 노출시키는 단계를 포함하는 것인 유전체 막 처리 방법.
  5. 제1항에 있어서, 상기 다공성 유전체 막을 노출시키는 단계는 단상 물질과 2상 물질 중 하나 이상을 노출시키는 단계를 포함하는 것인 유전체 막 처리 방법.
  6. 제1항에 있어서, 상기 유전체 막을 노출시키는 단계는 유기 물질과 무기 물질 중 하나 이상을 포함하는 막을 노출시키는 단계를 포함하는 것인 유전체 막 처리 방법.
  7. 제6항에 있어서, 상기 막을 노출시키는 단계는 무기-유기 하이브리드 물질을 포함하는 막을 노출시키는 단계를 포함하는 것인 유전체 막 처리 방법.
  8. 제6항에 있어서, 상기 막을 노출시키는 단계는 산화 오가노 실란(oxidized organo silane)을 포함하는 막을 노출시키는 단계를 포함하는 것인 유전체 막 처리 방법.
  9. 제6항에 있어서, 상기 막을 노출시키는 단계는 수소 실세스퀴옥산(hydrogen silsesquioxane)과 메틸 실세스퀴옥산 중 하나 이상을 포함하는 막을 노출시키는 단계를 포함하는 것인 유전체 막 처리 방법.
  10. 제6항에 있어서, 상기 막을 노출시키는 단계는 실리케이트계 물질을 포함하는 막을 노출시키는 단계를 포함하는 것인 유전체 막 처리 방법.
  11. 제6항에 있어서, 상기 막을 노출시키는 단계는 규소, 탄소, 및 산소를 포함하는 콜렉티브(collective) 막을 노출시키는 단계를 포함하는 것인 유전체 막 처리 방법.
  12. 제11항에 있어서, 상기 콜렉티브 막을 노출시키는 단계는 상기 콜렉티브 막 내의 수소를 노출시키는 단계를 포함하는 것인 유전체 막 처리 방법.
  13. 제1항에 있어서, 상기 유전체 막을 상기 CxHy 함유 물질에 노출시키는 단계는 상기 CxHy 함유 물질을 증기상, 액상, 및 초임계 유체 중 하나 이상에 주입하는 단계를 포함하는 것인 유전체 막 처리 방법.
  14. 제13항에 있어서, 상기 CxHy 함유 물질을 초임계 유체에 주입하는 단계는 상기 CxHy 함유 물질을 초임계 이산화탄소 내에 주입하는 단계를 포함하는 것인 유전체 막 처리 방법.
  15. 제1항 또는 제2항에 있어서, 상기 유전체 막을 상기 CxHy 함유 물질에 노출시키는 단계는 상기 유전체 막을 CH2 함유 물질과 CH3 함유 물질 중 하나 이상에 노 출시키는 단계를 포함하는 것인 유전체 막 처리 방법.
  16. 제1항에 있어서, 상기 유전체 막을 상기 CxHy 함유 물질에 노출시키는 단계는 상기 유전체 막을 TMCTS와 OMCTS 중 하나 이상에 노출시키는 단계를 포함하는 것인 유전체 막 처리 방법.
  17. 제2항에 있어서, 상기 유전체 막을 상기 CxHy 함유 물질에 노출시키는 단계는 상기 유전체 막을 헥사메틸디실라잔(HMDS), 트리메틸디실라잔(TMDS), 클로로트리메틸디실란(TMCS), 트리클로로메틸디실란(TCMS), [C6H5Si(CH3)2]2NH, C15H29NSi, (CH3)2NH 디메틸아민, 및 H2N(CH2)3Si(OC2H5)3 3-아미노프로필트리에톡시실란 중 하나 이상에 노출시키는 단계를 포함하는 것인 유전체 막 처리 방법.
  18. 제1항에 있어서, 상기 유전체 막을 상기 기판에서 50℃ 내지 400℃ 범위의 온도로 가열하는 단계를 더 포함하는 것인 유전체 막 처리 방법.
  19. 제1항 또는 제2항에 있어서, 상기 유전체 막을 상기 CxHy 함유 물질에 노출시키는 단계는 상기 유전체 막의 회복, 상기 유전체 막의 밀봉, 및 상기 유전체 막의 세척 중 하나 이상을 용이하게 해주는 것인 유전체 막 처리 방법.
  20. 제1항에 있어서, 상기 유전체 막의 적어도 하나의 면을 상기 CxHy 함유 물질에 노출시키는 단계는 상기 유전체 막의 적어도 하나의 면을 제1 CxHy 함유 물질과 제2 CxHy 함유 물질에 노출시키는 단계를 포함하는 것인 유전체 막 처리 방법.
  21. 기판에 유전체 막을 생성하는 방법으로서,
    상기 기판 상에 상기 유전체 막을 형성하는 단계와;
    상기 유전체 막 상에 마스크를 형성하는 단계와;
    상기 마스크에 패턴을 형성하는 단계와;
    상기 마스크의 상기 패턴을 상기 유전체 막으로 전사시킴으로써 상기 유전체 막 내에 적어도 하나의 특징부를 형성하는 단계와;
    상기 유전체 막 내의 상기 특징부의 측벽을 처리 화합물에 노출하는 단계
    를 포함하며, 상기 처리 화합물은 CxHy 함유 물질을 포함하며, 상기 x와 y는 각각 1 이상의 정수인 유전체 막 생성 방법.
  22. 제21항에 있어서, 상기 특징부의 측벽을 상기 처리 화합물에 노출시키는 단계를 더 포함하며, 상기 처리 화합물은 질소 함유 물질과 염소 함유 물질 중 하나 이상을 더 포함하는 것인 유전체 막 생성 방법.
  23. 제21항 또는 제22항에 있어서, 상기 유전체 막을 상기 기판에서 50℃ 내지 400℃ 범위의 온도로 가열하는 단계를 더 포함하는 것인 유전체 막 생성 방법.
  24. 제21항 또는 제22항에 있어서, 상기 특징부의 상기 측벽을 상기 CxHy 함유 물질에 노출시키는 단계는 상기 특징부의 상기 측벽을 CH2 함유 물질과 CH3 함유 물질 중 하나 이상에 노출시키는 단계를 포함하는 것인 유전체 막 생성 방법.
  25. 제21항에 있어서, 상기 특징부의 상기 측벽을 상기 CxHy 함유 물질에 노출시키는 단계는 상기 특징부의 상기 측벽을 TMCTS와 OMCTS 중 하나 이상에 노출시키는 단계를 포함하는 것인 유전체 막 생성 방법.
  26. 제22항에 있어서, 상기 유전체 막의 특징부의 상기 측벽을 상기 회복 화합물에 노출시키는 상기 단계는 상기 유전체 막을 헥사메틸디실라잔(HMDS), 트리메틸디실라잔(TMDS), 클로로트리메틸디실란(TMCS), 트리클로로메틸디실란(TCMS), [C6H5Si(CH3)2]2NH, C15H29NSi, (CH3)2NH 디메틸아민, 및 H2N(CH2)3Si(OC2H5)3 3-아미노프로필트리에톡시실란 중 하나 이상에 노출시키는 단계를 포함하는 것인 유전체 막 생성 방법.
  27. 유전체 막을 처리하는 방법으로서,
    상기 유전체 막을 처리 화합물에 노출하는 단계를 포함하며, 상기 처리 화합물은 CxHy 함유 물질을 포함하며, 상기 x와 y는 각각 1 이상의 정수인 유전체 막 처리 방법.
  28. 제27항에 있어서, 상기 유전체 막을 상기 처리 화합물에 노출시키는 단계를 더 포함하며, 상기 처리 화합물은 질소 함유 물질과 염소 함유 물질 중 하나 이상을 더 포함하는 것인 유전체 막 처리 방법.
  29. 제27항 또는 제28항에 있어서, 상기 유전체 막을 상기 처리 화합물에 노출시키는 단계는 상기 유전체 막의 회복, 상기 유전체 막의 밀봉, 및 상기 유전체 막의 세척 중 하나 이상을 용이하게 해주는 것인 유전체 막 처리 방법.
  30. 유전체 막과;
    상기 유전체 막 내에 형성된 특징부과;
    상기 특징부의 표면을 회복하는 수단
    을 포함하는 처리된 유전체 막.
  31. 다공성 유전체 막과;
    상기 다공성 유전체 막 내에 형성된 특징부와;
    상기 다공성 유전체 내의 상기 특징부의 표면 상에 노출된 세공을 밀봉하는 수단
    을 포함하는 밀봉된 유전체 막.
  32. 기판 상에서 유전체 막을 처리하기 위한 프로세싱 시스템으로서,
    프로세스 챔버와;
    상기 프로세스 챔버에 결합되는 동시에 상기 기판 상에서 유전체 막을 처리하기 위해 상기 프로세스 챔버에 처리 화합물을 공급하도록 구성된 유체 분배 시스템
    을 구비하며, 상기 처리 화합물은 CxHy 함유 물질을 포함하며, 상기 x와 y는 각각 1 이상의 정수인 프로세싱 시스템.
  33. 제32항에 있어서, 상기 처리 화합물은 질소 함유 물질과 염소 함유 물질 중 하나 이상을 더 포함하는 것인 프로세싱 시스템.
  34. 제32항 또는 제33항에 있어서, 상기 처리 화합물은 상기 유전체 막의 회복, 상기 유전체 막의 밀봉, 및 상기 유전체 막의 세척 중 하나 이상을 용이하게 해주는 것인 프로세싱 시스템.
  35. 제32항 또는 제33항에 있어서, 상기 CxHy 함유 물질은 CH2 함유 물질과 CH3 함유 물질 중 하나 이상을 포함하는 것인 프로세싱 시스템.
  36. 제32항에 있어서, 상기 CxHy 함유 물질은 TMCTS와 OMCTS 중 하나 이상을 포함하는 것인 프로세싱 시스템.
  37. 제33항에 있어서, 상기 처리 화합물은 헥사메틸디실라잔(HMDS), 트리메틸디실라잔(TMDS), 클로로트리메틸디실란(TMCS), 트리클로로메틸디실란(TCMS), [C6H5Si(CH3)2]2NH, C15H29NSi, (CH3)2NH 디메틸아민, 및 H2N(CH2)3Si(OC2H5)3 3-아미노프로필트리에톡시실란 중 하나 이상을 포함하는 것인 프로세싱 시스템.
  38. 제32항에 있어서, 상기 프로세스 챔버는 상기 기판을 지지하도록 구성된 기판 홀더를 더 포함하는 것인 프로세싱 시스템.
  39. 제38항에 있어서, 상기 기판 홀더는 상기 기판을 50℃ 내지 400℃ 범위의 온도로 가열하도록 추가로 구성되는 것인 프로세싱 시스템.
  40. 제32항에 있어서, 상기 프로세스 챔버는 초임계 프로세싱 챔버를 포함하며, 상기 유체 분배 시스템은 상기 프로세스 챔버에 초임계 유체와 상기 처리 화합물을 공급하도록 구성되어 있는 것인 프로세싱 시스템.
  41. 제32항에 있어서, 상기 프로세스 챔버는 증기 처리 프로세싱 챔버를 포함하며, 상기 유체 분배 시스템은 상기 프로세스 챔버에 상기 처리 화합물의 증기를 공급하도록 구성되어 있는 것인 프로세싱 시스템.
  42. 제32항에 있어서, 상기 프로세스 챔버는 침지조를 포함하며, 상기 유체 분배 시스템은 상기 프로세스 챔버에 액화된 처리 화합물을 공급하도록 구성되어 있는 것인 프로세싱 시스템.
  43. 제32항에 있어서, 상기 프로세스 챔버는 액상 처리 장치를 포함하며, 상기 유체 분배 시스템은 상기 유전체 막에 상기 처리 화합물을 분배하도록 구성되어 있는 것인 프로세싱 시스템.
  44. 제38항에 있어서, 상기 액상 처리 장치는 상기 처리 화합물의 분배 동안 상기 유전체 막과 함께 상기 기판을 지지 및 회전시키도록 구성된 기판 홀더를 포함하는 것인 프로세싱 시스템.
  45. 기판 상에서 유전체 막을 처리하기 위한 프로세싱 시스템으로서,
    상기 유전체 막을 처리 화합물에 노출시키는 수단을 포함하며, 상기 처리 화 합물은 CxHy 함유 물질을 포함하며, 상기 x와 y는 각각 1 이상의 정수인 것인 프로세싱 시스템.
  46. 제45항에 있어서, 상기 처리 화합물은 질소 함유 물질과 염소 함유 물질 중 하나 이상을 더 포함하는 것인 프로세싱 시스템.
  47. 제45항에 있어서, 상기 유전체 막을 상기 기판에서 50℃ 내지 200℃ 범위의 온도로 가열하는 수단을 더 포함하는 것인 프로세싱 시스템.
  48. 표면 영역을 지닌 유전체 막과;
    저유전율 막의 상기 표면 영역에 배치된 CxHy 함유 물질을 포함하는 것인 처리된 유전체 막.
KR1020067004979A 2003-10-10 2004-10-07 유전체 막을 처리하기 위한 방법 및 시스템 KR101026211B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/682,196 US7553769B2 (en) 2003-10-10 2003-10-10 Method for treating a dielectric film
US10/682,196 2003-10-10

Publications (2)

Publication Number Publication Date
KR20060126933A true KR20060126933A (ko) 2006-12-11
KR101026211B1 KR101026211B1 (ko) 2011-03-31

Family

ID=34422460

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067004979A KR101026211B1 (ko) 2003-10-10 2004-10-07 유전체 막을 처리하기 위한 방법 및 시스템

Country Status (7)

Country Link
US (1) US7553769B2 (ko)
EP (1) EP1671360A4 (ko)
JP (1) JP4847332B2 (ko)
KR (1) KR101026211B1 (ko)
CN (1) CN101416277B (ko)
TW (1) TWI299178B (ko)
WO (1) WO2005038863A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100861311B1 (ko) * 2007-09-10 2008-10-01 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR101376003B1 (ko) * 2012-06-25 2014-03-19 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 다공성 유전 물질을 위한 자체 수리 프로세스
KR20160062797A (ko) * 2014-11-25 2016-06-03 삼성전자주식회사 다공성 절연막의 처리 방법 및 이를 이용한 반도체 소자의 제조 방법

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5307963B2 (ja) * 2000-06-23 2013-10-02 ハネウェル・インターナショナル・インコーポレーテッド 誘電フィルム及び材料における疎水性を回復する方法
US8277675B2 (en) * 2002-09-30 2012-10-02 Lam Research Corporation Method of damaged low-k dielectric film layer removal
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
EP1588411A4 (en) * 2003-01-25 2008-10-01 Honeywell Int Inc REPAIR AND RESTORATION OF DAMAGED DIELECTRIC MATERIALS AND FILMS
US7138333B2 (en) * 2003-09-05 2006-11-21 Infineon Technologies Ag Process for sealing plasma-damaged, porous low-k materials
US8475666B2 (en) 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US20050077629A1 (en) * 2003-10-14 2005-04-14 International Business Machines Corporation Photoresist ash process with reduced inter-level dielectric ( ILD) damage
US7199046B2 (en) * 2003-11-14 2007-04-03 Tokyo Electron Ltd. Structure comprising tunable anti-reflective coating and method of forming thereof
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US7307019B2 (en) * 2004-09-29 2007-12-11 Tokyo Electron Limited Method for supercritical carbon dioxide processing of fluoro-carbon films
US20060128163A1 (en) * 2004-12-14 2006-06-15 International Business Machines Corporation Surface treatment of post-rie-damaged p-osg and other damaged materials
US7124764B2 (en) * 2004-12-29 2006-10-24 Industrial Technology Research Institute Method for removing impurities from porous materials
US20080207005A1 (en) * 2005-02-15 2008-08-28 Freescale Semiconductor, Inc. Wafer Cleaning After Via-Etching
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7335586B2 (en) * 2005-06-10 2008-02-26 Intel Corporation Sealing porous dielectric material using plasma-induced surface polymerization
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
JP4630756B2 (ja) * 2005-08-05 2011-02-09 パナソニック株式会社 半導体装置及びその製造方法
US20090179357A1 (en) * 2005-08-12 2009-07-16 Mitsui Chemicals, Inc. Method and Apparatus for Producing Porous Silica
US20070054501A1 (en) * 2005-08-23 2007-03-08 Battelle Memorial Institute Process for modifying dielectric materials
JP4657859B2 (ja) * 2005-09-09 2011-03-23 ローム株式会社 多孔質薄膜の製造方法、多孔質薄膜およびこれを用いた半導体装置
TW200721311A (en) * 2005-10-11 2007-06-01 Toshiba Kk Semiconductor device manufacturing method and chemical fluid used for manufacturing semiconductor device
JP5019741B2 (ja) * 2005-11-30 2012-09-05 東京エレクトロン株式会社 半導体装置の製造方法および基板処理システム
US20090045164A1 (en) * 2006-02-03 2009-02-19 Freescale Semiconductor, Inc. "universal" barrier cmp slurry for use with low dielectric constant interlayer dielectrics
US7485573B2 (en) * 2006-02-17 2009-02-03 International Business Machines Corporation Process of making a semiconductor device using multiple antireflective materials
US20090301867A1 (en) * 2006-02-24 2009-12-10 Citibank N.A. Integrated system for semiconductor substrate processing using liquid phase metal deposition
JP4716370B2 (ja) * 2006-03-27 2011-07-06 東京エレクトロン株式会社 低誘電率膜のダメージ修復方法及び半導体製造装置
US7576851B2 (en) * 2006-03-30 2009-08-18 Tokyo Electron Limited Creating a library for measuring a damaged structure formed on a wafer using optical metrology
US7619731B2 (en) * 2006-03-30 2009-11-17 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7324193B2 (en) * 2006-03-30 2008-01-29 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7623978B2 (en) * 2006-03-30 2009-11-24 Tokyo Electron Limited Damage assessment of a wafer using optical metrology
US20080067145A1 (en) * 2006-09-14 2008-03-20 United Microelectronics Corp. Method of recycling dummy wafer
US7723237B2 (en) * 2006-12-15 2010-05-25 Tokyo Electron Limited Method for selective removal of damaged multi-stack bilayer films
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US20100015731A1 (en) * 2007-02-20 2010-01-21 Lam Research Corporation Method of low-k dielectric film repair
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
US8282844B2 (en) * 2007-08-01 2012-10-09 Tokyo Electron Limited Method for etching metal nitride with high selectivity to other materials
JP5449189B2 (ja) * 2007-12-19 2014-03-19 ラム リサーチ コーポレーション low−k誘電体の気相修復及び細孔シーリング
JP5173396B2 (ja) * 2007-12-25 2013-04-03 大陽日酸株式会社 絶縁膜のダメージ回復処理方法
CN102046699B (zh) * 2008-05-26 2012-09-05 巴斯夫欧洲公司 制备多孔材料的方法和通过该方法制备的多孔材料
WO2010027128A1 (en) 2008-09-02 2010-03-11 Cheil Industries Inc. Compound for filling small gaps in semiconductor device, composition comprising the compound and process for fabricating semiconductor capacitor
KR101350020B1 (ko) * 2008-12-08 2014-01-13 후지쯔 가부시끼가이샤 반도체 장치의 제조방법
SG174296A1 (en) * 2009-03-10 2011-10-28 Air Liquide Cyclic amino compounds for low-k silylation
US8242460B2 (en) * 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus
US9478437B2 (en) 2011-06-01 2016-10-25 Applied Materials, Inc. Methods for repairing low-k dielectrics using carbon plasma immersion
KR101659463B1 (ko) * 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
CN102881585A (zh) * 2011-07-12 2013-01-16 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN102319656B (zh) * 2011-09-21 2013-06-12 上海先进半导体制造股份有限公司 Hmds自动供应系统及其自动供应的方法
US8592327B2 (en) 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US8551877B2 (en) 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8809194B2 (en) 2012-03-07 2014-08-19 Tokyo Electron Limited Formation of SiOCl-containing layer on spacer sidewalls to prevent CD loss during spacer etch
CN103367238B (zh) * 2012-03-31 2016-02-03 中芯国际集成电路制造(上海)有限公司 一种低k介质层及其形成方法
KR20150008404A (ko) * 2012-04-17 2015-01-22 프랙스에어 테크놀로지, 인코포레이티드 정제된 다중 이산화탄소 상을 공정 툴에 전달하기 위한 시스템
CN103377989B (zh) * 2012-04-18 2015-08-05 中芯国际集成电路制造(上海)有限公司 大马士革结构的制作方法
US8859430B2 (en) 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
US8962078B2 (en) * 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
CN103839871B (zh) * 2012-11-21 2017-09-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
FR3000602B1 (fr) * 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
CN104152863B (zh) * 2014-08-27 2019-10-25 上海华力微电子有限公司 一种提高钴阻挡层沉积选择比的方法
CN104319259B (zh) * 2014-10-29 2019-01-18 上海集成电路研发中心有限公司 一种超低介电常数薄膜的制作方法
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
US10544330B2 (en) 2017-01-20 2020-01-28 Honeywell International Inc. Gap filling dielectric materials

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2899600B2 (ja) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
JP4521992B2 (ja) 1998-04-01 2010-08-11 旭化成株式会社 配線構造体の製造方法
JP3248492B2 (ja) * 1998-08-14 2002-01-21 日本電気株式会社 半導体装置及びその製造方法
JP2000340651A (ja) * 1999-05-28 2000-12-08 Hitachi Chem Co Ltd 低誘電率膜の製造法
US6318124B1 (en) * 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
TW452863B (en) 2000-04-07 2001-09-01 Nano Architect Res Corp Methods for reducing a dielectric constant of a dielectric film and for forming a low dielectric constant porous film
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
TW492145B (en) 2001-06-21 2002-06-21 United Microelectronics Corp Method of avoiding dielectric layer deterioration with a low dielectric constant
KR100847926B1 (ko) * 2001-07-02 2008-07-22 다우 코닝 코포레이션 다공성 물질상의 SiC:H 침착에 의해 개선된 금속 장벽거동
US6570256B2 (en) * 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US6670717B2 (en) * 2001-10-15 2003-12-30 International Business Machines Corporation Structure and method for charge sensitive electrical devices
JP4152619B2 (ja) * 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
TW521312B (en) 2002-03-01 2003-02-21 Nat Science Council Manufacture method of increasing oxygen plasma resistance of porous low-k
US6875709B2 (en) * 2003-03-07 2005-04-05 Taiwan Semiconductor Manufacturing Comapny, Ltd. Application of a supercritical CO2 system for curing low k dielectric materials
US7345000B2 (en) * 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100861311B1 (ko) * 2007-09-10 2008-10-01 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR101376003B1 (ko) * 2012-06-25 2014-03-19 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 다공성 유전 물질을 위한 자체 수리 프로세스
US9029171B2 (en) 2012-06-25 2015-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Self repairing process for porous dielectric materials
US9806026B2 (en) 2012-06-25 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Self repairing process for porous dielectric materials
KR20160062797A (ko) * 2014-11-25 2016-06-03 삼성전자주식회사 다공성 절연막의 처리 방법 및 이를 이용한 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
TW200522141A (en) 2005-07-01
CN101416277A (zh) 2009-04-22
JP2007517380A (ja) 2007-06-28
US7553769B2 (en) 2009-06-30
US20050077597A1 (en) 2005-04-14
EP1671360A4 (en) 2010-04-14
CN101416277B (zh) 2011-06-22
KR101026211B1 (ko) 2011-03-31
JP4847332B2 (ja) 2011-12-28
WO2005038863A2 (en) 2005-04-28
EP1671360A2 (en) 2006-06-21
TWI299178B (en) 2008-07-21
WO2005038863A3 (en) 2009-04-02

Similar Documents

Publication Publication Date Title
KR101026211B1 (ko) 유전체 막을 처리하기 위한 방법 및 시스템
US7345000B2 (en) Method and system for treating a dielectric film
US7387868B2 (en) Treatment of a dielectric layer using supercritical CO2
US7270941B2 (en) Method of passivating of low dielectric materials in wafer processing
US7169540B2 (en) Method of treatment of porous dielectric films to reduce damage during cleaning
US7901743B2 (en) Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US8039049B2 (en) Treatment of low dielectric constant films using a batch processing system
US7405168B2 (en) Plural treatment step process for treating dielectric films
JP4424998B2 (ja) 多孔質誘電体膜の洗浄中のダメージを低減する処理方法
TW200305213A (en) Method of passivating of low dielectric materials in wafer processing
US7399708B2 (en) Method of treating a composite spin-on glass/anti-reflective material prior to cleaning

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140228

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150224

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160219

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee