KR20050047126A - 에칭 깊이 제어용 장치 및 방법 - Google Patents

에칭 깊이 제어용 장치 및 방법 Download PDF

Info

Publication number
KR20050047126A
KR20050047126A KR1020057005131A KR20057005131A KR20050047126A KR 20050047126 A KR20050047126 A KR 20050047126A KR 1020057005131 A KR1020057005131 A KR 1020057005131A KR 20057005131 A KR20057005131 A KR 20057005131A KR 20050047126 A KR20050047126 A KR 20050047126A
Authority
KR
South Korea
Prior art keywords
etching
depth
feature
etch
etch rate
Prior art date
Application number
KR1020057005131A
Other languages
English (en)
Other versions
KR101116589B1 (ko
Inventor
톰 에이 캠프
앨런 제이 밀러
비자야쿠마르 씨 베누고팔
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20050047126A publication Critical patent/KR20050047126A/ko
Application granted granted Critical
Publication of KR101116589B1 publication Critical patent/KR101116589B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Blast Furnaces (AREA)

Abstract

개선된 깊이 제어 제어와 복제 능력을 가진 웨이퍼 내의 피처를 에칭하기 위한 장치 및 방법이 설명된다. 피쳐는 제 1 에칭 속도로 에칭되며, 그 후 제 1 에칭 속도보다 느린 제 2 에칭 속도로 피쳐를 에칭한다. 광학 종점 디바이스는 에칭 깊이를 결정하는 사용되고 에칭은 피쳐가 요구하는 깊이를 가지도록 정지된다. 2 개의 상이한 에칭 속도가 우수한 깊이 제어와 재생 능력과 함께 고 처리율을 제공한다. 본 장치는 웨이퍼를 보유하기 위한 척을 포함하는 에칭 기구를 포함한다. 광학 종점 디바이스는 피쳐 엣지 깊이를 측정하도록 위치한다. 전자 제어기는 기구가 피쳐를 에칭함을 통해 에칭 속도 파트 (part) 방법을 줄이고 에칭 기구를 정지하도록 제어하여서, 피쳐가 요구되는 깊이까지 에칭된다.

Description

에칭 깊이 제어용 장치 및 방법{APPARATUS AND METHOD FOR CONTROLLING ETCH DEPTH}
기술분야
본 발명은 일반적으로 반도체 디바이스 제조 프로세스의 일부로서 피쳐 (feature) 를 에칭하기 위한 장치 및 방법에 관한 것이고, 보다 상세하게는 에칭되는 피쳐의 깊이의 정확한 제어를 허용하는 광학적 제어 방법 및 장치에 관한 것이다.
배경
반도체 디바이스의 제조에서 다수의 대항하는 압력이 있다. 디바이스 불량을 피하거나 불량 웨이퍼상의 디바이스 수를 줄이기 위해서 디바이스가 정확하게 제조되는 것이 중요하다. 또한 디바이스가 불량이 아니라 할지라도 디바이스가 정확하게 작동하는 것을 보증하도록, 디바이스가 제조 명세서를 만족하는 것이 중요하다. 또한 상이한 웨이퍼로부터 제조된 디바이스가 제조 명세서를 모두 만족하도록 하는 복제능력의 필요조건이 있다. 심지어 복제능력의 허용할 수 있는 단계가 실제로 만족한다면, 또한 디바이스의 설계자가 제조 명세서는 항상 만족할 수 있고 단순히 운의 문제와 같은 것이 아닌 위로를 요구하는 인지된 복제능력이 있을 수 있다.
또한 장치의 요구되는 처리율을 제공하기 위해 빠르게 작동하는 반도체 장치 제조 기구를 요구하는 처리율의 대항하는 압력이 있다. 그러나, 제조의 속도는 디바이스 제조의 복제능력과 정확성과 반대되는 경향이 있다.
반도체 디바이스의 제조에서 공통의 단계는 웨이퍼의 층 내부로 피쳐 (feature) 를 에칭하는 단계이다. 피쳐의 깊이는 일반적으로 디바이스의 정확한 작동 또는 불량 아니면 키 (key) 제조 명세서에서의 임계 계수일 수 있다.
사람이 정확한 깊이를 가진 피쳐가 에칭되는 것을 보증하도록 시도하는 한 개의 매카니즘 (mechanism) 은 에칭에 앞서 웨이퍼 내의 에칭 정지 층을 제공하는 것이다. 에칭 정지 층의 존재는 피쳐가 에칭 정지 층보다 깊게 에칭하는 것을 막는 방법을 제공하지만, 함께 시작할 보다 복잡한 웨이퍼 구조를 요구하며 그러므로 복잡해지고 비싸진다. 또한, 몇몇 디바이스에서 에칭 정지 층은 디바이스의 정확한 동작을 간섭하기 때문에 사용될 수 없다. 또한, 에칭 정지 층을 포함하지 않는 웨이퍼 기판 내부로 에칭하는 것이 필요할 수 있다.
또한 다양한 광학적 기술은 에칭 프로세스를 제어하기 위해 사용된다. 예를 들어, 게이트 제조 프로세스에서, 광학적 방사 (emission) 분광기는 다결정 실리콘 층이 끝까지 에칭될 때를 결정하는데 사용될 수 있다. 게이트 산화막이 노출되고 에칭되기 시작할 때에 방사 스펙트럼은 변경되어, 게이트 산화층이 검출된다. 하지만, 다시 이것은 웨이퍼 내의 에칭 정지 표시기처럼 효율적으로 작동하는 특별한 층의 존재를 요구한다. 또한, 방사 스펙트럼의 변화를 발생시키기 위해 게이트 산화막의 몇몇 에칭이 발생할 필요가 있고, 폴리실리콘 층을 통한 에칭의 깊이는 조심스럽게 제어될 수 없다.
충분히 정확한 에칭 깊이 제어를 제공하지 않는 다른 방법은, 간섭 측정 기반 기술의 사용이다. 단일 단계 에칭은 피쳐를 에칭하도록 사용되고 간섭 측정 종점 (IEP) 디바이스는 웨이퍼 내부로 에칭되는 피쳐의 깊이의 상대적 변경을 측정하는데 사용된다. 에칭 깊이의 요구되는 상대적 변경이 측정될 때, 에칭은 정지된다. 하지만, 고속 에칭 프로세스는 즉시 그리고 복제 방식으로 에칭을 정지할 수 없어서, 실제 에칭된 깊이에서 큰 변화가 있는 경향이 있다. 에칭 깊이의 제어 부족 및 복제능력의 부족은 디바이스 불량 또는 제조 명세서를 만족하는데 불량 또는 디바이스 설계자 요구를 만족시키지 못하는 웨이퍼-투-웨이퍼 (wafer-to-wafer) 변형을 초래한다.
그러므로 충분한 프로세스 처리율을 계속 제공하면서 에칭 깊이를 정확하게 제어하는 단일하고 복제가능한 방법을 요구한다.
요약
웨이퍼에서 요구되는 깊이까지 피쳐를 에칭하는 방법이 개시된다. 본 방법은 제 1 에칭 속도로 피쳐를 에칭하는 단계를 포함한다. 그 후, 제 1 에칭 속도보다 느린 제 2 에칭 속도로 피쳐를 에칭한다. 에칭 깊이는 광학적으로 결정되며 피쳐가 요구되는 깊이를 가지도록 에칭이 정지된다. 2 개의 상이한 에칭 속도의 사용은 우수한 깊이 제어를 갖는 고 처리율을 제공한다. 제 1 에칭 속도보다 느린 제 2 에칭 속도를 사용하는 것은 개선된 광학 종점 해결을 촉진한다.
다른 양태를 따르면, 본 발명은 웨이퍼의 실리콘 층에 트렌치를 에칭하는 방법을 제공한다. 본 방법은 제 1 에칭 속도로 에칭하는 단계와 그 후 제 1 에칭 속도보다 느린 제 2 에칭 속도로 에칭하는 단계를 포함한다. 현재의 에칭 깊이는 광학적으로 결정되고, 에칭은 트렌치 깊이가 요구되는 종점에 도달되도록 정지된다.
또 다른 양태에 따르면, 본 발명은 웨이퍼에 피쳐를 에칭하는 장치를 제공한다. 본 장치는 웨이퍼를 유지하기 위한 척을 포함하는 에칭 기구를 포함한다. 광학 종점 디바이스는 에칭 깊이를 측정하도록 제공되며 배치된다. 전자 제어기는 광학 종점 디바이스 및 에칭 기구와 통신한다. 제어기는 기구가 피쳐를 에칭함을 통해 에칭 속도 파트 (part) 방법을 줄이고 에칭 기구를 정지하도록 제어하여서, 피쳐가 요구되는 깊이까지 에칭된다.
도면의 간단한 설명
추가적 이점을 함께 가지는 본 발명은 아래와 같은 첨부된 도면과 연계하여 아래의 설명을 참조하여 가장 잘 이해된다.
도 1 은 본 발명의 양태에 따른 에칭 장치의 개략적인 단면도이다.
도 2 는 본 발명의 에칭 방법에 따른, 내부에 에칭된 트렌치를 가지는 웨이퍼의 개략적인 단면도이다.
도 3 은 본 발명의 에칭 방법을 도시하는 흐름도를 나타낸다.
도 4 는 본 발명의 양태에 따른 에칭 장치의 다른 실시 형태의 개략적인 단면도이다.
도면에서, 동일한 참조 부호는 동일한 구성부분과 구성요소를 지칭한다.
발명의 상세한 설명
본 발명은 반도체 디바이스를 제조하는 웨이퍼에 에칭된 피쳐의 깊이를 제어하기 위한 방법 및 장치에 관한 것이다.
도 1 은 플라즈마 프로세스 기구 (101) 을 포함하는 플라즈마 프로세스 시스템 (100) 의 개략도이다. 플라즈마 프로세스 기구 (101) 은 플라즈마 에칭 기구와 유도적으로 결합되고 그 내부의 플라즈마 프로세스 챔버를 가지는 플라즈마 반응기 (102) 를 포함한다. 변압기 결합 파워 (Transformer coupled power;TCP) 제어기 (150) 및 바이어스 파워 제어기 (155) 는 개별적으로 플라즈마 챔버 (104) 내부에서 생성된 플라즈마 (124) 을 유도하는 TCP 파워 공급기 (151) 및 바이어스 파워 공급기 (156) 를 제어한다.
TCP 파워 제어기 (150) 는 TCP 일치 네트워크 (152 ; TCP match network) 에 의해 튜닝된 13.56 MHz 의 무선 주파수 신호를 플라즈마 챔버 (104) 근처에 위치한 TCP 코일 (153) 에게 공급하도록 구성된 TCP 파워 공급기 (151) 에 대한 세팅 포인트를 세팅한다. RF 투명 창 (154) 은, 에너지가 TCP 코일 (153) 부터 플라즈마 챔버 (102) 까지 통과하도록 허용하면서, 플라즈마 챔버 (104) 로부터 TCP 코일 (153) 을 분리하도록 제공된다. 광학적 투명 창 (165) 는 RF 투명 창 (154) 내부의 구멍에 위치하여 약 2.5 cm (1 인치) 의 지름을 가지는 원형 사파이어 조각에 의해 제공된다.
바이어스 파워 제어기 (155) 는 반도체 웨이퍼 워크피스와 같이 프로세스되는 기판 (106)을 수용하도록 이루어지는 전극 (108) 상에 직류 (DC) 바이어스를 생성하는 플라즈마 챔버 (104) 내부에 위치하는 척 전극 (108)에, 바이어스 일치 네트워크 (157) 에 의해 맞춰진 RF 신호를 공급하도록 구성된 바이어스 파워 공급기 (156)을 위한 세팅 포인트를 세팅한다.
기체 공급 메카니즘 또는 기체 소스 (110) 는 플라즈마 챔버 (104) 내부의 에칭 프로세스에 요구되는 적당한 화학 반응을 공급하도록 가스 분기관 (117) 을 통해 부착된 부식기 기체 또는 기체들 (116) 의 소스 또는 소스들을 포함한다. 기체 배기 메카니즘 (118) 은 압력 제어 밸브 (119) 및 배기 펌프 (120) 을 포함하고 플라즈마 챔버 (104) 내부에 파티클을 제거하고 플라즈마 챔버 (104) 내부의 특정 압력을 유지한다.
온도 제어기 (180) 은 가열기 파워 공급기 (184) 를 제어함으로써 척 (108) 내부에 제공된 가열기 (182) 의 온도를 제어한다.
일반 용어로, 플라즈마 챔버 (104) 내부에서, 기판 에칭은 진공 하에서 이온화된 기체 혼합물 (플라즈마) 에 기판을 노출함으로써 달성된다. 에칭 프로세스는 기체가 플라즈마 챔버 (104) 로 운반될 때 시작한다. TCP 코일 (153) 에 의해 전달되고 TCP 일치 네트워크 (110) 에 의해 맞춰진 RF 파워는 기체를 이온화한다. 전극 (108) 에 의해 전달된 파워는 기판 (106) 의 이온 충격의 방향 및 에너지를 제어하도록 기판 (106) 상에 DC 바이어스를 유도한다. 에칭 프로세스 동안, 플라즈마는 광저항 마스크에 의해 커버되지 않은 재료를 제거하도록 기판 (106) 의 표면과 화학적으로 반응한다.
본 발명의 바람직한 일 실시형태에서, 적당한 플리즈마 프로세스 기구는 캘리포니아 프레몬트 (Fremont) 에 있는 램 리서치 회사 (Lam Research Corporation) 에 의해 제공되는 2300 버시스 실리콘 에칭 시스템 (Versys Etch System) 일 것이다.
일 실시형태에서, 에칭 시스템은 플라즈마 프로세스 챔버 (104) 의 외부에 위치한 단일 파장 간섭측정 종점 디바이스 (160) 를 포함한다. 플라즈마 프로세스 챔버의 광학적 액세스는 RF 투명 창 (154) 의 구멍 내부의 직경이 약 2.5cm (1 인치) 인 사파이어 삽입물을 포함하는 창 (165) 에 의해 제공된다. 종점 디바이스 (160) 은 창 (165) 에 인접하여 위치하고 웨이퍼의 평면과 실질적으로 수직인 방향으로 웨이퍼 (106) 내부로 에칭된 피쳐의 깊이를 측정할 수 있도록 위치한다. 이하, 상술하는 바와 같이 종점 디바이스 (160) 는 웨이퍼 표면으로부터 반사되고 에칭 프로세스 동안의 실제 시간에서 감시하는 광의 실질적인 단일 파장, 또는 좁은 대역 (대역폭 ≤10nm) 을 생성하고 전송한다. 본 발명의 일 실시형태에서, 종점 디바이스 (160) 은 플라즈마 프로세스 챔버 (104) 또는 에칭 기구 (101) 의 통합된 부분으로서 제공된다.
또한 에칭 시스템 (100) 은 종점 디바이스 (160) 및 에칭 기구 (101) 과 통신하는 전자 제어 회로망 (170) 을 포함한다. 전자 제어 회로망은 에칭된 피쳐의 현재 깊이를 표시하는 전기 신호를 제공하는 종점 디바이스로부터의 광학 신호와 또한 에칭 기구의 동작을 제어하는 전기 신호를 프로세스하는 전기적 또는 광학적 디바이스를 포함한다. 전자 제어 회로망 (170) 은 적합하게 프로그래밍된 범용 디지털 컴퓨터의 형태일 수 있다. 전자 제어 회로망 (170) 은 웨이퍼 내부에 에칭된 피쳐의 깊이의 상대적 변화를 지속적으로 감시하고 후술하는 에칭 방법에 따라 에칭 기구의 에칭 작동을 제어할 수 있다.
본 발명의 다른 실시형태에서, 광대역 (약 190-1000nm 의 스펙트럼 범위) 반사파 측정의 기반 기술 및 장치는, 상술한 단일 좁은 파장 대역 기술 및 장치를 대신하여, 에칭 프로세스의 종점을 광학적으로 결정하는데 사용된다. 광대역 측정 장치 및 기술은 피쳐 깊이의 절대적 측정을 제공할 수 있다. 본 기술은 즉시 모델링하고 측정된 광대역 스펙트럼에 일치시킴으로써 피쳐 깊이를 매개적으로 측정정하는데 결정적 접근을 사용하는 것을 수반한다. 적합한 광대역 반사파 측정 기술은 2002년 8월 13일에 출원된 Vijaykumar C Venugopal 과 Andrew J Perry 의 이름의 명칭이 "리세스 및 깊은 트렌치 에칭 프로세스의 원위치 제어 말단 접근 {Endpoint Strategies for in situ Control of Recess and Deep Trench Etch Processes}" 인 미국 가 특허 출원번호 제 60/403,213 호 및 2002년 9월 6일 출원된 Vijaykumar C Venugopal 과 Andrew J Perry 의 이름의 명칭이 "플라즈마 에칭 프로세스에서 에칭 깊이의 원위치 모니터링을 위한 반사광 측정법 기반 접근{Reflectometry-based Approaches For in situ Monitoring of Etch Depths in Plasma Etching Processes}" 인 미국 가 특허 출원 제 60/408,619 호에서 설명되며, 이 2 개의 명세서의 전체는 모든 목적으로 참조로서 여기에 포함된다.
도 4 는 도 1 에 도시된 것과 동일하지만, 광대역 종점 측정 디바이스 (460) 를 포함하는 에칭 시스템 (400) 의 개략적인 단면도를 나타낸다. 광대역 종점 측정 장치 (460) 은, 사파이어 창 (465) 과 인접한 코리메이터 (464 ; collimator) 에 자외선 등급 파장의 광섬유 (462) 로 연결된 광대역 라디에이션 (radiation) 의 소스 (461) 를 포함한다. 코리메이터 (464) 는 다른 자외선 등급 파장의 광섬유 (466) 로 190-1000nm 의 스펙트럼그래프 (468) 에 연결되며, 제어 회로망 (470) 과 연결된다. 제어 회로망 (470) 은 광대역 종점 (460) 소자로부터의 신호를 프로세스하고 본 실시형태에서 에칭 깊이를 결정하도록 채택된다.
사용에 있어서, 에칭 기구 (101) 는 웨이퍼 (106) 에서 요구되는 피쳐를 에칭하는데 사용되는 플라즈마 챔버 (104) 내부에서 플라즈마 (124) 를 스트라이킹 (strike) 하고 유지하도록 제어된다. 이하, 도 2 와 도 3 를 참조하여 에칭 방법을 상술한다. 도 2 는 본 방법에 따라 에칭된 웨이퍼 (200) 의 개략적 부분 단면도를 나타내고 도 3 은 에칭 방법을 도시하는 흐름도 (300) 를 나타낸다. 본 방법의 실시형태는 얕은 트렌치 분리 (STI : shallow trench isolation) 프로세스의 일부로서 웨이퍼의 실리콘 기판층 내에서 트렌치를 에칭하는 것을 참조하여 설명된다.
도 2 는 에칭 프로세스가 수행되고 난 후의 웨이퍼 (200) 을 도시한다. 웨이퍼는 결정질 실리콘 기판 (202), 패드 (pad) 산화층 (204) 및 에칭될 트렌치 피쳐 (210) 의 위치를 한정하도록 사전에 패턴화된 실리콘 질화물 경질 마스크층 (206) 를 포함한다.
본 방법의 시작 (302) 에서 트렌치의 제 1 고속 에칭이 수행된다 (304). 고속 에칭 속도는 약 4000Å/분 보다 큰 에칭 속도라고 고려되어 질 수 있다. 약 5000 부터 4000Å/분 까지의 에칭 속도는 제 1 고속 에칭 (304) 에 사용될 수 있다. 에칭된 피쳐의 깊이는, 피쳐가 피쳐에서 요구되는 목적 종점 깊이 (214) 를 향하는 상당한 방법으로 제 1 깊이 (212) 에 도달되었는지 여부를 결정하도록, 감시된다 (306). 제 1 깊이 (212) 는 종점 깊이 (214) 의 약 65% 보다 크고, 약 70% 보다 큰 것이 바람직하며, 종점 깊이의 약 80% 보다 클 수록 더욱 바람직하다. 제 1 깊이 (212) 는 종점 깊이 (214) 의 약 65% 부터 85% 까지의 범위 내일 수 있고, 또한 종점 깊이 (214) 의 약 80% 부터 85% 까지의 범위내에 있는 것이 바람직하다.
고속 에칭은 아래의 작동 조건 및 작동법을 사용하여 수행될 수 있다: 약 10 부터 70mT 까지의 범위에서의 플라즈마 압력, 약 500 부터 1400W 까지의 범위에서의 TCP 파워, 약 0 부터 800W 까지의 범위에서의 하부 전극 바이어스 및 Ar, Cl2, HBr, CF4, O2, SF6 및 He 를 포함하는 에칭 가스 조성. 적합한 부식제 기체 혼합물 및 에칭 기구 작동 파라미터는 웨이퍼 (200) 내의 요구되는 피쳐의 고속 에칭을 제공하도록 사용될 수 있다.
에칭의 진행은 원 위치에서 광학적 종점 디바이스 (160) 및 제어 회로망 (170) 에 의해서 감시되고 (306) 현재 트렌치 깊이가 제 1 깊이 (212) 에 도달했다고 결정될 때 (306) 까지 제 1 고 속도 에칭 (304) 는 계속된다 (307). 고 에칭 속도 진행은 매끈하고 둥근 하부 표면을 포함하는 트렌치의 하부 (216) 에 우수한 프로파일 (profile) 을 제공한다. 이것은 트렌치가 산화 재료로 채워질 때 보이드 (void) 의 형성을 피하도록 도와주어서 디바이스 고장을 피하는데 도움을 주어 유리하다.
그 후, 제 2 의 느린 에칭은 제 1 에칭 보다 작은 에칭 속도를 가지며 수행된다 (308). 약 3000Å/분 보다 작은 에칭 속도는 STI 프로세스에 대해 느리게 고려될 수 있다. 그러나, 제 2 에칭 단계의 속도는 완성된 트렌치가 요구되는 프로파일을 가지도록 트렌치 하부의 매끈하고 둥근 프로파일을 상당히 보존하게 선택된다.
광학적 종점 디바이스 및 제어 회로가 교차 깊이 (212) 에 도달했음을 판단할 때, 에칭 기구는 에칭 속도를 줄이도록 제어되어 트렌치가 소정의 종점 깊이 (214) 까지 저속으로 에칭되도록 한다. 이 방법은, 다른 방법이 요구되는 느린 에칭 속도를 제공하는데 사용될 수 있지만, HBr 이 부식제 종의 소스로서 사용되도록 부식제 기체의 조성물을 변경하고 에칭 기구의 작동 파라미터를 변경함으로써 달성될 수 있다. 아래의 에칭 기체 조성물 및 에칭 기구 작동 파라미터는 약 1000-3000Å/분 의 에칭 속도를 제공하는데 사용될 수 있다: 약 10 부터 80mT 까지의 범위에서의 플라즈마 압축, 약 200 부터 1200W 까지의 범위에서의 TCP 파워, 약 0 부터 500W 까지의 범위에서의 하부 전극 바이어스 및 Ar, Cl2, HBr, CF4, O2, SF6 및 He를 포함하는 에칭 가스 조성.
에칭의 진행은 원 위치에서 광학적 종점 디바이스 (160) 및 제어 회로망 (170) 에 의해서 감시하여 (310) 트렌치가 요구되는 종점 깊이 (214) 에 내려놓도록 에칭을 정지할지 또는 에칭을 계속할지 (311) 여부를 결정한다. 트렌치 깊이 내려놓기 (308) 를 느리게 수행하는 것은 광학적 종점 측정 디바이스에 의해 큰 용해가 제공될 수 있는 것을 의미하고 트렌치 깊이가 정확하도록 적당한 시간에 에칭을 멈추기 위해 에칭 기구를 제어되는데 더 많은 시간이 이용될 수 있다. 따라서 트렌치 깊이의 측정의 정확도는 향상된다. 종점이 도달되었는지를 결정할 때 (310), 에칭 기구는 트렌치를 에칭하는 것을 정지하도록 (312) 제어된다. 그 후, 에칭 프로세스는 정지하고 (314) 원하는 깊이 (214) 를 가지고 또한 원하는 프로파일을 가지며 고 처리율를 가지는 에칭된 트렌치를 제공하며, 에칭의 벌크 (bulk) 는 고 에칭 속도에서 수행된다.
측정된 깊이는 요구되는 피쳐 깊이 (214)에 일치할 때에 또는 측정된 깊이가 요구되는 피쳐 깊이에 도달하기 전에, 예를 들어 에칭 프로세스에 약간의 '오버슈트 (overshoot)' 가 있다면, 에칭은 정지될 수 있다. 이 실시형태는 즉시 에칭을 정지하는 것이 불가능할 때 사용될 수 있다. 그 경우에, 이것은 트렌치가 원하는 깊이를 오버슈팅하는 것 외에 실제로 요구되는 깊이에 내려놓도록, 원하는 깊이가 실제로 도달되기 전에 에칭을 정지하게 에칭 기구를 제어함으로써 보상되어진다.
고 에칭 속도만을 유일하게 사용할 때에는, 종점 트리거 (trigger) 시간의 변화, 플라즈마 점화의 변화, RF 램프의 변화 및 웨이퍼부터 웨이퍼까지 일치 맞춤 (match tunning) 의 변화는 허용되는 복제능력의 범위 밖에 웨이퍼를 놓는데 충분할 수 있다. 실제로 프로세스 시간의 1 초보다 작은 변화도 제조 복제능력 허용치를 만족시키지 못하도록 상이한 프로세스로부터 웨이퍼 내의 트렌치에 대해 충분할 수 있다. 느린 에칭 속도 단계를 추가함으로써, 본 발명은 용해 및 종점이 결정될 수 있는 정확도를 개선할 뿐만 아니라, 종점까지의 느린 에칭 속도에 기인한 트렌치 깊이의 변화만큼 에칭 기구에서의 지연 또는 변화에 기인한 총 프로세스 시간의 변화가 생성되지 않기 때문에 웨이퍼부터 웨이퍼까지 프로세스의 복제능력을 향상시킨다. 또한, 느린 에칭 속도는 요구되는 트렌치 프로파일 특성을 제공하지 않을 수 있다. 다만, 느린 에칭이 트렌치 프로파일을 크게 변경하기에 충분한 길이의 시간동안 수행되지 않았다면, 고 에칭 속도 프로세스 사용은 바람직한 트렌치 프로파일이 생성되도록 허가하며 느린 에칭에 의해 유용하게 지연되어 질 수 있다. 또한 고 에칭 속도 및 저 에칭 속도의 조합은 고 복제능력을 가진 웨이버의 고 제조 처리율이 제공될 수 있다는 것을 의미한다.
단일 좁은 파장 대역 IEP 디바이스 및 기술을 사용할 때, 본 기술은 오직 시작 위치 (예를 들면, 에칭을 시작하기 전에 웨이퍼 표면) 에 관한 깊이를 측정하기 때문에 일정하게 감시된다. 만약 광대역 반사파 측정 기술, 또는 절대 깊이치를 측정할 수 있는 다른 광학적 종점 기술이 사용된다면, 느린 속도를 사용하여 얼마나 더 에칭하는지 결정하는 것, 또는 추가적으로, 빠른 에칭을 정지하고 느린 에칭으로 변환하는 때를 결정하는 것이 필요할 때에, 트렌치의 깊이는 오직 종단을 향하는 것을 제외하고 전체 에칭 프로세스 내내 일정하게 감시될 필요는 없다. 본 발명의 일 실시형태에서, 본 방법은 느린 에칭 속도롤 변화할 때를 정하는 현재 측정된 트렌치의 깊이를 사용하는 단계를 포함할 수 있고 에칭 속도를 자동으로 변환시키는 에칭 기구를 제어할 수 있다. 다른 방법으로, 제 1 고속 에칭은 에칭 속도가 감소한 후에 고정된 시간의 간격 동안 수행될 수 있고 트렌치의 깊이는 요구되는 깊이로 내려놓는 것을 보증하도록 측정된다.
본 방법은 단지 2 개의 상이한 에칭 속도의 사용으로 한정되지 않는다. 예를 들어, 3 개 이상의 상이한 에칭 속도가 교차 깊이의 개수에 호응하여 사용될 수 있다. 또한, 에칭 속도들 사이에 교차는 즉각적 (단계 변화) 일 필요는 없고 에칭 속도의 점진적인 (연속적인) 변화일 수 있어서, 에칭 속도 변화가 특정 깊이 외의 영역상에 일어난다.
상술한 설명은 STI 프로세스의 내용 내에 있지만, 본 발명은 이러한 프로세스에 한정되지 않는다. 예를 들어, 본 발명은 리세스 프로세스에서도 또한 사용될 수 있다. 리세스 프로세스는 메모리 셀 디바이스의 제조에서 일반적으로 사용된다. 트렌치는 실리콘층에서 에칭되고 유전 재료의 칼라 (collar) 는 트렌치 상부 주위에 제조된다. 트렌치는 요구되는 용량을 가지는 용량성 디바이스를 제공하도록 다량의 유전 칼라에 오버랩하는 다량의 폴리실리콘으로 채워진다. 하지만, 트렌치 깊이의 변화는 유전 칼라에 오버랩하는 다량의 폴리실리콘를 변화시키고 그러므로 용량을 변화시킨다. 그러므로 세심한 실리콘 트렌치 깊이 제어는 이러한 디바이스 제조의 중요한 양태이다.
'고' 및 '저', 또는 '빠른' 및 '느린' 에칭 속도라 고려될 수 있는 것은 에칭되는 피쳐의 깊이의 내용에 따라 결정된다. 깊이에서 5% 변화가 허용되는 복제 가능 수치라면, 매우 깊은 피쳐의 5% 는 매우 얇은 피쳐의 5% 보다 큰 거리이므로, 더 빠르게 호응하여 에칭될 수 있다. 예를 들어, 상술한 STI 프로세스에서 일반적인 트렌치 깊이는 약 2,000Å 부터 5,000Å 까지의 범위 내일 수 있으며, 고 에칭 속도는 약 4000Å/분 이상일 수 있고 저 에칭 속도는 약 3000Å/분 이하일 수 있다. 깊은 트렌치 프로세스에서 100,000Å 부터 150,000Å 까지의 트렌치 깊이가 요구될 수 있다. 이 내용에서, 고 에칭 속도는 100,000Å/분 이상일 수 있고 저 에칭 속도는 5,000Å/분 이하일 수 있다.
에칭 속도의 비율 (고:저) 은 1.3:1 보다 클 수 있고, 1.5:1 보다 큰 것이 바람직하며, 2.5:1 보다 큰 것이 보다 바람직하고, 3.5:1 보다 큰 것이 가장 바람직하다. 에칭 속도 비 (고:저) 는 약 1.5:1 부터 2.5:1 까지 범위 내일 수 있고, 2.5:1 부터 3.5:1 까지가 바람직하며, 3.5:1 부터 10:1 가지가 더욱 바람직하다.
그러므로 본 발명은 다양한 피쳐의 에칭에 사용될 수 있고 단지 트렌치가 아니고 향상된 에칭 깊이 제어 및 웨이퍼 대 웨이퍼 복제 능력을 제공하는 다양한 제조 프로세스에 사용될 수 있다.
상술한 발명이 이해의 명확성을 목적으로 약간 상세하게 설명하였음에도 불구하고, 특정 변화 및 변형이 첨부된 청구항의 범위 내에서 실시될 수 있다. 따라서, 설명한 실시형태는 예시적이고 한정하는 것이 아니라는 것이 인식되어야 하고, 본 발명은 이 명세서에 주어진 설명에 한정되지 않아야 하며 아래의 청구항 및 그 균등물의 전 범위에 의해 정의되어야 한다.

Claims (20)

  1. 웨이퍼의 층에서 피쳐 (feature) 깊이를 가지는 피쳐를 에칭하는 방법으로서,
    제 1 에칭 속도로 상기 피쳐의 제 1 깊이까지 에칭하는 단계;
    상기 제 1 에칭 속도보다 느린 제 2 에칭 속도로 상기 제 1 깊이부터 제 2 깊이까지 에칭하는 단계;
    상기 제 2 깊이가 상기 피쳐 깊이에 도달할 때를 광학적으로 결정하는 단계; 및
    상기 피쳐를 에칭하는 것을 정지하는 단계를 포함하는, 피쳐 에칭 방법.
  2. 제 1 항에 있어서,
    상기 제 1 깊이까지 에칭하는 단계는 원하는 피쳐 프로파일 (profile) 을 형성하는 단계를 포함하는, 피쳐 에칭 방법.
  3. 제 2 항에 있어서,
    상기 제 1 깊이부터 상기 제 2 깊이까지 에칭하는 단계는 상기 원하는 피쳐 프로파일을 실질적으로 유지하는, 피쳐 에칭 방법.
  4. 제 1 항에 있어서,
    상기 제 1 에칭 깊이는 상기 피쳐 깊이의 약 65 내지 85 퍼센트의 범위 내에 있는, 피쳐 에칭 방법.
  5. 제 1 항에 있어서,
    상기 피쳐는 트렌치인, 피쳐 에칭 방법.
  6. 제 1 항에 있어서,
    상기 층은 결정질 실리콘층인, 피쳐 에칭 방법.
  7. 제 1 항에 있어서,
    상기 에칭 깊이는 상기 방법에 의해 광학적으로 결정되는, 피쳐 에칭 방법.
  8. 제 7 항에 있어서,
    상기 제 1 깊이에 도달되는 때를 광학적으로 결정하는 단계를 더 포함하는, 피쳐 에칭 방법.
  9. 제 1 항에 있어서,
    상기 에칭 속도는 부식제의 조성을 변경함으로써 변경되는, 피쳐 에칭 방법.
  10. 제 1 항에 있어서,
    상기 층은 연관된 에칭 정지 표시기를 가지지 않는, 피쳐 에칭 방법.
  11. 제 1 항에 있어서,
    상기 층은 에칭 정지 층을 가지지 않는, 피쳐 에칭 방법.
  12. 제 1 항에 있어서,
    상기 광학적으로 결정하는 단계는 광학 종점 (end point) 기술을 사용하여 수행되는, 피쳐 에칭 방법.
  13. 제 12 항에 있어서,
    상기 광학 종점 기술은 간섭 측정의 종점 및 광대역 반사파 측정 기반의 종점을 포함하는 그룹으로부터 선택되는, 피쳐 에칭 방법.
  14. 제 1 항에 있어서,
    상기 방법은 리세스 및 얕은 트렌치 분리를 포함하는 그룹으로부터 선택되는 프로세스의 부분인, 피쳐 에칭 방법.
  15. 제 1 항에 있어서,
    절대적인 피쳐 깊이는 광학적으로 결정되는, 피쳐 에칭 방법.
  16. 웨이퍼의 실리콘 층에서 종점을 가지는 트렌치를 에칭하는 방법으로서,
    제 1 에칭 속도로 에칭하는 단계;
    상기 제 1 에칭 속도보다 작은 제 2 에칭 속도로 에칭하는 단계;
    현재 에칭 깊이를 광학적으로 결정하는 단계; 및
    트렌치 깊이가 상기 종점에 도달하도록 에칭을 정지하는 단계를 포함하는, 트렌치 에칭 방법.
  17. 제 16 항에 있어서,
    상기 제 1 에칭 속도로 에칭하는 단계는 원하는 트렌치 프로파일을 형성하는, 트렌치 에칭 방법.
  18. 제 17 항에 있어서,
    상기 제 2 에칭 속도로 에칭하는 단계는 상기 원하는 트렌치 프로파일을 실질적으로 유지하는, 트렌치 에칭 방법.
  19. 제 16 항에 있어서,
    상기 에칭 속도를 변경할 때를 광학적으로 결정하는 단계를 포함하는, 트렌치 에칭 방법.
  20. 웨이퍼의 층에서 피쳐 깊이를 가지는 피쳐를 에칭하는 장치에 있어서,
    상기 웨이퍼를 유지하기 위한 척을 포함하는 에칭 기구;
    상기 에칭 기구와 인접하고, 에칭될 상기 피쳐의 에칭 깊이를 측정하도록 위치한, 광학적 종점 장치; 및
    상기 광학적 종점 장치 및 상기 에칭 기구와 통신하며, 상기 에칭 깊이가 상기 피쳐 깊이에 도달하도록 상기 피쳐 깊이보다 작은 제 1 에칭 깊이에서 상기 에칭 기구의 에칭 속도를 줄이고 에칭 기구의 에칭을 정지시키도록 구성되는, 전자 제어기를 포함하는 피쳐 에칭 장치.
KR1020057005131A 2002-09-25 2003-09-18 에칭 깊이 제어용 장치 및 방법 KR101116589B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/256,251 2002-09-25
US10/256,251 US6939811B2 (en) 2002-09-25 2002-09-25 Apparatus and method for controlling etch depth
PCT/US2003/030117 WO2004030050A2 (en) 2002-09-25 2003-09-18 Apparatus and method for controlling etch depth

Publications (2)

Publication Number Publication Date
KR20050047126A true KR20050047126A (ko) 2005-05-19
KR101116589B1 KR101116589B1 (ko) 2012-03-15

Family

ID=32041765

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057005131A KR101116589B1 (ko) 2002-09-25 2003-09-18 에칭 깊이 제어용 장치 및 방법

Country Status (10)

Country Link
US (1) US6939811B2 (ko)
EP (1) EP1543547B1 (ko)
JP (1) JP2006500781A (ko)
KR (1) KR101116589B1 (ko)
CN (1) CN100449706C (ko)
AT (1) ATE499701T1 (ko)
AU (1) AU2003275221A1 (ko)
DE (1) DE60336150D1 (ko)
TW (1) TWI324356B (ko)
WO (1) WO2004030050A2 (ko)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824813B1 (en) * 2000-04-06 2004-11-30 Applied Materials Inc Substrate monitoring method and apparatus
US7959819B2 (en) * 2004-06-29 2011-06-14 Shouliang Lai Method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes
US7393459B2 (en) * 2004-08-06 2008-07-01 Applied Materials, Inc. Method for automatic determination of substrates states in plasma processing chambers
JP4629421B2 (ja) * 2004-12-06 2011-02-09 パナソニック株式会社 ドライエッチング方法及びドライエッチング装置
JP2006186222A (ja) * 2004-12-28 2006-07-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
KR100562657B1 (ko) * 2004-12-29 2006-03-20 주식회사 하이닉스반도체 리세스게이트 및 그를 구비한 반도체장치의 제조 방법
US20060154388A1 (en) 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7413992B2 (en) * 2005-06-01 2008-08-19 Lam Research Corporation Tungsten silicide etch process with reduced etch rate micro-loading
US7833381B2 (en) * 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
KR100707803B1 (ko) * 2005-10-28 2007-04-17 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조방법
JP2007184356A (ja) * 2006-01-05 2007-07-19 Oki Electric Ind Co Ltd エッチング方法
US8525138B2 (en) 2006-03-31 2013-09-03 Energetiq Technology, Inc. Laser-driven light source
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
JP4101280B2 (ja) * 2006-07-28 2008-06-18 住友精密工業株式会社 終点検出可能なプラズマエッチング方法及びプラズマエッチング装置
US20080078948A1 (en) * 2006-10-03 2008-04-03 Tokyo Electron Limited Processing termination detection method and apparatus
US7572734B2 (en) * 2006-10-27 2009-08-11 Applied Materials, Inc. Etch depth control for dual damascene fabrication process
US7521332B2 (en) * 2007-03-23 2009-04-21 Alpha & Omega Semiconductor, Ltd Resistance-based etch depth determination for SGT technology
US7851370B2 (en) * 2007-09-25 2010-12-14 United Microelectronics Corp. Patterning method
US8304316B2 (en) * 2007-12-20 2012-11-06 Cambridge Semiconductor Limited Semiconductor device and method of forming a semiconductor device
JP5308080B2 (ja) * 2008-06-18 2013-10-09 Sppテクノロジーズ株式会社 シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
CN102044431A (zh) * 2009-10-20 2011-05-04 中芯国际集成电路制造(上海)有限公司 刻蚀方法和刻蚀系统
US20230109960A1 (en) * 2011-05-09 2023-04-13 Vactronix Scientific Llc Method of making Topographical Features and Patterns on a Surface of a Medical Device
US9050394B2 (en) * 2011-05-09 2015-06-09 Palmaz Scientific, Inc. Method for making topographical features on a surface of a medical device
JP5792613B2 (ja) * 2011-12-28 2015-10-14 株式会社日立ハイテクノロジーズ プラズマエッチング方法
JP5724945B2 (ja) * 2012-05-18 2015-05-27 株式会社デンソー 炭化珪素半導体装置の製造方法
US9275916B2 (en) * 2013-05-03 2016-03-01 Infineon Technologies Ag Removable indicator structure in electronic chips of a common substrate for process adjustment
CN104377141B (zh) * 2013-08-16 2017-05-03 无锡华润华晶微电子有限公司 检测晶片深沟槽结构的实际关键尺寸及是否过刻蚀的方法
US9484214B2 (en) 2014-02-19 2016-11-01 Lam Research Corporation Systems and methods for improving wafer etch non-uniformity when using transformer-coupled plasma
US20160181111A1 (en) * 2014-12-19 2016-06-23 Lam Research Corporation Silicon etch and clean
WO2018092050A1 (en) 2016-11-16 2018-05-24 Nova Measuring Instruments Ltd. Layer detection for high aspect ratio etch control
CN110574397B (zh) * 2018-12-29 2021-04-27 共达电声股份有限公司 Mems声音传感器、mems麦克风及电子设备
CN111341656A (zh) * 2020-03-19 2020-06-26 常州星海电子股份有限公司 光阻玻璃芯片全自动腐蚀工艺
US11587781B2 (en) 2021-05-24 2023-02-21 Hamamatsu Photonics K.K. Laser-driven light source with electrodeless ignition

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US52113A (en) * 1866-01-16 Improvement in feed apparatus for steam-generators
JPS62259444A (ja) 1986-05-06 1987-11-11 Hitachi Ltd 表面処理方法
US5362356A (en) * 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
JPH08316200A (ja) * 1995-05-18 1996-11-29 Toshiba Corp ドライエッチング方法及びドライエッチング装置
JPH09129619A (ja) * 1995-08-31 1997-05-16 Toshiba Corp エッチング深さ測定装置
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5807789A (en) * 1997-03-20 1998-09-15 Taiwan Semiconductor Manufacturing, Co., Ltd. Method for forming a shallow trench with tapered profile and round corners for the application of shallow trench isolation (STI)
US6127237A (en) * 1998-03-04 2000-10-03 Kabushiki Kaisha Toshiba Etching end point detecting method based on junction current measurement and etching apparatus
JPH11318398A (ja) * 1998-03-10 1999-11-24 Kagisho:Kk 超微粉末海苔及びその応用
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
CN1064177C (zh) * 1998-05-13 2001-04-04 中国航天工业总公司第二研究院二十三所 变深度刻蚀方法及其装置
JP2000329525A (ja) * 1999-05-18 2000-11-30 Toshiba Corp 段差測定方法並びにエッチング深さ測定方法及びそれらの装置
US6492186B1 (en) * 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6400458B1 (en) * 1999-09-30 2002-06-04 Lam Research Corporation Interferometric method for endpointing plasma etch processes
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
EP1320867A2 (en) * 2000-09-21 2003-06-25 Applied Materials, Inc. Reducing deposition of process residues on a surface in a chamber
US20030000922A1 (en) * 2001-06-27 2003-01-02 Ramkumar Subramanian Using scatterometry to develop real time etch image

Also Published As

Publication number Publication date
WO2004030050A3 (en) 2004-04-29
AU2003275221A1 (en) 2004-04-19
AU2003275221A8 (en) 2004-04-19
US20040084406A1 (en) 2004-05-06
KR101116589B1 (ko) 2012-03-15
CN100449706C (zh) 2009-01-07
TWI324356B (en) 2010-05-01
JP2006500781A (ja) 2006-01-05
US6939811B2 (en) 2005-09-06
DE60336150D1 (de) 2011-04-07
CN1701420A (zh) 2005-11-23
WO2004030050A2 (en) 2004-04-08
TW200411734A (en) 2004-07-01
ATE499701T1 (de) 2011-03-15
EP1543547B1 (en) 2011-02-23
EP1543547A2 (en) 2005-06-22

Similar Documents

Publication Publication Date Title
KR101116589B1 (ko) 에칭 깊이 제어용 장치 및 방법
US5242532A (en) Dual mode plasma etching system and method of plasma endpoint detection
US7648916B2 (en) Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US5877032A (en) Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
KR100659163B1 (ko) 플라즈마 에칭 공정의 정확도를 개선시키기 위한 방법 및장치
CN100495641C (zh) 等离子体处理方法及等离子体处理装置
US8518283B2 (en) Plasma etching method capable of detecting end point and plasma etching device therefor
US20050202575A1 (en) Semiconductor fabricating apparatus and method and apparatus for determining state of semiconductor fabricating process
US20180269118A1 (en) Etching method and plasma processing apparatus
US6919259B2 (en) Method for STI etching using endpoint detection
JP6200849B2 (ja) プラズマ処理装置およびドライエッチング方法
US5346582A (en) Dry etching apparatus
JP2003519920A (ja) ドライエッチャーの現場制御
US20040018647A1 (en) Method for controlling the extent of notch or undercut in an etched profile using optical reflectometry
JP4169004B2 (ja) 半導体装置の製造方法
CN114724943A (zh) 一种等离子体蚀刻方法及系统
US20130071955A1 (en) Plasma etching method
US7393459B2 (en) Method for automatic determination of substrates states in plasma processing chambers
KR100704822B1 (ko) 반도체 장치의 제조 방법
KR100519675B1 (ko) 유도 결합 플라즈마 장치를 사용하여 높은 포토레지스트선택비를 구현할 수 있는 식각 방법
US20050106868A1 (en) Etching method
TWI431685B (zh) Plasma processing device and plasma processing method
JPH0567590A (ja) 半導体装置のエツチングにおける終点検出方法
US20050136335A1 (en) Patterned microelectronic mask layer formation method employing multiple feed-forward linewidth measurement
JPH07263418A (ja) 平坦度制御装置および平坦度制御方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150127

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160125

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170131

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180129

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190125

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20200129

Year of fee payment: 9