KR20050039605A - 금속 코팅을 구비하는 챔버 컴포넌트의 세정 및 재생 방법 - Google Patents

금속 코팅을 구비하는 챔버 컴포넌트의 세정 및 재생 방법 Download PDF

Info

Publication number
KR20050039605A
KR20050039605A KR1020040084331A KR20040084331A KR20050039605A KR 20050039605 A KR20050039605 A KR 20050039605A KR 1020040084331 A KR1020040084331 A KR 1020040084331A KR 20040084331 A KR20040084331 A KR 20040084331A KR 20050039605 A KR20050039605 A KR 20050039605A
Authority
KR
South Korea
Prior art keywords
component
micrometers
coating
blasting
bead
Prior art date
Application number
KR1020040084331A
Other languages
English (en)
Inventor
이씽 린
다지앙 수
클리포드 스토우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050039605A publication Critical patent/KR20050039605A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Abstract

프로세스 챔버의 컴포넌트는 그 컴포넌트로부터 금속간 화합물을 제거하기 위하여 생되고 세정된다. 컴포넌트는 금속간 화합물 상에 제 1 금속층을 포함하는 코팅을 구비하는 구조를 갖는다. 컴포넌트를 재생하기 위하여, 제 1 금속층이 제거되어 금속간 화합물을 적어도 일부 포함하는 노출 표면을 형성한다. 약 310 kPa(45 psi) 이하의 압력으로 압력이 가해진 가스로 약 180 마이크로미터 이하의 비드 직경을 갖는 블래스팅 비드들을 상기 노출 표면을 향해 추진시킴으로써 기판의 노출 표면으로부터 금속간 화합물을 제거하여 세정된 표면을 형성시킴으로써 상기 노출 표면이 침투성 비드 블래스팅 단계에서 비드 블래스팅된다. 제 2 금속층은 상기 세정된 표면 상에 형성된다.

Description

금속 코팅을 구비하는 챔버 컴포넌트의 세정 및 재생 방법{CLEANING AND REFURBISHING CHAMBER COMPONENTS HAVING METAL COATINGS}
본 발명의 실시예들은 프로세스 챔버 컴포넌트들을 세정하고 재생하는 방법과 관련된다.
기판 프로세스 챔버는, 집적 회로 칩들 및 디스플레이들과 같은 전자 회로들을 제조하기 위하여 에너지가 공급된 공정 가스에서 기판을 처리하는데 사용된다. 통상적으로, 프로세스 챔버는 공정 가스가 주입되는 프로세스 영역을 둘러싸는 외부벽(enclosure wall), 가스에 에너지를 공급하기 위한 가스 에너자이저(gas energizer), 및 가스 압력을 배출하고 제어하는 배출 시스템을 포함한다. 챔버벽들, 라이너(liner)들 및 증착링들과 같은 챔버의 컴포넌트들은 기판을 처리하는데 사용된 에너지가 공급된 가스에 의해 침식되기 쉬우며, 특히 공정 기체가 할로겐 종을 포함하는 경우 그러하다. 내식성(corrosion resistance)은 트윈-와이어 아크 스프레잉(twin wire arc spray)된 알루미늄 코팅과 같은 내식성 코팅을 상기 컴포넌트 상에 형성시킴으로써 개선될 수 있다. 코팅은 공정 잔유물들이 부착되는 텍스처링된 표면(textured surface)을 구비할 수 있는데, 그 텍스처링된 표면에 공정 잔유물이 부착됨으로써 축적된 공정 잔유물들이 박리되는 것이 방지되어 챔버 내에서 처리되는 기판을 오염시킨다.
상기 코팅된 컴포넌트들은, 그들의 특성들을 유지하도록 세정 및 재생을 요구한다. 예를 들어, 상기 챔버 컴포넌트들이 재료를 타겟으로부터 기판 상으로 스퍼터링 증착하기 위해 PVD 공정에서 사용되는 경우, 스퍼터링된 재료는 컴포넌트의 표면들 상에 축적된다. 축적된 공정 침전물들은 하부 구조로부터 코팅의 층간분리(delamination), 크래킹(cracking), 및 박리(flaking-off)를 야기하는 열 팽창 스트레스를 야기한다. 챔버 내의 플라즈마는 코팅의 손상된 영역을 통하여 노출된 하부 구조 표면을 침식할 수 있으며, 결과적으로 컴포넌트의 고장을 야기한다. 따라서, 재생 공정은 통상적으로 다수의 기판이 처리된 후에 코팅된 컴포넌트를 세정하고 재생하기 위해 수행된다. 재생 공정은, 코팅 표면에 축적되어 온 스퍼터링된 재료와 같은 공정 침전물들을 제거하는 단계, 및 컴포넌트를 내식성 재료로 재-코팅하는 단계를 포함할 수 있다. 재생 공정은 기판 처리 중에 컴포넌트로부터 코팅이 스폴링(spalling)되거나 박리되는 확률을 감소시키며, 따라서 챔버 내에서 처리되는 기판들의 오염을 감소시킨다.
하나의 통상적인 재생 공정에서는, 코팅 상에 축적된 공정 잔유물들을 제거할뿐만 아니라 컴포넌트로부터 금속 코팅을 용해시켜 제거하기 위한 산성 및 염기성 세정 용액으로 금속 컴포넌트가 세정되는데, 이는 2002년 11월 25일에 출원되었으며 어플라이드 머티리얼스에 공동 양도된 Wang 등의 미국 특허 출원 제 10/304,535호에서 예로서 설명되었으며, 이는 그 자체로 본 명세서에 참조로서 통합된다. 그 다음, 원하는 표면 거칠기를 제공하여 후속 인가 코팅의 접착을 개선시키기 위하여 컴포넌트 표면을 재처리하는 상대적으로 강하고(harsh) 공격적인(aggressive) 비드 블래스팅(bead blasting) 공정에서 그릿 블래스팅(grit blast)된다. 상대적으로 강한 비드 블래스팅 단계는 적어도 약 600 마이크로미터의 크기를 갖는 상대적으로 큰 비드 입자들과 적어도 약 483kPa(70psi)의 고 비드 블래스팅 압력을 이용하는데, 이는 표면을 재처리하여 적어도 약 6.35 마이크로미터(250 마이크로인치)의 평균 표면 거칠기를 갖는 표면을 제공하기 위함이다. 비드 블래스팅 후에, 예를 들어 트윈 와이어 아크 스프레잉(twin-wire arc spraying) 공정에서, 코팅이 컴포넌트에 다시 인가된다.
그러나, 상기 설명된 공정이 갖는 문제점은, 그 공정이, 금속 코팅과 하부 금속 컴포넌트 사이의 계면에서 발생하며 프로세스 챔버에서 부분들에 대한 열 사이클링으로부터 야기되는 것으로 믿어지는 금속간 화합물의 충분한 양을 적절히 제거하지 못한다는 점이다. 금속간 화합물들은 코팅과 컴포넌트 간의 접합을 약화시키며 코팅이 컴포넌트로부터 스폴링되는 것을 야기할 수 있는데, 이는 컴포넌트 부분 수명을 감소시킬 수 있을 뿐 아니라 스폴링된 코팅 재료들에 의한 기판 오염을 야기할 수 있다. 약 300℃ 이상의 프로세스 챔버 온도가 사용되는 경우, 그리고 컴포넌트 재생 단계 없이 다수의 가판들을 처리하는 경우, 이러한 다수의 금속간 화합물들이 축적될 수 있다.
따라서, 컴포넌트의 개선된 내식성 및 보다 긴 컴포넌트 챔버 사용 수명을 제공하기 위해서는 코팅된 컴포넌트를 재생하고 세정하는 공정을 구비하는 것이 바람직하다. 특히, 코팅과 하부 컴포넌트 사이의 향상된 접합을 제공하기 위하여 컴포넌트들로부터 금속간 화합물들을 실질적으로 완전히 제거하는, 코팅된 컴포넌트를 재생하고 세정하는 공정을 구비하는 것이 바람직하다.
이러한 본 발명의 특징들, 측면들 및 이점들은 다음의 설명, 첨부된 청구 범위 및 본 발명의 예들을 설명하는 첨부된 도면들로 보다 잘 이해될 것이다. 그러나, 각각의 특징들은 특정 도면과의 관계에서만이 아닌 일반적으로 본 발명에서 사용될 수 있으며, 본 발명은 이러한 특징들의 모든 조합을 포함한다.
본 공정은 도 1a의 예에서 도시된 바와 같은, 코팅(302)을 갖는 컴포넌트(300)를 세정하고 재생하는데 적절하다. 공정은 침식에 민감한, 챔버(106) 내부의 1이상의 컴포넌트(300)들을 세정하고 재생하는데 사용될 수 있는데, 상기 침식에 민감한 컴포넌트(300)들에는, 예를 들어, 챔버(106) 내에 공정가스를 제공하는 1이상의 가스 공급 시스템(122)의 일부들, 챔버(106) 내에서 기판(104)을 지지하는 기판 지지부(114), 공정 가스, 챔버 외부벽들(118) 및 보호부(120)들에 에너지를 공급하는 가스 에너자이저(116), 그리고 챔버(106)로부터 가스를 배출시키는 가스 배출부(122) 등이 있으며, 이들 모두에 대한 예시적 실시예가 도 3에서 도시된다. 예를 들어, 물리 기상 증착 챔버(106)에서, 코팅된 컴포넌트(300)들은 임의의 챔버 외부벽(118), 챔버 보호부(120), 타겟(124), 커버링(cover ring)(126), 증착링(128), 지지링(130), 절연링(132), 코일(135), 코일 지지부(137), 셔터 디스크(133), 클램프 보호부(141), 및 기판 지지부(114)의 표면(134)을 포함할 수 있다.
챔버 컴포넌트(300)는 상부 코팅(302)을 갖는 하부 구조(304)를 포함하는데, 상기 상부 코팅(302)은 도 1a에서 도시된 바와 같이 구조(304)의 적어도 일부를 커버한다. 하부 구조(304)는, 기판 처리 분위기에서 형성된 에너지가 공급된 가스와 같은, 에너지가 공급된 가스로부터의 침식에 저항성이 있는 금속 재료를 포함한다. 예를 들어, 구조(304)는 알루미늄, 티타늄, 스테인리스강, 구리 및 탄탈 중 적어도 하나를 포함할 수 있다. 구조(304)의 상부 표면(306)은 코팅(302)과 접촉하며, 구조(304)에 대한 상부 코팅(302)의 접착을 향상시키는 표면 거칠기를 갖는다. 예를 들어, 상부 표면(306)은 적어도 약 2.0 마이크로미터(80 마이크로인치)의 표면 거칠기를 갖는다. 또한, 코팅(302)은, 예를 들어 알루미늄, 티타늄, 구리 및 크롬 중 적어도 하나와 같은 에너지가 공급된 가스에서 침식에 대한 저항성을 갖는 금속 재료를 포함한다. 또한, 코팅(302)은 텍스처링(texture)되는 노출 표면을 포함하여, 기판(104) 처리중 생성되는 공정 잔유물들이 코팅(302)의 표면(308)에 부착될 수 있다.
1이상의 기판(104)들이 처리된 후에, 하부 구조(304)와 코팅(302) 사이의 접합을 향상시킬 수 있는 특징을 갖는 표면(306)을 제공하기 위하여, 컴포넌트(300)로부터 공정 잔유물들을 제거하고 구조(304)의 상부 표면(306)을 세정하도록 상기 컴포넌트(300)들이 세정되고 재생된다. 예를 들어, 도 1a에서 도시된 바와 같은 코팅(302)과 구조(304) 사이의 계면에서 발생하는 금속간 화합물(310)들과 같은 화합물들 또는 입자들을 구조(304)의 표면(306)으로부터 제거하기 위해 구조(304)의 상부 표면(306)이 세정될 수 있다. 코팅(302)과 구조(304) 간의 보다 양호한 접착을 제공하기 위하여 표면(306)은 그 표면(306)을 거칠게 함으로써 텍스처링될 수 있다.
프로세스 챔버 컴포넌트(300)에 대한 세정 및 재생 공정의 실시예가 도 2의 흐름도에서 도시된다. 그 방법에 대한 이 실시예는 일반적으로: 금속간 화합물(310)을 갖는 표면(306)을 노출시키기 위하여 하부 구조(304)로부터 제 1 금속층(302a)을 제거하는 단계; 상기 금속간 화합물(310)을 제거하기 위해 침투성 비드 블래스팅 단계를 수행하는 단계; 미리 정해진 평균 표면 거칠기로 상기 표면(306)을 거칠게 하기 위하여 텍스처라이징(texturizing) 비드 블래스팅 단계를 수행하는 단계; 및 상기 표면상에 제 2 금속층(302b)을 형성하는 단계를 포함한다.
하나의 버전에서, 코팅(302)은 산성 또는 염기성 세정 용액에 그 코팅(302)의 표면(308)을 담금으로써 구조(304)로부터 적어도 부분적으로 제거되는 제 1 금속층(302a)을 포함한다. 적절한 산성 세정 용액은 HF, HNO3, HCl, P3PO4 및 H2SO4 중 적어도 하나를 포함할 수 있다. 적절한 염기성 세정 용액은 KOH, NH4OH, NaOH, 및 K2CO3 중 적어도 하나를 포함할 수 있다. 또한, 축적된 공정 잔유물들을 컴포넌트(300)로부터 제거하는 용도에 맞추어 세정 용액을 만들 수 있다. 하나의 버전에서, 코팅(302)과 공정 잔유물들 모두를 제거하기 위하여 표면(308)이 1이상의 세정 용액에 담가질 수 있다. 예를 들어, 코팅(302)의 표면(308)은, 약 5M HF와 같은 약 2M에서부터 약 8M까지의 HF와 약 12M HNO3와 같은 약 2M HNO3에서부터 약 15M 까지의 HNO3을 포함하는 산성 세정 용액에 담가질 수 있다. 그 다음, 표면(308)은 3M KOH와 같은 약 1M에서부터 약 8M까지의 KOH를 포함하는 염기성 세정 용액에 담가진다. 도 1a는 코팅(302)을 갖는 재생되어질 컴포넌트(300)를 도시하고, 도 1b는 재생 공정의 일부로서 세정 용액에 담금으로써 코팅(302)이 제거된 컴포넌트(300)를 도시한다.
코팅(302)이 제거되면, 하부 구조(304)와 코팅(302) 사이의 계면에서 구조 표면(306) 상에 발생하는 금속간 화합물(310)을 제거하기 위해 세정 공정이 수행된다. 도 1a 및 도 1b의 예에 대하여 도시된 바와 같이, 이들 금속간 화합물(310)들은 코팅(302)과 구조(304) 사이에서 금속간 화합물들의 무질서한 집괴(conglomeration)을 형성하는, 코팅(302)과 구조(304)로부터의 금속 종을 포함할 수 있다. 금속간 화합물(310)들은 챔버 작동중 코팅된 컴포넌트(300)들에 대한 열 사이클링에 의해 형성되는데, 이 열 사이클링은 코팅(302)과 하부 구조(304)의 결정 구조들에 대한 분열 및 그 분열된 금속 종의 계면으로의 이동을 야기하는 것으로 믿어진다. 금속간 화합물(310)들은 코팅(302)과 하부 구조(304) 모두로부터 집합된 재료의 조합을 포함할 수 있는데, 그 예로는 FeAl, Fe3Al 및 NiAl 화합물들이 있으며, 또한 금속간 화합물(310)들은 구조(304)의 표면(306) 상에 화합물들의 층들을 형성할 수 있다. 코팅(302)과 구조(304) 사이에서의 금속간 화합물(310)의 형성은 표면(306)과 코팅(302)의 접촉 면적을 감소시키며, 따라서 구조 표면(306)에 대한 코팅(302)의 접착도를 감소시킨다.
노출된 표면(306)으로부터 금속간 화합물(310)들을 제거하는 개선된 공정은 침투성 비드 블래스팅 단계를 수행하는 것을 포함하는 것이 밝혀졌다. 비드 블래스팅 공정에서, 솔리드 블래스팅 비드들(312)이 압력이 가해진 가스에 의하여 하부 구조(304)의 표면(306)을 향해 추진된다. 침투성 비드 블래스팅 공정은, 금속간 화합물(310)들을 제거하기 위하여, 표면의 크랙(crack)들 및 틈(crevices)에 침투하는 비드 블래스팅 조건들을 선택함으로써 수행된다. 예를 들어, 보다 작은 비드 직경을 갖는 블래스팅 비드(312)들이 선택될 수 있는데, 그 보다 작은 블래스팅 비드(312)들은 좁은 크랙과 틈(311)들을 보다 양호하게 침투할 수 있어 보다 양호한 금속간 화합물 제거를 제공한다. 비드 직경은 실질적으로 구형 형상을 포함하는 비드 직경일 수 있으며, 또한 타원형 또는 평평한 입방체 형상을 포함하는 비드와 같은, 완전한 구형이 아닌 평균 크기의 비드 치수일 수 있다. 하나의 예에서, 약 80 마이크로미터에서부터 약 180 마이크로미터까지의 직경과 같은 약 180 마이크로미터 이하의 직경, 그리고 약 150 마이크로미터와 같은 약 100 마이크로미터에서부터 약 180 마이크로미터까지의 직경 이하의 직경을 갖는 블래스팅 비드(312)들이 표면(306)을 향해 추진될 수 있다. 예를 들어, 블래스팅 비드들은 본질적으로 약 180 마이크로미터 이하의 직경을 갖는 비드들로 구성될 수 있다. 이 직경은 약 80에서부터 약 120까지, 그리고 약 100과 같은 적어도 약 80의 그릿 메쉬(grit mesh) 크기에 대응될 수 있다. 비드 직경은 비드들이 틈 내부로 침투하도록 틈(311)들의 평균 폭보다 작도록 선택될 수 있다. 적절한 비드 재료로는 예를 들어 알루미늄 옥사이드, 글래스, 실리카, 또는 하드 플라스틱이 포함될 수 있다.
또한 침투성 비드 블래스팅 공정은 보다 미세한 블래스팅 비드(312)들을 추진하는데 사용되는, 상대적으로 낮은 압력의 가스를 사용한다. 침투성 비드 블래스팅 공정에서 비드(32)들을 표면을 향해 추진시키는데 사용되는 가스 압력은 약 172 킬로파스칼(25 pounds per square inch)에서부터 약 310kPa(45psi)까지와 같은 약 310kPa(45psi) 이하일 수 있으며, 약 241kPa(35psi)일 수 있다. 침투성 비드 블래스팅 공정을 제공하는데 적절한 다른 비드 블래스팅 조건들은: 약 35도에서부터 약 55도까지와 같은 약 35도에서부터 약 90도까지의 각도, 그리고 약 45도 각도의, 표면(306)에 대한 비드(312)들의 입사각; 약 10cm에서부터 약 15cm까지와 같은 약 10cm에서부터 약 25cm의, 비드 블래스터에서부터 하부 구조(304)의 표면(306)까지 비드(312)들이 이동하는 이격거리(standoff distance)를 포함한다.
보다 미세한 비드들과 보다 낮은 비드 블래스팅 압력을 갖는 침투성 비드 블래스팅 공정은 구조(304)의 하부 구조를 손상시키지 않으면서 표면(306) 상의 금속간 화합물(310)들을 현저히 깨끗이 세정한다. 상대적으로 완만한(gentle) 침투성 비드 블래스팅 공정에 의해 제공되는 개선된 결과들은 예상하지 못한 결과인데, 이는 이전에는 금속간 화합물(310)들을 표면(306)으로부터 보다 완전히 제거하는데는 보다 공격적인 비드 블래스팅 공정이 요구된다고 믿어져왔기 때문이다. 상대적으로 완만한 비드 블래스팅 공정은 도 1c의 예에 대하여 도시된 바와 같이 금속간 화합물(310)들이 실질적으로 존재하지 않는 표면(306)을 제공하며, 이로써 컴포넌트(300)의 공정 수명이 개선되는데, 이는 동일한 컴포넌트가 보다 많이 세정될 수 있기 때문이다.
그 다음으로, 후속적으로 인가되는 코팅(302)의 접착도를 개선시키기 위하여 하부 구조(304)의 표면(306)을 텍스처링하는 후속 텍스처라이징(texturizing) 비드 블래스팅 단계가 수행된다. 텍스처라이징 비드 블래스팅 공정은 표면(306)에 원하는 표면 거칠기를 복원시키기 위해 수행될 수 있는데, 상기 표면 거칠기는 예를 들어 코팅(302)을 제거하는데 사용된 화학 세정 용액들에 의하여 감소될 수 있다. 텍스처라이징 비드 블래스팅 공정은 바람직하게는 침투성 비드 블래스팅 공정에 비하여 상대적으로 보다 공격적인 비드 블래스팅 공정을 포함하는데, 블래스팅 비드(312)들은 표면(306)의 보다 넓은 영역들을 파내는 보다 큰 비드 직경을 갖는다. 또한, 공정은 표면(306)에 대한 보다 큰 힘으로 비드(312)들을 추진하는 보다 높은 가스 압력을 포함하여 미리 예정된 표면 거칠기를 제공할 수 있다. 공격적인 텍스처라이징 단계는, 바람직하게는, 약 3.81 마이크로미터(150 마이크로인치)에서부터 약 8.89 마이크로미터(350 마이크로인치), 그리고 약 4.45 마이크로미터(175 마이크로인치)에서부터 약 8.89 마이크로미터(350 마이크로인치)까지와 같은, 적어도 약 3.81 마이크로미터(150 마이크로인치), 그리고 적어도 약 4.32 마이크로미터(170 마이크로인치)의 구조 표면(306)의 평균 표면 거칠기를 제공한다. 하나의 버전에서, 스테인레스강을 포함하는 구조(304)의 표면(306)은 약 5.33 마이크로미터(210 마이크로인치)와 같은 약 4.45 마이크로미터(175 마이크로인치)에서부터 약 6.35 마이크로미터(250 마이크로인치) 까지의 거칠기 평균으로 비드 블래스팅된다. 또하나의 버전에서, 티타늄을 포함하는 구조(304)의 표면(306)은 약 7.62 마이크로미터(300 마이크로인치)와 같은 약 4.45 마이크로미터(250 마이크로인치)에서부터 약 8.89 마이크로미터(350 마이크로인치) 까지의 거칠기 평균으로 비드 블래스팅된다. 텍스처라이징 비드 블래스팅 공정에서 거칠게 된 컴포넌트(300)의 예가 도 1d에서 도시된다.
적절한 텍스처라이징 비드 블래스팅 단계에서, 약 400 마이크로미터에서부터 약 1000 마이크로미터까지와 같은 적어도 약 400 마이크로미터, 그리고 약 450 마이크로미터의 직경을 갖는 블래스팅 비드(312)들은 표면(306)을 향해 추진되어 표면(306)을 미리 예정된 표면 거칠기 평균으로 거칠어지게 한다. 이러한 비드 크기는 약 24에서부터 약 70까지와 같은 약 70이하, 그리고 약 36 이하의 그릿 메쉬 크기에 대응될 수 있다. 비드(312)들을 추진하는데 사용되는 적절한 공기압은 약 138kPa(20psi)에서부터 약 827kPa(120psi)까지와 같은 적어도 약 138kPa(20psi), 그리고 약 276kPa(40psi)에서부터 약 414kPa(60psi)까지와 같은 적어도 약 276kPa(40psi)의 압력일 수 있다. 또한, 공기압은 침투성 비드 블래스팅 단계에서 사용된 압력보다 큰, 적어도 약 69kPa(10psi)일 수 있다. 텍스처라이징 비드 블래스팅 공정을 제공하는데 적절한 다른 비드 블래스팅 조건은: 약 45도에서 약 90도 까지의 각도, 그리고 약 50도에서 약 70도까지의 각도의 비드(312)들의 입사각; 약 10cm에서부터 약 15cm까지와 같은, 약 10cm에서부터 약 25cm의, 비드 블래스터에서부터 하부 구조(304)의 표면(306)까지 비드(312)들이 이동하는 이격거리(standoff distance)를 포함한다. 텍스처라이징 비드 블래스팅 단계는 바람직하게는 금속간 화합물들이 제거된 침투성 비드 블래스팅 단계 후에 수행된다. 그러나, 또한 텍스처라이징 단계가 침투성 블래스팅 단계 이전에 수행될 수 있으며, 텍스처라이징 및 침투성 비드 블래스팅 단계들이 교대로 또는 다른 순서로 반복될 수 있다.
거칠기 평균과 같은 표면(306)의 특성들을 측정함에 있어서, 적절한 컷-오프 길이와 평가 길이(evaluation length)를 지정하는 국제 표준 ANSI/ASME B.46.1 - 1995가 사용될 수 있다. 다음의 표 1은, 이 표준에 의해 정의되는 거칠기 평균값들 사이의 대응, 적절한 컷-오프 길이, 및 최소 및 통상적 평가 길이를 보여준다.
표 1
거칠기 평균 컷-오프 길이 최소 평가 길이 통상 평가 길이
0-0.8 마이크로인치(0-0.02 마이크로미터) 0.003 인치(76.2 마이크로미터) 0.016 인치(0.406 밀리미터) 0.016 인치(0.406 밀리미터)
0.0160.8-4 마이크로인치(0.02-0.1 마이크로미터) 0.010 인치(254 마이크로미터) 0.050 인치(1.27 밀리미터) 0.050 인치(1.27 밀리미터)
4-80 마이크로인치(0.1-2.0 마이크로미터) 0.03 인치(762 마이크로미터) 0.160 인치(4.06 밀리미터) 0.160 인치(4.06 밀리미터)
80-400 마이크로인치(2.0-10.2 마이크로미터) 0.100 인치(2.54 밀리미터) 0.300 인치(76.2 밀리미터) 0.500 인치(12.7 밀리미터)
400 마이크로인치(10.2 마이크로미터) 이상 0.300 인치(7.62 밀리미터) 0.900 인치(22.9 밀리미터) 1.600 인치(40.6 밀리미터)
표면(306) 위를 바늘이 지나가게 하고 표면(306) 상의 거칠기의 높이 변동을 기록하는 프로파일로미터(profilometer)에 의해, 또는 표면(306)의 영상을 생성시키기 위해 표면(306)으로부터 반사된 전자빔을 사용하는 스캐닝 전자 현미경에 의해 거칠기 평균이 측정될 수 있다.
하부 구조(304)의 표면(306)이 상술된 재생 공정으로 세정되고 텍스처링되면, 제 2 금속층(302b)을 포함하는 코팅(302)이 적어도 표면(306)의 일부분 위에 형성된다. 제 2 금속층(302b)은 제 1 금속층(302a)과 동일한 또는 그와 다른 재료를 포함할 수 있는데, 예를 들어 제 2 금속층(302b)은, 알루미늄, 티타늄, 구리 및 크롬 중 적어도 하나와 같은, 기판 프로세스 챔버 내에서 실질적인 내식성을 갖는 1이상의 금속을 포함할 수 있다. 하부 구조(304)를 보호하기 위하여, 코팅이, 그 코팅(302)과 하부 구조(304) 사이의 강한 접합을 제공하는 방법에 의하여 제공된다. 예를 들어, 코팅(302)은 화학적 또는 물리적 증착 공정 중 1이상에 의해 인가될 수 있으며, 또는 트윈-와이어 아크 스프레이 방법, 플라즈마 아크 스프레이 방법, 또는 옥시-퓨얼 가스 플레임(oxy-fuel gas flame)과 같은 플레임 스프레이(flame spraying) 또는 열 스프레이 방법에 의하여 인가될 수 있다. 제 2 금속층을 포함하는 코팅(302)을 구비하는 재생된 컴포넌트(300)의 예가 도 1e에서 도시된다.
하나의 버전에서, 금속층(302b)을 포함하는 코팅(302)이 트윈-와이어 아크 스프레이 공정으로 세정된 표면(306)에 인가되는데, 이는 2001년 5월 8일 특허된 Lazarz 등의 미국 특허 제 6,227,435 B1호 및, 1997년 12월 9일 특허된 Scruggs의 미국 특허 제 5,698,825호에서 설명되며, 두 특허 모두 본 명세서에 참조로서 통합된다. 트윈-와이어 아크 열 스프레이 공정에서, 열 스프레이어(thermal sprayer)(미도시)는 2개의 소모성 전극들을 포함하며, 상기 2개의 전극들은 그들 사이에 전기 아크가 형성될 수 있도록 성형되고 경사진다. 예를 들어, 소모성 전극들은 표면 상에 코팅될 금속으로부터 형성되는 트윈 와이어들을 포함할 수 있는데, 그들은 전기 방전이 가장 가까운 지점 부근에서 형성될 수 있도록 서로를 향해 경사진다. 공기, 질소 또는 아르곤 중 1이상과 같은 캐리어 가스가 소모성 전극들 사이에 흘려지면서 전압이 그 전극들에 인가될 때 전기 아크 방전이 소모성 전극들 사이에서 발생한다. 전극들 사이의 아크는 전극 상의 금속을 원자화시켜 적어도 부분적으로 용해시키며, 아크 전극들에 의해 에너지가 공급된 캐리어 가스는 녹은 입자들을 열 스프레이어로부터 이탈시켜 하부 구조(304)의 표면(306)을 향해 추진한다. 녹은 입자들은 하부 구조(304)의 표면(306) 상에 충돌하는데, 그곳에서 그 녹은 입자들은 냉각되고 응결되어 콘포멀(conformal)한 코팅(302)을 형성한다. 와이어들이 소모성 전극들로 사용되는 경우, 그 와이어들이 계속해서 열 스프레이어에 공급되어 연속적인 금속 재료 공급을 가능하게 한다.
열 스프레잉 중의 실시 변수(operation parameter)들은 코팅 재료의 온도 및 속도와 같은 코팅 재료 인가 특징을 조절하는데 적합하게 선택되는데, 이는 그것이 열 스프레이어로부터 하부 구조 표면(306)까지의 경로를 가로지르기 때문이다. 예를 들어, 가스 흐름, 전력 레벨, 파우더 공급율, 열 스프레이어로부터 표면(306)까지의 이격 거리, 및 표면(306)에 대한 코팅 재료 증착 각도가 코팅 재료의 인가 및 후속하는 하부 구조 표면(306)에 대한 코팅(302)의 부착성을 개선시키도록 선택될 수 있다. 예를 들어, 소모성 전극들 사이의 전압은 약 30Volt와 같은 약 10Volt에서부터 약 50Volt까지로 선택될 수 있다. 또한, 소모성 전극들 사이를 흐르는 전류는 약 200Amp와 같은 약 100Amp에서부터 약 1000Amp까지로 선택될 수 있다. 열 스프레이어의 전력 레벨은 통상적으로 약 10 킬로와트와 같은 약 6에서부터 약 80 킬로와트의 범위에 있다.
이격 거리와 증착 각도는 표면(306) 상에 대한 코팅의 증착 특성을 조절하도록 선택될 수 있다. 예를 들어, 이격거리와 증착 각도는, 예를 들어, "팬케익(pancake)"과 "라멜라(lamella)" 패턴들을 형성하기 위하여, 녹은 코팅 재료가 스플래터링(splatter)되어 표면에 충돌하는 패턴을 수정하도록 조절될 수 있다. 이격 거리와 증착각도는, 코팅 재료가 표면(306)에 충돌할 때 그 코팅 재료의 위상, 속도, 또는 입자 크기를 수정하도록 조절될 수 있다. 하나의 실시예에서, 열 스프레이어와 표면 사이의 이격 거리는 약 15cm이고 표면(306)에 대한 코팅 재료의 증착 각도는 약 90도이다.
코팅 재료의 속도는 표면(306) 상에 코팅 재료를 적절히 증착하도록 조절될 수 있다. 하나의 실시예에서, 파워가 공급된 코팅 재료의 속도는 약 100에서 약 300 미터/초까지이다. 또한, 열 스프레이어는, 코팅 재료가 표면에 충돌할 때 그 코팅 재료의 온도가 적어도 대략적으로 녹는점이 되도록 적응될 수 있다. 녹는점 이상의 온도는 고밀도 및 높은 접합 강도의 코팅을 야기한다. 예를 들어, 전기 방전 주위에서, 에너지가 공급된 캐리어 가스의 온도는 5000℃를 초과할 수 있다. 그러나, 전기 방전 주위의, 에너지가 공급된 캐리어 가스의 온도는 표면(306)과 충돌하는 시간 동안 코팅 재료가 녹은 채로 유지되기에 충분한 낮은 온도로 설정될 수 있다. 예를 들어, 적절한 시간은 적어도 약 수 초일 수 있다.
바람직하게는, 열 스프레잉 공정 변수들은, 예를 들어 원하는 코팅 두께, 코팅 표면 거칠기, 및 코팅의 공극률(porosity)과 같은 원하는 코팅의 구조 및 표면 특성을 갖는 코팅(302)을 제공하도록 선택되는데, 이들은 코팅된 컴포넌트들의 성능을 개선시키는데 공헌한다. 코팅(302) 두께는 그 코팅(302)이 얼마나 양호하게 하부 구조(304)에 부착되는지 및 컴포넌트(300)의 내식성에 영향을 미칠 수 있다. 예를 들어, 적절한 코팅(302) 두께는 약 152 마이크로미터(0.006 인치)에서 약 508 마이크로미터(0.02 인치)일 수 있다. 코팅된 스테인리스강 또는 티타늄 구조와 같은, 알루미늄 코팅(302)으로 커버되는 하부 구조(304)에 대하여, 적절한 코팅(302) 두께는 약 304 마이크로미터(0.012 인치)와 같은 약 254 마이크로미터(0.01 인치)에서 약 508 마이크로미터(0.02 인치)일 수 있다. 또한, 열 스프레잉 공정 변수들은 공정 잔유물들이 부착되는 텍스처링된 표면(308)을 갖는 코팅(302)을 제공하도록 선택될 수 있다. 예를 들어, 코팅(302)은 약 25 마이크로미터(1000 마이크로인치)에서 약 50.8 마이크로미터(2000 마이크로인치)의 표면 거칠기를 갖는 텍스처링된 표면(308)을 가질 수 있다.
추가적인 세정 단계들이 코팅(302) 및 하부 구조 표면(306) 중 1이상을 세정하기 위해 수행될 수 있다. 예를 들어, 비드 블래스팅 후, 코팅(302)이 인가되기 전에, 하부 구조(304)의 표면(306)이 탈이온수(de-ionized water)를 포함하는 세정조(cleaning bath)에 담겨지고 음파들이 세정조로 인가되어 표면(306)을 약간 흔드는 초음파 세정 단계를 수행함으로써 하부 구조 표면(306)이 세정될 수 있다. 그 다음, 표면(306)은 적어도 100℃의 온도로 가열되어 컴포넌트(300)를 건조시키고 휘발성 불순물들을 제거한다. 코팅(302)의 표면(308)은 탈이온수 초음파 세정 단계에서 세정될 수 있다. 가압된 N2 흐름이 코팅(302) 또는 하부 구조(304)의 표면들을 세정하는데 공급될 수 있다.
설명된 공정에 따라 세정되고 재생된 컴포넌트(300)는 코팅(302)과 하부 구조(304) 사이에서 실질적으로 개선된 접합을 보여주며, 개선된 컴포넌트 수명을 나타낸다. 예를 들어, 공정에 따라 세정되고 코팅된 컴포넌트는 증착 챔버(106)에서 향상된 성능을 제공하는데, 여기서 챔버(106)에 형성된 스퍼터링된 재료는 컴포넌트(300)로부터의 코팅(302)의 스폴링을 실질적으로 야기하지 않으면서 컴포넌트(300)의 노출된 표면들 상에 적어도 약 100 마이크로미터의 두께로, 그리고 약 300 마이크로미터까지 축적될 수 있다. 또한, 본 방법에 따라 세정되고 재생된 컴포넌트는 실질적으로 코팅(302)이 스폴링되지 않으면서 적어도 약 4개의 기판들을 처리하는데 사용될 수 있다. 또한, 개선된 재생 공정은 코팅된 컴포넌트(300)가 실질적으로 고장 없이 적어도 약 15회 재생되고 재사용될 수 있게 한다. 비교해보면, 충분히 금속간 화합물(310)들을 제거하지 않는 통상적인 재생 공정은 컴포넌트(300)가 단지 5회 재생되고 재사용되는 것을 가능하게 할 뿐이다. 따라서, 본 재생 공정은 통상적으로 재생된 컴포넌트(300)의 수명보다 적어도 2배 긴 수명을 갖는 컴포넌트(300)를 제공한다.
본 공정에 따라 재생된 컴포넌트를 갖는 적절한 프로세스 챔버(106)가 도 3에서 도시된다. 챔버(106)는, 챔버(106)들 사이에서 기판들을 전달하는 로봇팔 장치에 의해 연결되는 상호연결된 챔버 군을 갖는 다중-챔버 플랫폼(미도시)의 일부일 수 있다. 도시된 버전에서, 프로세스 챔버(106)는 물리 기상 증착 또는 PVD 챔버라 불리는 스퍼터 증착 챔버를 포함하는데, 상기 스퍼터 증착 챔버는 탄탈, 탄탈 질화물, 티타늄, 티타늄 질화물, 구리, 텅스텐, 텅스텐 질화물, 알루미늄 중 1이상과 같은 재료를 기판(104) 상에 스퍼터 증착할 수 있는 성능을 갖는다. 챔버(106)는 처리 영역(109)을 둘러싸며 측벽(164)들, 하부벽(166), 및 상부벽(ceiling)(168)을 포함하는 외부벽(118)들을 포함한다. 지지링(130)은 측벽(164)들과 상부벽(168) 사이에 배치되어 상부벽(168)을 지지할 수 있다. 다른 챔버벽들은 스퍼터링 분위기로부터 외부벽(118)들을 보호하는 1이상의 보호부(120)들을 포함할 수 있다.
챔버(106)는 스퍼터 증착 챔버(106)에서 기판을 지지하는 기판 지지부(114)를 포함한다. 기판 지지부(114)는 전기적으로 떠오를 수 있으며 RF 전력 공급부와 같은 전력 공급부에 의해 바이어스되는 전극을 포함할 수 있다. 또한, 기판 지지부(114)는, 기판(104)이 존재하지 않는 경우 지지부(114)의 상부 표면(134)을 보호할 수 있는 셔터 디스크(133)를 포함할 수 있다. 작동시에는, 기판(104)은 챔버(106)의 측벽(164)에 있는 기판 장착구(loading inlet)(미도시)를 통해 챔버(106) 내부로 인도된다. 지지부(114)는 지지부 상승 벨로우(support lift bellows)에 의해 상승되거나 하강될 수 있으며 상승 핑거(finger) 어셈블리(미도시)가 기판(104)을 챔버(106) 내부로, 그리고 챔버(106)로부터 외부로 운송할 때 그 기판을 지지부(114)로 상승시키거나 하강시키는데 사용될 수 있다.
또한, 지지부(114)는 커버링(126)과 증착링(128)과 같은 1이상의 링들을 포함할 수 있는데, 상기 링들은 지지부(114)의 상부 표면(134)의 적어도 일부를 커버하여 지지부(114)가 침식되는 것을 방지한다. 하나의 버전에서, 증착링(128)은 기판(104)을 적어도 부분적으로 둘러싸서 기판(104)에 의해 커버되지 않은 지지부(114) 부분을 보호한다. 커버링(126)은 증착링(128)의 적어도 일부를 둘러싸고 커버하여, 증착링(128)과 하부 지지부(114) 상에 입자들이 증착되는 것을 감소시킨다.
스퍼터링 가스와 같은 처리 가스는, 1이상의 가스 소스(174)들을 포함하는 처리 가스 공급부를 포함가스 공급 시스템(112)을 통해 챔버(106) 내부로 주입되는데, 상기 가스 소스들 각각은, 정해진 가스 유량을 통과시키는 질량 흐름 제어기와 같은 가스 흐름 제어 밸브(178)를 갖는 도관(176)을 제공한다. 도관(176)들은 가스들이 혼합되어 원하는 처리 가스 조성을 형성하게 되는 믹싱 매니폴드(mixing manifold)에 가스들을 공급할 수 있다. 믹싱 매니폴드는 챔버(106)내에 1이상의 가스 방출구(182)들을 갖는 가스 분배기(180)를 제공한다. 처리 가스는 강력하게 충돌하여 타겟으로부터 재료를 스퍼터링할 수 있는 아르곤, 크세논과 같은 비활성 기체를 포함할 수 있다. 또한, 처리 가스는 산소-함유 가스와 질소-함유 가스 중 1이상과 같은 반응성 가스를 포함할 수 있는데, 상기 가스들은 스퍼터링된 재료와 반응하여 기판(104) 상에 층을 형성할 수 있다. 사용된 처리 가스와 부산물들은 이상의 배출 포트(184)들을 포함하는 배출부(120)를 통해 챔버(106)로부터 배출되는데, 상기 배출 포트는 사용된 처리 가스를 수용하고 그 가스가 챔버(106)내의 가스 압력을 제어하는 드로틀 밸브(Throttle Valve)(188)가 있는 배출 도관(186)을 통과하게 한다. 배출 도관(186)은 1이상의 배출 펌프(190)들을 제공한다. 통상적으로, 챔버(106) 내부에서의 스퍼터링 가스의 압력은 감압 레벨(subatmospheric condition)이다.
스퍼터링 챔버(106)는 기판(104)의 표면(105)과 대면하며 기판(104) 상으로 스퍼터링될 재료를 포함하는 스퍼터링 타겟(124)을 추가적으로 포함할 수 있다. 타겟(124)은 환형 절연링(132)에 의해 챔버(106)로부터 전기적으로 절연되며 전력 공급부(192)에 접속된다. 스퍼터링 챔버(106)는 또한 스퍼터링된 재료로부터 챔버(106)의 벽(118)을 보호하는 보호부(120)를 갖는다. 보호부(120)는 챔버(106)의 상부 및 하부 영역들을 보호하는 상부 및 하부 보호 섹션들(120a, 120b)을 갖는 막형(wall-like) 원통 형상을 포함할 수 있다. 도 3에서 도시된 버전에서, 보호부(120)은 지지링(130)에 장착된 상부 섹션(120a)과 커버링(126)에 고정된 하부 섹션(120b)을 갖는다. 클램프 링을 포함하는 클램프 보호부(141)는 상부 및 하부 보호 섹션들(120a, b)을 함께 클램핑하기 위해 제공된다. 내부 및 외부 보호부와 같은 대안적인 보호부 구성 역시 제공될 수 있다. 하나의 버전에서, 1이상의 전력 공급부(192), 타겟(124), 및 보호부(120)가 타겟(124)으로부터 재료를 스퍼터링하는 스퍼터링 가스에 에너지를 고급할 수 있는 가스 에너자이저(116)로서 작용한다. 전력 공급부(192)는 보호부(120)에 관하여 타겟(124)에 바이어스 전압을 인가한다. 챔버(106) 내에서 인가된 전압으로부터 발생된 전기장은 스퍼터링 가스에 에너지를 공급하여 플라즈마를 형성시키는데, 상기 플라즈마는 타겟(124)에 강력하게 충격을 가하여 재료를 그 타겟(124)으로부터 기판(104) 상으로 스퍼터링한다. 전극(170)과 지지부 전극 전력 공급부(172)를 갖는 지지부(114) 타겟(124)으로부터 스퍼터링된 이온화된 재료에 에너지를 공급하여 기판(104) 쪽으로 가속시킴으로써 가스 에너자이저(116)의 일부로서 작용할 수 있다. 또한, 전력 공급부(192)에 의해 전력이 공급되며 개선된 에너지 공급 가스 밀도와 같은 향상된 에너지 공급 가스 특징을 제공하기 위해 챔버(106)내에 위치하는 가스 에너자이징(energizing) 코일(135)이 제공될 수 있다. 가스 에너자이징 코일(130)은 보호부(120) 또는 챔버(106)내의 다른 벽에 부착되는 코일 지지부(137)에 의해 지지될 수 있다.
챔버(106)는 프로그램 코드를 포함하는 제어기(194)에 의해 제어되는데, 상기 프로그램 코드는 챔버(106) 내에서 기판(104)을 처리하기 위하여 챔버(106)의 컴포넌트들을 작동시키는 명령 세트(instruction set)들을 갖는다. 예를 들어, 제어기(194)는, 챔버(106) 내에 기판(104)을 위치시키기 위하여 기판 지지부(114)와 기판 운송부 중 1이상을 작동시키는 기판 배치 명령 세트; 챔버(106)로의 스퍼터링 가스의 흐름을 설정하기 위해 흐름 제어 밸브(178)를 작동시키는 가스 흐름 제어 명령 세트; 챔버(106) 내에서 압력을 유지하기 위하여 배출 드로틀 밸브(188)를 작동시키는 가스 압력 제어 명령 세트; 가스 에너자이징 전력 레벨을 설정하기 위하여 가스 에너자이저(116)를 작동시키는 가스 에너자이저 제어 명령 세트; 챔버(106)의 온도를 제어하기 위한 온도 제어 명령 세트; 및 챔버(106) 내의 압력을 모니터링 하기 위한 압력 모니터링 명령 세트를 포함할 수 있다.
본 발명의 예시적 실시예들이 도시되고 설명되었지만, 당업자는 본 발명을 통합시키며 또한 본 발명의 범위 내에 있는 다른 실시예들을 발명할 수 있다. 예를 들어, 본 명세서에서 설명된 예시적인 컴포넌트들 이외의 다른 챔버 컴포넌트들이 세정될 수 있다. 다른 세정 단계들이 설명된 바와 공동으로 사용될 수 있다. 또한, 예시적 실시예들과 관련하여 나타내어진 관계상(relative) 또는 위치상(positional) 용어들은 교환가능하다. 따라서, 첨부된 청구 범위는, 본 발명을 설명하기 위하여 본 상세한 설명에서 설명된, 바람직한 버전, 재료들 또는 공간적 배치들에 대한 설명에 제한되어서는 안된다.
본 발명에 따라, 코팅된 컴포넌트를 재생하고 세정하는 공정을 구비하여 컴포넌트의 개선된 내식성 및 보다 긴 컴포넌트 챔버 사용 수명이 제공된다. 특히, 본 발명에 따라, 컴포넌트들로부터 금속간 화합물들을 실질적으로 완전히 제거하는, 코팅된 컴포넌트를 재생하고 세정하는 공정을 구비하여, 코팅과 하부 컴포넌트 사이의 향상된 접합이 제공된다.
도 1a는 상부 코팅 및 그 코팅과 컴포넌트의 하부 구조를 구비하는 컴포넌트의 실시예에 대한 측면도이다.
도 1b는 코팅을 제거하기 위한 세정 용액에 코팅을 담근 후의 도 1a의 컴포넌트에 대한 측면도이다.
도 1c는 컴포넌트로부터 금속간 화합물을 제거하기 위한 침투성 비드 블래스팅 단계 후의 도 1b의 컴포넌트에 대한 측면도이다.
도 1d는 컴포넌트 표면을 거칠게 하는 텍스처라이징 비드 블래스팅(texturizing bead blasting) 단계 후의 도 1c의 컴포넌트에 대한 측면도이다.
도 1e는 컴포넌트에 코팅을 재인가한 후의 도 1d의 컴포넌트에 대한 측면도이다.
도 2는 컴포넌트 재생 공정의 실시예를 도시하는 흐름도이다.
도 3은 1이상의 코팅된 컴포넌트들을 갖는 프로세스 챔버의 실시예에 대한 측면 단면도이다.

Claims (11)

  1. 프로세스 챔버의 컴포넌트를 재생(refurbish)하는 방법으로서, 상기 컴포넌트는 금속간 화합물(intermetallic compound) 상에서 제 1 금속층을 포함하는 코팅을 구비하는 구조를 포함하며, 상기 방법은:
    (a) 상기 제 1 금속층을 제거하여 적어도 부분적으로 상기 금속간 화합물을 포함하는 노출 표면을 형성시키는 단계;
    (b) 약 180 마이크로미터 이하의 비드(bead) 직경을 갖는 블래스팅 비드(blasting bead)들을 약 310 kPa 이하의 압력으로 압력이 가해진 가스로 상기 노출 표면을 향해 추진함으로써 침투성 비드 블래스팅(penetrative bead blasting) 단계를 수행하여, 상기 구조의 상기 노출 표면으로부터 상기 금속간 화합물을 제거하여, 세정된 표면을 형성하는 단계; 및
    (c) 상기 세정된 표면 상에 제 2 금속층을 형성시키는 단계를 포함하는, 컴포넌트 재생 방법.
  2. 제 1 항에 있어서,
    상기 (b)는 약 80 마이크로미터에서부터 약 180 마이크로미터까지의 비드 직경을 갖는 블래스팅 비드들로 상기 노출된 표면을 비드 블래스팅하는 단계를 포함하는, 컴포넌트 재생 방법.
  3. 제 1 항에 있어서,
    상기 노출된 표면은 틈(crevice)들을 포함하며, 상기 비드 직경은 상기 틈들의 평균 폭보다 작게 선택됨으로써, 상기 블래스팅 비드들이 상기 틈들로 침투하여 상기 금속간 화합물을 제거할 수 있는, 컴포넌트 재생 방법.
  4. 제 1 항에 있어서,
    상기 (b)는 상기 블래스팅 비드들을 약 172 kPa에서부터 약 310 kPa까지의 압력으로 압력이 가해진 가스로 상기 노출 표면을 향해 추진함으로써 상기 노출 표면을 비드 블래스팅하는 단계를 포함하는, 컴포넌트 재생 방법.
  5. 제 1 항에 있어서,
    상기 재생 방법은 약 3.81 마이크로미터에서부터 약 8.89 마이크로미터까지의 표면 거칠기 평균을 갖도록 상기 세정된 표면을 텍스처라이징(texturize)하는 텍스처라이징 비드 블래스팅(texturizing bead blasting) 단계를 추가적으로 포함하는, 컴포넌트 재생 방법.
  6. 제 5 항에 있어서,
    상기 텍스처라이징 비드 블래스팅 단계는, 약 276 kPa부터 약 414 kPa까지의 압력으로 압력이 가해진 가스로 약 400 마이크로미터부터 약 1000 마이크로미터까지의 비드 직경을 갖는 블래스팅 비드들을 상기 노출 표면을 향해 추진하는 단계를 포함하는, 컴포넌트 재생 방법.
  7. 제 1 항에 있어서,
    상기 구조는 알루미늄, 티타늄, 스테인리스강, 구리 및 탄탈 중 적어도 하나를 포함하며, 상기 코팅은 알루미늄, 티타늄, 구리 및 크롬 중 적어도 하나를 포함하는, 컴포넌트 재생 방법.
  8. 제 1 항에 있어서,
    상기 (a)는, 상기 제 1 금속층의 표면을 산성(acidic) 또는 염기성(basic) 용액을 포함하는 세정 용액에 담금으로써 상기 제 1 금속간 화합물을 용해시키는 단계를 포함하는, 컴포넌트 재생 방법.
  9. 제 1 항에 있어서,
    상기 (c)는 상기 세정된 표면 상에 상기 제 2 금속층을 트윈-와이어 아크 스프레잉(twin-wire arc spraying)하는 단계를 포함하는, 컴포넌트 재생 방법.
  10. 제 1 항의 컴포넌트 재생 방법에 따라 재생된 컴포넌트로서, 상기 컴포넌트는, 실질적으로 그 컴포넌트의 고장 없이 상기 재생 방법에 의해 적어도 약 15회 재생될 수 있는, 컴포넌트.
  11. 제 1 항의 컴포넌트 재생 방법에 따라 재생된 컴포넌트로서, 상기 컴포넌트는 외부벽, 챔버 보호부, 타겟, 커버링, 증착링, 지지링, 절연링, 코일, 코일 지지부, 셔터 디스크, 클램프 보호부, 및 기판 지지부 중 1이상의 적어도 일부를 포함하는, 컴포넌트.
KR1020040084331A 2003-10-22 2004-10-21 금속 코팅을 구비하는 챔버 컴포넌트의 세정 및 재생 방법 KR20050039605A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/691,418 US7910218B2 (en) 2003-10-22 2003-10-22 Cleaning and refurbishing chamber components having metal coatings
US10/691,418 2003-10-22

Publications (1)

Publication Number Publication Date
KR20050039605A true KR20050039605A (ko) 2005-04-29

Family

ID=34521874

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040084331A KR20050039605A (ko) 2003-10-22 2004-10-21 금속 코팅을 구비하는 챔버 컴포넌트의 세정 및 재생 방법

Country Status (4)

Country Link
US (1) US7910218B2 (ko)
KR (1) KR20050039605A (ko)
CN (1) CN1609259A (ko)
TW (1) TWI336354B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180111582A (ko) * 2017-03-30 2018-10-11 스미또모 가가꾸 가부시키가이샤 사용이 끝난 타깃재를 세정하는 방법, 타깃재의 제조 방법, 리사이클 주괴의 제조 방법 및 리사이클 주괴

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20050183741A1 (en) * 2004-02-20 2005-08-25 Surjaatmadja Jim B. Methods of cleaning and cutting using jetted fluids
US20050238807A1 (en) * 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US20060021870A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Profile detection and refurbishment of deposition targets
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7762114B2 (en) * 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US8647484B2 (en) * 2005-11-25 2014-02-11 Applied Materials, Inc. Target for sputtering chamber
WO2007118939A1 (fr) 2006-04-19 2007-10-25 Arcelor France Procede de fabrication d'une piece soudee a tres hautes caracteristiques mecaniques a partir d'une tole laminee et revetue
US7981262B2 (en) * 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8968536B2 (en) * 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US7901552B2 (en) 2007-10-05 2011-03-08 Applied Materials, Inc. Sputtering target with grooves and intersecting channels
US8097089B2 (en) * 2007-12-19 2012-01-17 Quantum Global Technologies LLC Methods for cleaning process kits and chambers, and for ruthenium recovery
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US8486726B2 (en) * 2009-12-02 2013-07-16 Veeco Instruments Inc. Method for improving performance of a substrate carrier
US9017486B2 (en) 2010-09-09 2015-04-28 International Business Machines Corporation Deposition chamber cleaning method including stressed cleaning layer
CN102465248B (zh) * 2010-11-16 2014-01-08 无锡华润上华半导体有限公司 防护罩的表面处理方法及防护罩
GB201102447D0 (en) 2011-02-11 2011-03-30 Spp Process Technology Systems Uk Ltd Composite shielding
JP2014522572A (ja) * 2011-06-02 2014-09-04 アプライド マテリアルズ インコーポレイテッド 静電チャックの窒化アルミ誘電体の修復方法
US9536715B2 (en) * 2011-09-30 2017-01-03 Jx Nippon Mining & Metals Corporation Recycling method for tantalum coil for sputtering and tantalum coil obtained by the recycling method
US8734907B2 (en) * 2012-02-02 2014-05-27 Sematech, Inc. Coating of shield surfaces in deposition systems
US8734586B2 (en) * 2012-02-02 2014-05-27 Sematech, Inc. Process for cleaning shield surfaces in deposition systems
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US20190341276A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Integrated semiconductor part cleaning system
US20210183657A1 (en) * 2019-12-17 2021-06-17 Applied Materials, Inc. Surface profiling and texturing of chamber components
CN114830312A (zh) * 2019-12-17 2022-07-29 应用材料公司 腔室部件的表面成形和纹理化
CN113594014B (zh) * 2020-04-30 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、等离子体反应装置及零部件加工方法

Family Cites Families (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2705500A (en) * 1953-11-04 1955-04-05 Leon L Deer Cleaning aluminum
US3117883A (en) * 1960-09-23 1964-01-14 Glidden Co Pigment for aqueous latex emulsion paints
US3482082A (en) 1966-03-18 1969-12-02 Techicon Corp Sample identification apparatus
US3457151A (en) * 1966-10-27 1969-07-22 Solutec Corp Electrolytic cleaning method
US3565771A (en) * 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
US3522083A (en) * 1967-11-03 1970-07-28 Grace W R & Co Phosphonitrilic laminating and molding resins
US3679460A (en) * 1970-10-08 1972-07-25 Union Carbide Corp Composite wear resistant material and method of making same
DE2225390A1 (de) 1972-05-25 1973-12-06 Messerschmitt Boelkow Blohm Vorrichtung und verfahren zur herstellung definierter wanddickenaenderungen eines rotationssymmetrischen hohlkoerpers
USRE31198E (en) * 1974-02-14 1983-04-05 Amchem Products, Inc. Method for cleaning aluminum at low temperatures
US4100252A (en) * 1976-04-26 1978-07-11 Engelhard Minerals & Chemicals Corporation Metal extraction process
US4419201A (en) * 1981-08-24 1983-12-06 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4412133A (en) 1982-01-05 1983-10-25 The Perkin-Elmer Corp. Electrostatic cassette
JPS6059104B2 (ja) 1982-02-03 1985-12-23 株式会社東芝 静電チヤツク板
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
GB2147459A (en) 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4606802A (en) 1983-12-21 1986-08-19 Hitachi, Ltd. Planar magnetron sputtering with modified field configuration
FR2562097A1 (fr) 1984-03-28 1985-10-04 Andritz Ag Maschf Procede pour le decapage d'aciers allies, de cuivre, d'alliages de metaux lourds non-ferreux, de titane, de zirconium, de tantale, etc. au moyen de bains d'acide nitrique
JPS6131636U (ja) 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
JPH0676652B2 (ja) 1984-10-08 1994-09-28 キヤノン株式会社 真空装置用構造材の表面処理方法
US5215639A (en) 1984-10-09 1993-06-01 Genus, Inc. Composite sputtering target structures and process for producing such structures
JPS61146717A (ja) * 1984-12-18 1986-07-04 Sumitomo Chem Co Ltd タンタルの精製方法
JPH0655742B2 (ja) * 1985-02-13 1994-07-27 住友化学工業株式会社 アセチレンカ−バミド誘導体およびこれを有効成分とする有機物質用安定剤
FR2578455B1 (fr) * 1985-03-08 1987-05-07 Lami Philippe Ensemble destine a redonner les conditions initiales de proprete dans un tube de quartz utilise comme chambre de reaction pour la fabrication des circuits integres
DE3523958A1 (de) 1985-07-04 1987-01-08 Licentia Gmbh Verfahren zur chemischen behandlung von keramikkoerpern mit nachfolgender metallisierung
JP2515731B2 (ja) * 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4713119A (en) * 1986-03-20 1987-12-15 Stauffer Chemical Company Process for removing alkali metal aluminum silicate scale deposits from surfaces of chemical process equipment
US4684447A (en) 1986-03-24 1987-08-04 Conoco Inc. Method for applying protective coatings
CH670970A5 (ko) 1986-09-18 1989-07-31 Grob Ernst Fa
US5009966A (en) * 1987-12-31 1991-04-23 Diwakar Garg Hard outer coatings deposited on titanium or titanium alloys
US4832781A (en) 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
ATE95513T1 (de) 1988-04-26 1993-10-15 Toto Ltd Verfahren zur herstellung dielektrischer keramik fuer elektrostatische haltevorrichtungen.
US4956105A (en) * 1988-05-31 1990-09-11 Mobil Oil Corporation Lubricant composition containing phenolic/phosphorodithioate borates as multifunctional additives
US5356890A (en) * 1988-06-15 1994-10-18 Brigham And Women's Hospital S-nitroso derivatives of ace inhibitors and the use thereof
US5032469A (en) * 1988-09-06 1991-07-16 Battelle Memorial Institute Metal alloy coatings and methods for applying
JP2665242B2 (ja) 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
US4959105A (en) 1988-09-30 1990-09-25 Fred Neidiffer Aluminium cleaning composition and process
US5409590A (en) 1989-04-17 1995-04-25 Materials Research Corporation Target cooling and support for magnetron sputter coating apparatus
JP2779950B2 (ja) 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US4995958A (en) 1989-05-22 1991-02-26 Varian Associates, Inc. Sputtering apparatus with a rotating magnet array having a geometry for specified target erosion profile
IT1235332B (it) * 1989-06-05 1992-06-26 Diaprint S P A Granitura elettrochimica di superfici in alluminio o in lega di alluminio
JPH0317288A (ja) * 1989-06-13 1991-01-25 Daicel Chem Ind Ltd スタンパー用電解洗浄液
US5130170A (en) * 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
EP0406690B1 (en) * 1989-06-28 1997-03-12 Canon Kabushiki Kaisha Process for continuously forming a large area functional deposited film by microwave PCVD method and an apparatus suitable for practicing the same
US5338367A (en) * 1989-07-26 1994-08-16 Ugine, Aciers De Chatillon Et Gueugnon Pickling process in an acid bath of metallic products containing titanium or at least one chemical element of the titanium family
US4996859A (en) 1989-10-23 1991-03-05 A. J. Rose Manufacturing Company Method and apparatus for roll forming metal
US5180563A (en) * 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
DE69103915T2 (de) 1990-01-25 1995-05-11 Applied Materials Inc Elektrostatische Klemmvorrichtung und Verfahren.
FR2657888B1 (fr) * 1990-02-08 1994-04-15 Ugine Aciers Procedes de decapage de materiaux en acier inoxydable.
US5391275A (en) * 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5202008A (en) * 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JPH071675B2 (ja) * 1990-08-22 1995-01-11 大日本スクリーン製造株式会社 シャドウマスクの製造方法及びシャドウマスク板材
US5055964A (en) 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JP3064409B2 (ja) 1990-11-30 2000-07-12 株式会社日立製作所 保持装置およびそれを用いた半導体製造装置
US5280156A (en) 1990-12-25 1994-01-18 Ngk Insulators, Ltd. Wafer heating apparatus and with ceramic substrate and dielectric layer having electrostatic chucking means
US5166856A (en) 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5215624A (en) * 1991-02-08 1993-06-01 Aluminum Company Of America Milling solution and method
US5248386A (en) * 1991-02-08 1993-09-28 Aluminum Company Of America Milling solution and method
US5191506A (en) 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5458759A (en) 1991-08-02 1995-10-17 Anelva Corporation Magnetron sputtering cathode apparatus
US5275683A (en) 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
JPH05166757A (ja) 1991-12-13 1993-07-02 Tokyo Electron Ltd 被処理体の温調装置
US5356723A (en) 1991-12-18 1994-10-18 Sumitomo Metal Industries, Ltd. Multilayer plated aluminum sheets
US5376223A (en) * 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5315473A (en) 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
JP2865472B2 (ja) 1992-02-20 1999-03-08 信越化学工業株式会社 静電チャック
US5314597A (en) 1992-03-20 1994-05-24 Varian Associates, Inc. Sputtering apparatus with a magnet array having a geometry for a specified target erosion profile
FR2692599B1 (fr) 1992-06-17 1994-09-16 Prod Ind Cfpi Franc Procédé de traitement de substrats à base d'aluminium en vue de leur anodisation, bain mis en Óoeuvre dans ce procédé et concentré pour préparer le bain.
JP2938679B2 (ja) 1992-06-26 1999-08-23 信越化学工業株式会社 セラミックス製静電チャック
US5401319A (en) * 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5630314A (en) * 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US6338906B1 (en) * 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
JP2839801B2 (ja) 1992-09-18 1998-12-16 三菱マテリアル株式会社 ウェーハの製造方法
US5350479A (en) 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5684669A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5542559A (en) 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
JPH06326175A (ja) 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
CH690805A5 (de) 1993-05-04 2001-01-15 Unaxis Balzers Ag Magnetfeldunterstützte Zerstäubungsanordnung und Vakuumbehandlungsanlage hiermit.
US5403459A (en) * 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
US5407551A (en) 1993-07-13 1995-04-18 The Boc Group, Inc. Planar magnetron sputtering apparatus
DE69413613T2 (de) * 1993-07-16 1999-03-18 Toshiba Kawasaki Kk Metalloxid-Widerstand, Leistungswiderstand und Leistungsschalter
US5487822A (en) 1993-11-24 1996-01-30 Applied Materials, Inc. Integrated sputtering target assembly
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
US6199259B1 (en) 1993-11-24 2001-03-13 Applied Komatsu Technology, Inc. Autoclave bonding of sputtering target assembly
EP0737133B1 (de) 1993-12-27 1998-07-29 Hoechst Aktiengesellschaft Thermisches auftragsverfahren für hydrophile schichten auf hydrophoben substraten und verwendung so beschichteter substrate als trägerkörper für offsetdruckplatten
US5463526A (en) 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
WO1995020838A1 (en) 1994-01-31 1995-08-03 Applied Materials, Inc. Electrostatic chuck with conformal insulator film
US5474649A (en) * 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5512078A (en) 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
JP2720420B2 (ja) * 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
JP3020017B2 (ja) 1994-11-07 2000-03-15 大同メタル工業株式会社 湿式摩擦部材
DE4446919A1 (de) 1994-12-28 1996-07-04 Dynamit Nobel Ag Verfahren zur Herstellung von innenverzahnten Teilen
JP2689931B2 (ja) 1994-12-29 1997-12-10 日本電気株式会社 スパッタ方法
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
JP3744964B2 (ja) 1995-04-06 2006-02-15 株式会社アルバック 成膜装置用構成部品及びその製造方法
US6073830A (en) 1995-04-21 2000-06-13 Praxair S.T. Technology, Inc. Sputter target/backing plate assembly and method of making same
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5695825A (en) 1995-05-31 1997-12-09 Amorphous Technologies International Titanium-containing ferrous hard-facing material source and method for hard facing a substrate
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5614071A (en) 1995-06-28 1997-03-25 Hmt Technology Corporation Sputtering shield
US6221217B1 (en) 1995-07-10 2001-04-24 Cvc, Inc. Physical vapor deposition system having reduced thickness backing plate
US5876573A (en) 1995-07-10 1999-03-02 Cvc, Inc. High magnetic flux cathode apparatus and method for high productivity physical-vapor deposition
KR100227924B1 (ko) 1995-07-28 1999-11-01 가이데 히사오 반도체 웨이퍼 제조방법, 그 방법에 사용되는 연삭방법 및 이에 사용되는 장치
JP3457477B2 (ja) 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
JPH09270401A (ja) 1996-01-31 1997-10-14 Shin Etsu Handotai Co Ltd 半導体ウェーハの研磨方法
US5879524A (en) 1996-02-29 1999-03-09 Sony Corporation Composite backing plate for a sputtering target
JP3620554B2 (ja) 1996-03-25 2005-02-16 信越半導体株式会社 半導体ウェーハ製造方法
US5720818A (en) 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
EP0803900A3 (en) * 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5948288A (en) 1996-05-28 1999-09-07 Komag, Incorporated Laser disk texturing apparatus
US5824197A (en) 1996-06-05 1998-10-20 Applied Materials, Inc. Shield for a physical vapor deposition chamber
US5812362A (en) 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US6120621A (en) * 1996-07-08 2000-09-19 Alcan International Limited Cast aluminum alloy for can stock and process for producing the alloy
US5736021A (en) 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5914018A (en) * 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US6143432A (en) 1998-01-09 2000-11-07 L. Pierre deRochemont Ceramic composites with improved interfacial properties and methods to make such composites
US5916454A (en) * 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US5942041A (en) 1996-09-16 1999-08-24 Mosel-Vitelic, Inc. Non-sticking semi-conductor wafer clamp and method of making same
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
US5830327A (en) 1996-10-02 1998-11-03 Intevac, Inc. Methods and apparatus for sputtering with rotating magnet sputter sources
US5930661A (en) 1996-10-15 1999-07-27 Vanguard International Semiconductor Corporation Substrate clamp design for minimizing substrate to clamp sticking during thermal processing of thermally flowable layers
US5685959A (en) 1996-10-25 1997-11-11 Hmt Technology Corporation Cathode assembly having rotating magnetic-field shunt and method of making magnetic recording media
SG54602A1 (en) 1996-11-26 1998-11-16 Applied Materials Inc Coated deposition chamber equipment
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5939146A (en) * 1996-12-11 1999-08-17 The Regents Of The University Of California Method for thermal spraying of nanocrystalline coatings and materials for the same
US6152071A (en) * 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US5821166A (en) 1996-12-12 1998-10-13 Komatsu Electronic Metals Co., Ltd. Method of manufacturing semiconductor wafers
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JP4422801B2 (ja) 1996-12-21 2010-02-24 ジングルス・テヒノロギース・アクチェンゲゼルシャフト カソードスパッタリング装置
WO1998031845A1 (en) 1997-01-16 1998-07-23 Bottomfield, Layne, F. Vapor deposition components and corresponding methods
US5963778A (en) 1997-02-13 1999-10-05 Tosoh Smd, Inc. Method for producing near net shape planar sputtering targets and an intermediate therefor
US5808270A (en) * 1997-02-14 1998-09-15 Ford Global Technologies, Inc. Plasma transferred wire arc thermal spray apparatus and method
US5844318A (en) * 1997-02-18 1998-12-01 Micron Technology, Inc. Aluminum film for semiconductive devices
US5916378A (en) * 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
DE19719133C2 (de) * 1997-05-07 1999-09-02 Heraeus Quarzglas Glocke aus Quarzglas und Verfahren für ihre Herstellung
KR100246858B1 (ko) 1997-05-07 2000-03-15 윤종용 건식 식각 장치
US6051114A (en) * 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6051122A (en) 1997-08-21 2000-04-18 Applied Materials, Inc. Deposition shield assembly for a semiconductor wafer processing system
US6162297A (en) 1997-09-05 2000-12-19 Applied Materials, Inc. Embossed semiconductor fabrication parts
US6010583A (en) 1997-09-09 2000-01-04 Sony Corporation Method of making unreacted metal/aluminum sputter target
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5920764A (en) 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US5953827A (en) * 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6306498B1 (en) * 1997-12-22 2001-10-23 Asahi Kasei Kabushiki Kaisha Fibers for electric flocking and electrically flocked article
US6340415B1 (en) 1998-01-05 2002-01-22 Applied Materials, Inc. Method and apparatus for enhancing a sputtering target's lifetime
US6579431B1 (en) 1998-01-14 2003-06-17 Tosoh Smd, Inc. Diffusion bonding of high purity metals and metal alloys to aluminum backing plates using nickel or nickel alloy interlayers
KR100265289B1 (ko) 1998-01-26 2000-09-15 윤종용 플라즈마식각장치의 캐소우드 제조방법 및 이에 따라 제조되는 캐소우드
JP3271658B2 (ja) 1998-03-23 2002-04-02 信越半導体株式会社 半導体シリコン単結晶ウェーハのラップ又は研磨方法
JP3483494B2 (ja) 1998-03-31 2004-01-06 キヤノン株式会社 真空処理装置および真空処理方法、並びに該方法によって作成される電子写真感光体
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
USH2087H1 (en) * 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US6323055B1 (en) * 1998-05-27 2001-11-27 The Alta Group, Inc. Tantalum sputtering target and method of manufacture
US6086735A (en) 1998-06-01 2000-07-11 Praxair S.T. Technology, Inc. Contoured sputtering target
DE19830817B4 (de) 1998-07-09 2011-06-09 Leifeld Metal Spinning Gmbh Verfahren zum Umformen eines Werkstücks durch Drückwalzen
US6280584B1 (en) 1998-07-29 2001-08-28 Applied Materials, Inc. Compliant bond structure for joining ceramic to metal
US6183686B1 (en) 1998-08-04 2001-02-06 Tosoh Smd, Inc. Sputter target assembly having a metal-matrix-composite backing plate and methods of making same
US6071389A (en) 1998-08-21 2000-06-06 Tosoh Smd, Inc. Diffusion bonded sputter target assembly and method of making
JP4213790B2 (ja) 1998-08-26 2009-01-21 コバレントマテリアル株式会社 耐プラズマ部材およびそれを用いたプラズマ処理装置
US6749103B1 (en) 1998-09-11 2004-06-15 Tosoh Smd, Inc. Low temperature sputter target bonding method and target assemblies produced thereby
KR100292410B1 (ko) 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
JP2000124092A (ja) 1998-10-16 2000-04-28 Shin Etsu Handotai Co Ltd 水素イオン注入剥離法によってsoiウエーハを製造する方法およびこの方法で製造されたsoiウエーハ
SE512978C2 (sv) * 1998-10-26 2000-06-12 G S G As Bearbetning av niob-och tantalinnehållande material
WO2000028104A1 (en) 1998-11-06 2000-05-18 Scivac Sputtering apparatus and process for high rate coatings
JP3919409B2 (ja) 1998-11-30 2007-05-23 川崎マイクロエレクトロニクス株式会社 プラズマ処理装置および半導体製造装置のフォーカスリング
US6447853B1 (en) 1998-11-30 2002-09-10 Kawasaki Microelectronics, Inc. Method and apparatus for processing semiconductor substrates
JP3865349B2 (ja) 1998-12-21 2007-01-10 アプライド マテリアルズ インコーポレイテッド イオン注入装置のウェハ支持台
US6276997B1 (en) 1998-12-23 2001-08-21 Shinhwa Li Use of chemical mechanical polishing and/or poly-vinyl-acetate scrubbing to restore quality of used semiconductor wafers
JP3164559B2 (ja) 1998-12-28 2001-05-08 太平洋セメント株式会社 処理容器用部材
JP4141560B2 (ja) 1998-12-28 2008-08-27 日本メクトロン株式会社 回路基板のプラズマ処理装置
US6159299A (en) 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6183614B1 (en) 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
KR100343136B1 (ko) 1999-03-18 2002-07-05 윤종용 이중 연마저지층을 이용한 화학기계적 연마방법
EP1049133A3 (en) 1999-04-30 2001-05-16 Applied Materials, Inc. Enhancing adhesion of deposits on exposed surfaces in process chamber
US6500321B1 (en) 1999-05-26 2002-12-31 Novellus Systems, Inc. Control of erosion profile and process characteristics in magnetron sputtering by geometrical shaping of the sputtering target
US6146509A (en) 1999-06-11 2000-11-14 Scivac Inverted field circular magnetron sputtering device
US6352620B2 (en) 1999-06-28 2002-03-05 Applied Materials, Inc. Staged aluminum deposition process for filling vias
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6235163B1 (en) 1999-07-09 2001-05-22 Applied Materials, Inc. Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance
US6162336A (en) 1999-07-12 2000-12-19 Chartered Semiconductor Manufacturing Ltd. Clamping ring design to reduce wafer sticking problem in metal deposition
KR100613919B1 (ko) 1999-07-26 2006-08-18 동경 엘렉트론 주식회사 기판세정구, 기판세정장치 및 기판세정방법
KR100315088B1 (ko) 1999-09-29 2001-11-24 윤종용 포커스 링을 갖는 반도체 웨이퍼 제조 장치
US6423175B1 (en) 1999-10-06 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for reducing particle contamination in an etcher
US6190516B1 (en) 1999-10-06 2001-02-20 Praxair S.T. Technology, Inc. High magnetic flux sputter targets with varied magnetic permeability in selected regions
US6149784A (en) 1999-10-22 2000-11-21 Applied Materials, Inc. Sputtering chamber shield promoting reliable plasma ignition
US6299740B1 (en) 2000-01-19 2001-10-09 Veeco Instrument, Inc. Sputtering assembly and target therefor
US6780794B2 (en) 2000-01-20 2004-08-24 Honeywell International Inc. Methods of bonding physical vapor deposition target materials to backing plate materials
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6227435B1 (en) 2000-02-02 2001-05-08 Ford Global Technologies, Inc. Method to provide a smooth paintable surface after aluminum joining
JP2002181050A (ja) * 2000-03-16 2002-06-26 Nsk Ltd 転がり摺動部材とその製造方法及び転がり摺動ユニット
US6394023B1 (en) * 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
US6623595B1 (en) 2000-03-27 2003-09-23 Applied Materials, Inc. Wavy and roughened dome in plasma processing reactor
US6416634B1 (en) 2000-04-05 2002-07-09 Applied Materials, Inc. Method and apparatus for reducing target arcing during sputter deposition
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6287437B1 (en) 2000-05-05 2001-09-11 Alcatel Recessed bonding of target for RF diode sputtering
US6619537B1 (en) 2000-06-12 2003-09-16 Tosoh Smd, Inc. Diffusion bonding of copper sputtering targets to backing plates using nickel alloy interlayers
US6627050B2 (en) 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US6506289B2 (en) 2000-08-07 2003-01-14 Symmorphix, Inc. Planar optical devices and methods for their manufacture
US6810887B2 (en) * 2000-08-11 2004-11-02 Chemtrace Corporation Method for cleaning semiconductor fabrication equipment parts
WO2002014571A2 (en) 2000-08-17 2002-02-21 Tosoh Smd, Inc. High purity sputter targets with target end-of-life indication and method of manufacture
US6383459B1 (en) * 2000-08-31 2002-05-07 Osram Sylvania Inc. Method for purifying a tantalum compound using a fluoride compound and sulfuric acid
JP3666375B2 (ja) 2000-09-05 2005-06-29 日本軽金属株式会社 表面処理アルミニウム材及びその製造方法
JP3682575B2 (ja) 2000-09-05 2005-08-10 日本軽金属株式会社 塗膜硬度、塗膜密着性及び耐衝撃性に優れた表面処理アルミニウム材
EP1322444A4 (en) 2000-09-11 2008-01-23 Tosoh Smd Inc METHOD FOR MANUFACTURING CATHODIC SPUTTER TARGETS WITH INTERNAL COOLING CHANNELS
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
WO2002040733A1 (fr) 2000-11-17 2002-05-23 Nikko Materials Company, Limited Cible de pulverisation produisant peu de particules, plaque support ou appareil de pulverisation, et procede de pulverisation produisant peu de particules
US6887356B2 (en) 2000-11-27 2005-05-03 Cabot Corporation Hollow cathode target and methods of making same
JP2004514066A (ja) 2000-11-27 2004-05-13 ユナキス・トレーディング・アクチェンゲゼルシャフト 厚さがならい削りされた、rfマグネトロン用ターゲット
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
EP1349682B1 (en) 2000-12-18 2008-10-08 Tosoh Smd, Inc. Low temperature sputter target/backing plate joining technique and assemblies made thereby
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
JP2002220661A (ja) 2001-01-29 2002-08-09 Sharp Corp スパッタリング装置に用いられるバッキングプレートおよびスパッタリング方法
US6576909B2 (en) 2001-02-28 2003-06-10 International Business Machines Corp. Ion generation chamber
EP1381708B1 (en) 2001-04-24 2010-05-05 Tosoh Smd, Inc. Method of optimizing target profile
US6638366B2 (en) 2001-05-15 2003-10-28 Northrop Grumman Corporation Automated spray cleaning apparatus for semiconductor wafers
US6599405B2 (en) 2001-05-30 2003-07-29 Praxair S.T. Technology, Inc. Recessed sputter target
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US6974640B2 (en) 2001-07-09 2005-12-13 The University Of Connecticut Duplex coatings and bulk materials, and methods of manufacture thereof
US6620736B2 (en) 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6652716B2 (en) 2001-10-19 2003-11-25 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for self-aligning a cover ring in a sputter chamber
US6645357B2 (en) 2001-11-05 2003-11-11 Applied Materials, Inc. Mesh shield in a sputter reactor
US6454870B1 (en) * 2001-11-26 2002-09-24 General Electric Co. Chemical removal of a chromium oxide coating from an article
US6656535B2 (en) * 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6899798B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
KR100446623B1 (ko) 2002-01-30 2004-09-04 삼성에스디아이 주식회사 전계 방출 표시장치 및 그 제조방법
US6743340B2 (en) 2002-02-05 2004-06-01 Applied Materials, Inc. Sputtering of aligned magnetic materials and magnetic dipole ring used therefor
KR20030071926A (ko) 2002-03-02 2003-09-13 엘지.필립스 엘시디 주식회사 스퍼터링 타겟 어셈블리 및 이를 이용한 스퍼터링 장비
US6623610B1 (en) 2002-03-02 2003-09-23 Shinzo Onishi Magnetron sputtering target for magnetic materials
US6730174B2 (en) 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US20030170486A1 (en) * 2002-03-08 2003-09-11 David Austin Copper clad aluminum strips and a process for making copper clad aluminum strips
US6933508B2 (en) * 2002-03-13 2005-08-23 Applied Materials, Inc. Method of surface texturizing
US6812471B2 (en) * 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US7026009B2 (en) * 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
WO2003083918A1 (fr) 2002-04-03 2003-10-09 Toho Engineering Kabushiki Kaisha Tampon a polir et procede de fabrication de substrat a semi-conducteurs utilisant ce tampon a polir
US7041200B2 (en) * 2002-04-19 2006-05-09 Applied Materials, Inc. Reducing particle generation during sputter deposition
US6676812B2 (en) 2002-05-09 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment mark shielding ring without arcing defect and method for using
TWI269815B (en) 2002-05-20 2007-01-01 Tosoh Smd Inc Replaceable target sidewall insert with texturing
US6708870B2 (en) 2002-05-24 2004-03-23 Praxair S.T. Technology, Inc. Method for forming sputter target assemblies
US6565984B1 (en) * 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
WO2003101762A1 (en) * 2002-05-28 2003-12-11 Advanced Technology Materials, Inc. Process for cleaning and repassivating semiconductor equipment parts
US6652668B1 (en) 2002-05-31 2003-11-25 Praxair S.T. Technology, Inc. High-purity ferromagnetic sputter targets and method of manufacture
US6955748B2 (en) 2002-07-16 2005-10-18 Honeywell International Inc. PVD target constructions comprising projections
FR2842648B1 (fr) 2002-07-18 2005-01-14 Commissariat Energie Atomique Procede de transfert d'une couche mince electriquement active
CN1565045A (zh) 2002-07-26 2005-01-12 应用材料公司 旋转-清洗-干燥器用的亲水部件
EP1556526B1 (en) 2002-10-21 2009-03-11 Cabot Corporation Method of forming a sputtering target assembly and assembly made therefrom
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US20050028838A1 (en) 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US20060105182A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP2007523993A (ja) 2003-06-20 2007-08-23 キャボット コーポレイション スパッタターゲットをバッキングプレートに結合させるための方法及び設計
US6992261B2 (en) 2003-07-15 2006-01-31 Cabot Corporation Sputtering target assemblies using resistance welding
US7425093B2 (en) 2003-07-16 2008-09-16 Cabot Corporation Thermography test method and apparatus for bonding evaluation in sputtering targets
US20050048876A1 (en) 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US20050061857A1 (en) 2003-09-24 2005-03-24 Hunt Thomas J. Method for bonding a sputter target to a backing plate and the assembly thereof
US7431195B2 (en) 2003-09-26 2008-10-07 Praxair S.T. Technology, Inc. Method for centering a sputter target onto a backing plate and the assembly thereof
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20050098427A1 (en) 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
CN1910304A (zh) 2004-02-03 2007-02-07 霍尼韦尔国际公司 物理气相沉积靶构造
US7264679B2 (en) 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
US20050178653A1 (en) 2004-02-17 2005-08-18 Charles Fisher Method for elimination of sputtering into the backing plate of a target/backing plate assembly
US7504008B2 (en) 2004-03-12 2009-03-17 Applied Materials, Inc. Refurbishment of sputtering targets
US7018515B2 (en) 2004-03-24 2006-03-28 Applied Materials, Inc. Selectable dual position magnetron
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7618769B2 (en) 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060188742A1 (en) 2005-01-18 2006-08-24 Applied Materials, Inc. Chamber component having grooved surface
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
EP1659193A1 (de) 2004-11-19 2006-05-24 Applied Films GmbH & Co. KG Gekühlte Rückenplatte für ein Sputtertarget und Sputtertarget bestehend aus mehreren Rückenplatten
US7579067B2 (en) 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US7644745B2 (en) 2005-06-06 2010-01-12 Applied Materials, Inc. Bonding of target tiles to backing plate with patterned bonding agent
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US8647484B2 (en) 2005-11-25 2014-02-11 Applied Materials, Inc. Target for sputtering chamber
JP2007247061A (ja) 2006-03-14 2007-09-27 Applied Materials Inc スパッタリング前のスパッタリングターゲットの前調整
US20070283884A1 (en) 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20080257263A1 (en) 2007-04-23 2008-10-23 Applied Materials, Inc. Cooling shield for substrate processing chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180111582A (ko) * 2017-03-30 2018-10-11 스미또모 가가꾸 가부시키가이샤 사용이 끝난 타깃재를 세정하는 방법, 타깃재의 제조 방법, 리사이클 주괴의 제조 방법 및 리사이클 주괴

Also Published As

Publication number Publication date
TW200514865A (en) 2005-05-01
TWI336354B (en) 2011-01-21
US7910218B2 (en) 2011-03-22
CN1609259A (zh) 2005-04-27
US20050089699A1 (en) 2005-04-28

Similar Documents

Publication Publication Date Title
KR20050039605A (ko) 금속 코팅을 구비하는 챔버 컴포넌트의 세정 및 재생 방법
KR101079217B1 (ko) 코팅된 프로세스 챔버 부품의 세정 방법
EP1815038B1 (en) Process chamber component with layered coating and method
US7993470B2 (en) Fabricating and cleaning chamber components having textured surfaces
KR100951338B1 (ko) 코팅된 공정 챔버 구성부품을 제조하는 방법
TWI291196B (en) A method of refurbishment of a coated chamber component
US20060105182A1 (en) Erosion resistant textured chamber surface
KR101105996B1 (ko) 챔버 부품 세척 방법
JP4440541B2 (ja) プラズマ処理装置の再生方法、プラズマ処理装置およびプラズマ処理容器の内部の部材の再生方法
JP2004285471A (ja) アーク噴霧コーティングアプリケーション及び機能を容易にするハードウェア・フィーチャーの設計
JP2007332462A (ja) プラズマ処理装置の再生方法,プラズマ処理容器内部材,プラズマ処理容器内部材の製造方法及びプラズマ処理装置
CN113897572A (zh) 一种靶材组件及靶材组件制作方法
JP2003049260A (ja) 成膜装置用部材およびその製造方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid