KR20040049872A - 반도체 소자 및 이의 제조 방법 - Google Patents

반도체 소자 및 이의 제조 방법 Download PDF

Info

Publication number
KR20040049872A
KR20040049872A KR1020020076826A KR20020076826A KR20040049872A KR 20040049872 A KR20040049872 A KR 20040049872A KR 1020020076826 A KR1020020076826 A KR 1020020076826A KR 20020076826 A KR20020076826 A KR 20020076826A KR 20040049872 A KR20040049872 A KR 20040049872A
Authority
KR
South Korea
Prior art keywords
interlayer insulating
metal wiring
insulating film
film
lower metal
Prior art date
Application number
KR1020020076826A
Other languages
English (en)
Other versions
KR100478497B1 (ko
Inventor
고관주
Original Assignee
아남반도체 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아남반도체 주식회사 filed Critical 아남반도체 주식회사
Priority to KR10-2002-0076826A priority Critical patent/KR100478497B1/ko
Priority to US10/721,659 priority patent/US6887766B2/en
Publication of KR20040049872A publication Critical patent/KR20040049872A/ko
Application granted granted Critical
Publication of KR100478497B1 publication Critical patent/KR100478497B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

층간 절연막이 낮은 캐패시턴스를 갖는 반도체 소자 및 이의 제조 방법을 제공하기 위하여, 본 발명은, 개별 소자가 형성된 반도체 기판 상부의 하부 절연막 위에 제1 층간 절연막을 형성하는 단계와; 제1 층간 절연막 위에 질화막 및 산화막을 형성하는 단계와; 에어갭을 형성하기 위한 마스크 패턴을 산화막 위에 형성하는 단계와; 노출된 산화막을 식각하여 산화막 패턴을 형성한 후 마스크 패턴을 제거하는 단계와; 산화막 패턴에 질화막을 증착한 후, 이 질화막 및 산화막 하부의 질화막을 식각하여 산화막 패턴에 측벽을 형성함과 아울러 질화막 패턴을 형성하는 단계와; 등방성 식각을 실시하여 상기 산화막 패턴을 제거함과 아울러, 제1 층간 절연막에 개기공을 형성하는 단계와; 제1 층간 절연막 위에 남아있는 질화막 패턴 및 측벽을 제거한 후, 제2 층간 절연막을 형성하여 상기 상부 및 하부 금속 배선층의 사이 공간에 에어갭을 형성하는 단계;를 포함하는 반도체 소자의 제조 방법을 제공한다.

Description

반도체 소자 및 이의 제조 방법{SEMICONDUCTOR DEVICE AND METHOD FOR THE SAME}
본 발명은 다층 금속 배선 구조를 갖는 반도체 소자에 관한 것으로서, 더욱 상세하게는 층간 절연막이 낮은 캐패시턴스를 갖는 반도체 소자 및 이의 제조 방법에 관한 것이다.
반도체 소자가 점차 고집적화, 다층화됨에 따라 중요한 기술의 하나로 다층 배선 기술이 등장하게 되었는데, 이와 같은 다층 배선 기술은 금속 배선층과 절연막층을 회로 소자가 형성된 반도체 기판 상부에 교대로 형성하며, 절연막에 의해 분리된 금속 배선층 사이를 비아를 통해 전기적으로 접속함으로써 회로 동작이 이루어지도록 하는 것이다.
이러한 다층 금속 배선 구조에서 반도체 소자의 고집적화 추세에 따라 금속 배선 사이의 간격이 좁아지면서, 동일층에서 서로 인접한 금속 배선과 배선 사이, 또는 하부 금속 배선층과 상부 금속 배선층 사이에 존재하는 기생 저항 및 기생 캐패시턴스가 가장 중요한 문제로 대두되고 있다.
이러한 기생 저항 및 기생 캐패시턴스는 알씨(RC : resistance capacitance)에 의해 유도되는 지연(delay)에 의하여 소자의 전기적 특성을 열화시키고, 소자의 고속화를 방해하며 더 나아가 반도체 소자의 전력 소모량을 증가시키고 신호 누설량 또한 증가시킨다.
따라서, 기생 캐패시턴스를 줄이기 위해 낮은 유전상수(K)를 갖는 물질, 예를 들면 기존의 티이오에스(TEOS : tetra ethyl ortho silicate) 계열의 산화물에서 SiC 계열 등, 낮은 유전상수 물질에 대한 연구가 활발히 진행되고 있다. 그러나, 이러한 새로운 저 유전상수 물질을 사용할 경우 추가적인 장비를 도입해야 하고 새로운 물질에 대한 각 단위 공정의 공정 변수 최적화를 수행하여야 하므로 공정 비용이 상승하는 문제점이 있다.
이에 따라 기존의 TEOS 계열의 산화물을 그대로 사용하면서도 기생 캐패시턴스를 줄이기 위한 방법이 연구되고 있는바, 그 연구 결과로는, 동일층에서 인접하는 금속 배선과 배선 사이의 층간 절연막 내에 에어갭(air gap)을 형성하여 전체적인 캐패시턴스를 낮추는, 이른바 인트라레벨(intralevel)에서의 에어갭 형성 방법이 있다.
도 1은 인트라레벨에 에어갭이 형성된 상태를 도시한 종래 기술에 따른 다층 금속배선 구조의 단면도이다.
도시된 바와 같이, 반도체 기판의 구조물 상에는 하부 금속 배선층(102)이 형성되어 있고, 하부 금속 배선층(102)의 상측으로는 층간 절연막(104)을 개재하여 상부 금속 배선층(106)이 형성되어 있으며, 상부 및 하부 금속 배선층(106,102)은 비아(108)에 의해 전기적으로 연결되어 있다. 그리고, 하부 금속 배선층(102)의 사이 공간으로 층간 절연막(104)에는 에어갭(110)이 형성되어 있다.
그러나, 종래에는 도시한 바와 같이 하부 금속 배선층(102) 사이의 인트라레벨에만 에어갭(110)이 형성되어 있을 뿐, 인터레벨(interlevel), 즉 하부 금속 배선층과 상부 금속 배선층 사이에 에어갭을 형성하는 것이 불가능하였기 때문에 전체적인 캐패시턴스를 낮추는데 한계가 있었다.
따라서, 하부 금속 배선층과 상부 금속 배선층 사이에 에어갭을 형성한다면 전체적인 캐패시턴스를 대폭 낮출 수 있을 것으로 기대되므로, 이러한 인터레벨에서의 에어갭 형성이 절실히 요청되고 있는 실정이다.
본 발명은 이와 같은 종래 기술의 문제점을 해결하기 위해 제안된 것으로, 그 목적은 상부 및 하부 금속 배선층 사이를 절연하는 층간 절연막의 캐패시턴스를 낮추어 절연 특성을 향상시킨 반도체 소자 및 이 소자의 제조 방법을 제공하는 것이다.
도 1은 인트라레벨에 에어갭이 형성된 상태를 도시한 종래 기술에 따른 다층 금속 배선 구조의 단면도이고,
도 2는 인터레벨에 에어갭이 형성된 상태를 도시한 본 발명에 따른 다층 금속 배선 구조의 단면도이며,
도 3a 내지 3i는 도 2의 에어갭을 형성하는 방법을 도시한 공정도이다.
상술한 목적을 달성하기 위하여 본 발명은,
다층 금속 배선 구조를 갖는 반도체 소자에 있어서,
하부 금속 배선층과 상부 금속 배선층 사이에 구비되는 제1 및 제2 층간 절연막과;
상기 상부 및 하부 금속 배선층 사이의 인터레벨에서 제 1 층간 절연막에 구비되는 에어갭과;
상기 상부 및 하부 금속 배선층을 연결하는 비아;
를 포함하는 반도체 소자를 제공한다.
상기한 반도체 소자를 제조하기 위한 방법으로 본 발명은,
하부 금속 배선층을 포함하는 개별 소자가 형성된 반도체 기판 상부의 하부 절연막 위에 제1 층간 절연막을 형성하는 단계와;
제1 층간 절연막 위에 질화막 및 산화막을 순차적으로 형성하는 단계와;
에어갭을 형성하기 위한 마스크 패턴을 산화막 위에 형성하는 단계와;
마스크 패턴에 의해 노출된 산화막을 식각하여 산화막 패턴을 형성한 후 마스크 패턴을 제거하는 단계와;
산화막 패턴에 질화막을 증착한 후, 이 질화막을 식각하여 산화막 패턴에 측벽을 형성하는 단계와;
등방성 식각을 실시하여 상기 산화막 패턴을 제거함과 아울러, 제1 층간 절연막에 개기공을 형성하는 단계와;
제1 층간 절연막 위에 남아있는 질화막 및 측벽을 제거한 후, 제2 층간 절연막을 형성하여 상기 상부 및 하부 금속 배선층의 사이 공간인 인터레벨의 제1층간 절연막에 폐기공으로 이루어진 에어갭을 형성하는 단계와;
제2 층간 절연막 및 제1 층간 절연막을 선택적으로 제거하여 하부 금속 배선을 노출시키는 비아홀을 형성하고, 상기 비아홀의 내부를 금속 물질로 충진한 다음, 상부 금속 배선층을 형성하는 단계;
를 포함한다.
이하 본 발명의 일 실시예에 따른 반도체 소자 및 이의 제조 방법에 대해 첨부된 도면을 참조하여 상세히 설명한다.
도 2는 인터레벨에 에어갭이 형성된 상태를 도시한 본 발명에 따른 다층 금속 배선 구조의 단면도이며, 도 3a 내지 3i는 도 2의 에어갭을 형성하는 방법을 도시한 공정도이다.
도시한 바와 같이, 하부 금속 배선층(12)을 포함하는 개별 소자가 형성된 반도체 기판 상부의 하부 절연막(14) 위에는 제1 및 제2 층간 절연막으로 이루어진 층간 절연막(16)이 형성되어 있고, 층간 절연막(16)에는 상부 금속 배선층(18)과 상기 하부 금속 배선층(12)을 연결하는 비아(20)가 형성되어 있다.
이러한 구성의 반도체 소자는 위에서 설명한 바와 같이 상부 및 하부 금속 배선층(18,12)의 사이 공간인 인터레벨의 층간 절연막(18) 내에는 도시한 바와 같이 에어 갭(22)이 형성되어 있으므로, 하부 금속 배선층의 사이 공간인 인트라레벨의 층간 절연막 내부에 에어 갭이 형성된 종래의 반도체 소자에 비해 전체적인 캐패시턴스의 저하가 가능하다.
상기한 구성의 반도체 소자를 제조하는 방법은 다음과 같다.
먼저, 도 3a에 도시한 바와 같이, 반도체 기판의 구조물, 즉 개별 소자가 형성된 반도체 기판 상부에서 하부 금속 배선층(12)을 포함하여 하부 절연막(14) 위에 제1 층간 절연막(16a)을 형성한다.
제1 층간 절연막(16a)으로는 통상적으로 사용하는 TEOS 계열 물질을 증착 형성한 산화막을 사용할 수 있다.
이어서, 도 3b에 도시한 바와 같이 제1 층간 절연막(16a) 위에 질화막(24) 및 산화막(26)을 순차적으로 형성하고, 도 3c에 도시한 바와 같이 산화막(26) 위에 감광막을 도포, 노광 및 현상하여 에어갭을 형성하고자 하는 위치에 해당하는 부분의 산화막(26)을 노출시키는 마스크 패턴(28)을 형성한다.
이 때 에어갭을 형성하고자 하는 위치로서 비아(20)가 형성되는 금속 배선층의 상부는 피하도록 하며, 바람직하게는 하부 금속 배선층(12) 사이의 상부가 되도록 한다.
다음, 도 3d에 도시한 바와 같이, 마스크 패턴(28)을 마스크로 하여 노출된 산화막(26)을 식각하여 산화막 패턴(26')을 형성한 후, 마스크 패턴(28)을 제거하고 세정공정을 수행한다.
다음, 도 3e에 도시한 바와 같이, 산화막 패턴(26')에 질화막(30)을 증착하고, 도 3f에 도시한 바와 같이 산화막 패턴(26') 상부의 질화막(30) 및 산화막 패턴(26') 하부의 노출된 질화막(24)을 식각하여 산화막 패턴(26')에 측벽(30')을 형성함과 아울러 질화막 패턴(24')을 형성한다.
계속하여, 도 3g에 도시한 바와 같이 제1 층간 절연막(16a)을 건식 등방성 식각을 이용하여 식각한다. 상기한 등방성 식각에 의하면 제1 층간 절연막(16a)이 원형에 가까운 모양으로 식각되어 제1 층간 절연막(16a)에 열린 형태의 개기공(22')이 형성된다. 이 때 등방성 식각에 의해 형성된 개기공(16)의 크기, 열린 정도 등 구체적인 모양은 식각 시간 등으로 조절할 수 있다.
또한, 상기 제1 층간 절연막(16a)의 식각시에 산화막 패턴(26')도 제거된다.
다음, 도 3h에 도시된 바와 같이, 제1 층간 절연막(16a) 위에 남아있는 질화막 패턴(24') 및 측벽(30')을 제거하고, 도 3i에 도시한 바와 같이 제1 층간 절연막(16a) 위에 동일 물질인 TEOS 산화막을 연속적으로 증착하여 제2 층간 절연막(16b)을 형성한 후, 화학 기계적 연마 공정을 실시하여 상면을 평탄화한다.
이때, 상기 제2 층간 절연막(16b)은 개기공(22')의 개구부가 먼저 막히도록 제1 층간 절연막(16a)에 증착되는바, 이로 인해 상기 제1 층간 절연막(16a)에는 폐기공(22)이 형성된다.
따라서, 제1 층간 절연막(16a) 내에 형성된 폐기공(22)이 절연체의 유전상수 측면에서 보면 에어갭의 역할을 수행한다.
다음으로는 제2 층간 절연막(16b) 및 제1 층간 절연막(16a)을 선택적으로 식각하여 하부 금속 배선층(12)을 노출시키는 비아홀을 형성하고, 비아홀의 내부를 금속물질로 충진하여 비아(20)를 형성한 다음, 상부 금속 배선층(18)을 형성함으로써 도 2에 도시한 다층 금속 배선 구조의 형성을 완료한다.
상기에서는 본 발명의 바람직한 실시예에 대하여 설명하였지만, 본 발명은이에 한정되는 것이 아니고 특허청구범위와 발명의 상세한 설명 및 첨부한 도면의 범위 안에서 여러 가지로 변형하여 실시하는 것이 가능하고 이 또한 본 발명의 범위에 속하는 것은 당연하다.
상술한 바와 같이 본 발명에서는 하부 금속 배선층과 상부 금속 배선층 사이의 인터레버에 에어갭을 형성하기 때문에 종래 동일층의 금속 배선 간에 에어갭을 형성하였던 경우에 비해 에어갭의 크기를 훨씬 크게 할 수 있다. 따라서 캐패시턴스 저하폭을 크게 할 수 있어서 층간 절연막의 절연 특성을 향상시키는 효과가 있다.
또한, 기존에 사용하던 층간 절연막 물질을 그대로 사용하면서도 기생 캐패시턴스 값을 대폭 낮출 수 있기 때문에 저렴한 공정 비용으로 고속 소자를 구현하는 효과가 있다.

Claims (4)

  1. 다층 금속 배선 구조를 갖는 반도체 소자에 있어서,
    하부 금속 배선층과 상부 금속 배선층 사이에 구비되는 제1 및 제2 층간 절연막과;
    상기 상부 및 하부 금속 배선층 사이의 인터레벨에서 제1 층간 절연막에 구비되는 에어갭과;
    상기 상부 및 하부 금속 배선층을 연결하는 비아;
    를 포함하는 반도체 소자.
  2. 제 1항에 있어서, 상기 제1 및 제2 층간 절연막은 TEOS 계열 물질로 이루어지는 것을 특징으로 하는 반도체 소자.
  3. 하부 금속 배선층을 포함하는 개별 소자가 형성된 반도체 기판 상부의 하부 절연막 위에 제1 층간 절연막을 형성하는 단계와;
    제1 층간 절연막 위에 질화막 및 산화막을 순차적으로 형성하는 단계와;
    에어갭을 형성하기 위한 마스크 패턴을 산화막 위에 형성하는 단계와;
    마스크 패턴에 의해 노출된 산화막을 식각하여 산화막 패턴을 형성한 후 마스크 패턴을 제거하는 단계와;
    산화막 패턴에 질화막을 증착한 후, 이 질화막 및 산화막 하부의 질화막을식각하여 산화막 패턴에 측벽을 형성함과 아울러 질화막 패턴을 형성하는 단계와;
    등방성 식각을 실시하여 상기 산화막 패턴을 제거함과 아울러, 제1 층간 절연막에 개기공을 형성하는 단계와;
    제1 층간 절연막 위에 남아있는 질화막 패턴 및 측벽을 제거한 후, 제2 층간 절연막을 형성하여 상기 상부 및 하부 금속 배선층의 사이 공간인 인터레벨의 제1층간 절연막에 폐기공으로 이루어진 에어갭을 형성하는 단계와;
    제2 층간 절연막 및 제1 층간 절연막을 선택적으로 제거하여 하부 금속 배선을 노출시키는 비아홀을 형성하고, 상기 비아홀의 내부를 금속 물질로 충진한 다음, 상부 금속 배선층을 형성하는 단계;
    를 포함하는 반도체 소자의 제조 방법.
  4. 제 3항에 있어서, 상기 제1 및 제2 층간 절연막은 TEOS 계열 물질을 증착하여 형성하는 것을 특징으로 하는 반도체 소자의 제조 방법.
KR10-2002-0076826A 2002-12-05 2002-12-05 반도체 소자의 제조 방법 KR100478497B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR10-2002-0076826A KR100478497B1 (ko) 2002-12-05 2002-12-05 반도체 소자의 제조 방법
US10/721,659 US6887766B2 (en) 2002-12-05 2003-11-25 Semiconductor device and method of fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0076826A KR100478497B1 (ko) 2002-12-05 2002-12-05 반도체 소자의 제조 방법

Publications (2)

Publication Number Publication Date
KR20040049872A true KR20040049872A (ko) 2004-06-14
KR100478497B1 KR100478497B1 (ko) 2005-03-29

Family

ID=32906481

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0076826A KR100478497B1 (ko) 2002-12-05 2002-12-05 반도체 소자의 제조 방법

Country Status (2)

Country Link
US (1) US6887766B2 (ko)
KR (1) KR100478497B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9214374B2 (en) 2011-05-17 2015-12-15 Samsung Electronics Co., Ltd. Semiconductor devices including stress relief structures

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4106048B2 (ja) * 2004-10-25 2008-06-25 松下電器産業株式会社 半導体装置の製造方法及び半導体装置
JP2008010551A (ja) * 2006-06-28 2008-01-17 Toshiba Corp 半導体装置およびその製造方法
US7648921B2 (en) * 2006-09-22 2010-01-19 Macronix International Co., Ltd. Method of forming dielectric layer
KR101880155B1 (ko) * 2011-12-22 2018-07-19 에스케이하이닉스 주식회사 적층 반도체 패키지
US9472448B2 (en) * 2014-03-14 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plug without seam hole and methods of forming the same
US20230052604A1 (en) * 2021-08-06 2023-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation Structure for Metal Pattern

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4735681A (en) * 1986-08-15 1988-04-05 Motorola Inc. Fabrication method for sub-micron trench
JP2838992B2 (ja) * 1995-11-10 1998-12-16 日本電気株式会社 半導体装置の製造方法
JP2773729B2 (ja) * 1996-02-29 1998-07-09 日本電気株式会社 半導体装置の製造方法
US6376330B1 (en) 1996-06-05 2002-04-23 Advanced Micro Devices, Inc. Dielectric having an air gap formed between closely spaced interconnect lines
US5792706A (en) * 1996-06-05 1998-08-11 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to reduce permitivity
JPH10233449A (ja) * 1997-02-20 1998-09-02 Nec Corp 半導体装置の製造方法
JP3085231B2 (ja) * 1997-02-20 2000-09-04 日本電気株式会社 半導体装置の製造方法
US6268276B1 (en) 1998-12-21 2001-07-31 Chartered Semiconductor Manufacturing Ltd. Area array air gap structure for intermetal dielectric application
US6130151A (en) 1999-05-07 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
TW439147B (en) * 1999-12-20 2001-06-07 United Microelectronics Corp Manufacturing method to form air gap using hardmask to improve isolation effect
JP2001217310A (ja) * 2000-02-02 2001-08-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2001351971A (ja) * 2000-06-08 2001-12-21 Seiko Epson Corp 半導体装置及びその製造方法
KR20020010807A (ko) * 2000-07-31 2002-02-06 박종섭 반도체소자의 층간절연막 형성 방법
US6423630B1 (en) 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6358845B1 (en) 2001-03-16 2002-03-19 Taiwan Semiconductor Manufacturing Company Method for forming inter metal dielectric
US6403461B1 (en) 2001-07-25 2002-06-11 Chartered Semiconductor Manufacturing Ltd. Method to reduce capacitance between metal lines

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9214374B2 (en) 2011-05-17 2015-12-15 Samsung Electronics Co., Ltd. Semiconductor devices including stress relief structures

Also Published As

Publication number Publication date
KR100478497B1 (ko) 2005-03-29
US6887766B2 (en) 2005-05-03
US20040169282A1 (en) 2004-09-02

Similar Documents

Publication Publication Date Title
US9786733B2 (en) Moisture barrier capacitors in semiconductor components
US20080232025A1 (en) Mim capacitor and method of making same
KR100478497B1 (ko) 반도체 소자의 제조 방법
US7060193B2 (en) Method to form both high and low-k materials over the same dielectric region, and their application in mixed mode circuits
KR100495857B1 (ko) 반도체 소자의 다층 금속 배선 형성 방법
KR100579893B1 (ko) 반도체 소자 및 이의 제조 방법
US6323099B1 (en) High k interconnect de-coupling capacitor with damascene process
JP5303139B2 (ja) 半導体装置及びその製造方法
CN1103496C (zh) 形成相邻于信号线的屏蔽线的方法
KR100529612B1 (ko) 반도체 소자의 제조 방법
KR100529613B1 (ko) 반도체 소자 및 이의 제조 방법
KR100485173B1 (ko) 반도체 소자 및 그 제조 방법
KR20030083174A (ko) 반도체 소자의 에어갭 형성방법
KR100485157B1 (ko) 반도체 소자의 다층 금속배선 및 그 형성 방법
KR100508538B1 (ko) 반도체 금속 라인 제조 공정에서의 에어 갭 형성 방법
KR20050065744A (ko) 반도체 소자의 금속 배선 구조 및 그 제조 방법
JP2001339048A (ja) 半導体装置およびその製造方法
KR20090044669A (ko) 반도체소자의 층간 절연 방법
KR100720518B1 (ko) 반도체 소자 및 그 제조방법
KR100734144B1 (ko) Mim 커패시터 형성 방법
KR100508534B1 (ko) 반도체 금속 라인 제조 공정에서의 에어 갭 형성 방법
KR20040069849A (ko) 반도체 소자 및 그 제조 방법
KR20010035659A (ko) 반도체장치의 캐패시턴스 감소방법
KR100691961B1 (ko) 반도체 소자 및 그 제조 방법
KR100545190B1 (ko) 반도체 소자의 층간 절연막 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120221

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee