KR20040031695A - 상호 접속 구조체, 및 로우-k 유전체와 금속 도체 상호접속 구조체를 제조하는 방법 - Google Patents
상호 접속 구조체, 및 로우-k 유전체와 금속 도체 상호접속 구조체를 제조하는 방법 Download PDFInfo
- Publication number
- KR20040031695A KR20040031695A KR10-2003-7010670A KR20037010670A KR20040031695A KR 20040031695 A KR20040031695 A KR 20040031695A KR 20037010670 A KR20037010670 A KR 20037010670A KR 20040031695 A KR20040031695 A KR 20040031695A
- Authority
- KR
- South Korea
- Prior art keywords
- dielectric
- interconnect structure
- layer
- low
- way
- Prior art date
Links
- 239000003989 dielectric material Substances 0.000 title claims description 51
- 238000000034 method Methods 0.000 claims abstract description 87
- 229910052751 metal Inorganic materials 0.000 claims abstract description 42
- 239000002184 metal Substances 0.000 claims abstract description 42
- 239000004020 conductor Substances 0.000 claims abstract description 23
- 230000004888 barrier function Effects 0.000 claims description 32
- 239000000463 material Substances 0.000 claims description 22
- 238000004519 manufacturing process Methods 0.000 claims description 14
- 239000000758 substrate Substances 0.000 claims description 13
- 238000005530 etching Methods 0.000 claims description 12
- 229910052760 oxygen Inorganic materials 0.000 claims description 12
- 239000011148 porous material Substances 0.000 claims description 12
- 238000001020 plasma etching Methods 0.000 claims description 11
- 229910052739 hydrogen Inorganic materials 0.000 claims description 10
- 239000002318 adhesion promoter Substances 0.000 claims description 8
- 238000010304 firing Methods 0.000 claims description 8
- 238000000059 patterning Methods 0.000 claims description 8
- 239000000126 substance Substances 0.000 claims description 8
- 229910052802 copper Inorganic materials 0.000 claims description 7
- 238000005498 polishing Methods 0.000 claims description 7
- 238000005229 chemical vapour deposition Methods 0.000 claims description 6
- 238000000151 deposition Methods 0.000 claims description 6
- 150000001282 organosilanes Chemical class 0.000 claims description 6
- 238000000576 coating method Methods 0.000 claims description 5
- 238000005137 deposition process Methods 0.000 claims description 5
- 238000001312 dry etching Methods 0.000 claims description 5
- 229910052710 silicon Inorganic materials 0.000 claims description 5
- 229920001187 thermosetting polymer Polymers 0.000 claims description 5
- 238000001459 lithography Methods 0.000 claims description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 4
- 229920005989 resin Polymers 0.000 claims description 4
- 239000011347 resin Substances 0.000 claims description 4
- 239000004065 semiconductor Substances 0.000 claims description 4
- 229910052721 tungsten Inorganic materials 0.000 claims description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 3
- 238000000224 chemical solution deposition Methods 0.000 claims description 3
- 239000011248 coating agent Substances 0.000 claims description 3
- 229910052731 fluorine Inorganic materials 0.000 claims description 3
- 239000011737 fluorine Substances 0.000 claims description 3
- 238000007747 plating Methods 0.000 claims description 3
- 239000002952 polymeric resin Substances 0.000 claims description 3
- 238000004544 sputter deposition Methods 0.000 claims description 3
- 229910004166 TaN Inorganic materials 0.000 claims description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 2
- 239000000956 alloy Substances 0.000 claims description 2
- 229910045601 alloy Inorganic materials 0.000 claims description 2
- 229910052782 aluminium Inorganic materials 0.000 claims description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 2
- 229910052804 chromium Inorganic materials 0.000 claims description 2
- 238000010884 ion-beam technique Methods 0.000 claims description 2
- 229910052758 niobium Inorganic materials 0.000 claims description 2
- 239000001301 oxygen Substances 0.000 claims description 2
- 229910052709 silver Inorganic materials 0.000 claims description 2
- 239000002904 solvent Substances 0.000 claims description 2
- 238000004528 spin coating Methods 0.000 claims description 2
- 229910052715 tantalum Inorganic materials 0.000 claims description 2
- 229910052718 tin Inorganic materials 0.000 claims description 2
- 229910052719 titanium Inorganic materials 0.000 claims description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims 4
- 239000004634 thermosetting polymer Substances 0.000 claims 2
- 239000007789 gas Substances 0.000 claims 1
- 239000004033 plastic Substances 0.000 claims 1
- 230000009977 dual effect Effects 0.000 abstract description 6
- 229910010272 inorganic material Inorganic materials 0.000 abstract description 2
- 239000011147 inorganic material Substances 0.000 abstract description 2
- 239000011368 organic material Substances 0.000 abstract description 2
- 239000010949 copper Substances 0.000 description 10
- 229920002120 photoresistant polymer Polymers 0.000 description 7
- 230000008021 deposition Effects 0.000 description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- 238000001816 cooling Methods 0.000 description 4
- 238000009792 diffusion process Methods 0.000 description 4
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 4
- 239000012528 membrane Substances 0.000 description 3
- 150000002739 metals Chemical class 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 229910018182 Al—Cu Inorganic materials 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- -1 but not limited to Polymers 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000004090 dissolution Methods 0.000 description 1
- 238000004952 furnace firing Methods 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 102000004169 proteins and genes Human genes 0.000 description 1
- 108090000623 proteins and genes Proteins 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 229920003002 synthetic resin Polymers 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76835—Combinations of two or more different dielectric layers having a low dielectric constant
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76811—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5222—Capacitive arrangements or effects of, or between wiring layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
마이크로 트렌치가 존재하지 않는 로우-k 유전체 금속 도체 상호 접속 구조체 및 이 구조체를 형성하는 방법이 제공된다. 제 1 원자 조합을 가진 다공성 로우-k 유전체의 라인과 비아 유전체층(54', 58') 사이에 위치된 제 2 원자 조합을 가진 매립된 에칭 차단층(56)을 사용해서, 도체 저항에 대한 제어가 획득된다. 개선된 상호 접속 구조체는 또한 이중 대머신 타입의 상호 접속 구조체를 형성하는 것을 돕는 하드 마스크(60)를 포함한다. 제 1 및 제 2 조합은 적어도 10:1 이상의 에칭 선택도를 획득하도록 선택되고, 특정 원자 조합과 다른 발견가능한 양을 가진 다공성 로우-k 유기 또는 무기 물질의 특정 그룹으로부터 선택된다.
Description
약 3.5이하의 유전 상수와, 이중 대머신 타입의 Cu 상호 접속 구조체를 가진 많은 로우-k 유전체가 공지되어 있다. 예컨대, R. D. Goldblatt, et al., "A High Performance 0.13㎛ Copper BEOL Technology with Low-K Dielectric", Proceedings of the International Interconnect Technology Conference, IEEE Electron Devices Society, Jun. 5-7, 2000, pgs 261-263을 참조한다. 종래의 상호 접속 구조체의 제조시에, 금속 도체가 되는(금속 충진 및 화학 기계적인 폴리싱(CMP) 이후에) 트렌치의 깊이는 종종 제어가 어려워서, 이 트렌치는 마이크로 트렌치라고 알려진 형상이 된다. 마이크로 트렌치를 포함한 종래의 상호 접속 구조체의 도식적인 표현이 도 1에 도시되어 있다. 상세하게는 도 1은 기판(10), 로우-k 유전체(12) 및 확산 장벽 라이너(16)를 구비한 금속 충진된 도체 영역(14)을 포함한다. 도면 우측의 금속 충진된 도체 영역은 마이크로-트렌치(18)를 포함한다.
트렌치를 에칭하는데 시간 제한된 반응성 이온 에칭(RIE)이 사용되어서, 트렌치의 깊이를 시간적으로 제어한다. 트렌치의 에칭율 및 형상은 모두 웨이퍼의 폭(피쳐 크기)에 따라 변해서, 전형적으로 트렌치 깊이의 변화를 크게 하고, 금속 도체 저항을 크게 변화시킨다. 이러한 에칭율 및 피쳐 형상은 경시적으로(매일) 변화할 수 있다.
트렌치 바닥부의 거친 형상은 신뢰성 문제를 유발하고, 이는 확산 장벽 라이너가 트렌치의 거친 표면에 증착될 때, 약한(얇은) 곳이 있기 때문이다.
상기 마이크로-트렌칭 문제의 통상의 해법은 추가 공정 단계를 포함하고 있고, 이는 소망의 로우-k 유전체와 Cu 상호 접속 구조체를 제조하는 전체 생산 비용을 상승시킨다.
구리, Cu를 함유한 상호 접속 구조체 및 로우-k 물질을 제조하는 것은 현재 스핀-온 코팅 장비 및 더 고가인 플라즈마 증속 화학 기상 증착(PECVD) 장비를 필요로 한다. 혼합된 장비 세트를 사용하는 것을 기재 비용 및 유지비를 증가시키고, 순수 제조 시간을 증가시킨다.
종래의 기술이 가진 문제점의 견지에서, 마이크로-트렌치가 형성되지 않게, 로우-k 유전체와 금속 상호 접속 구조체를 제조하는 새롭고, 개선된 방법을 제공할 필요가 있다.
본 발명은 고속 마이크로 프로세서, 응용 주문형 집적 회로(ASIC) 및 기타 고속 집적 회로(IC)용 상호 접속 구조체에 관한 것이다. 본 발명은 향상된 회로 속도와 정확한 도체 저항값을 가지며, 제조 비용이 절감되고, 낮은 유전 상수(예컨대, 로우-k)의 상호 접속 구조체를 제공한다. 본 발명의 구조체는 종래의 기술의 구조체에 비해서, 더 낮은 유효 유전 상수와 금속 라인 저항에 대한 개선된 제어를 가지며, 제조 비용이 더 감소된다.
도 1은 거친 표면의 바닥부가 형성된 마이크로 트렌치를 가진 종래의 상호 접속 구조체를 도시한 도면,
도 2-8은 본 발명의 다양한 처리 단계를 거치는 개선된 구조체의 단면도.
본 발명의 일 목적은, 금속 도체 저항에 대한 정확하고 통일된 제어가 가능한 로우-k 유전체와 이중 대머신 타입의 금속 상호 접속 구조체를 제공하는 것이다.
본 발명의 다른 목적은 추가 공정 비용없이 금속 도체에 대한 정확한 제어를 제공해서 신뢰도를 개선하는 것이다.
본 발명의 또 다른 목적은 금속 도체가 실질적으로 평탄한 바닥부를 가지는, 즉 마이크로-트렌치가 제공되지 않는 상호 접속 구조체를 제공하는 것이다.
본 발명의 또 다른 목적은 스핀 코팅된 유전체층의 멀티층에 기초한 로우-k 유전체와 금속 상호 접속 구조체(금속 도체 저항에 대해서 정확하고, 일정하게 제어하는)를 제공해서, 진공 기반 증착 장비를 사용하는 비용을 피하는 것이다.
본 발명의 또 다른 목적은 약 3.5이하의 k를 가진 다공성 유전체를 사용하는 것이다.
본 발명의 이들 목적 및 장점은, 하나의 스핀 도포 장비내에서 실질적으로 도포되고, 한번의 단계로 경화된 유전 물질의 멀티층을 제공하고, 상기 스핀 온된 유전체의 멀티층내에 복수의 패터닝된 금속 도체를 적어도 포함하는 상호 접속 구조체를 제공함으로써 달성된다. 도체 저항에 대한 제어는 라인 사이에 위치된 제 2 원자 조합을 가진 매립된 에칭 차단층을 사용하고, 제 1 원자 조합을 가진 다공성 로우-k 유전체의 유전체 층을 통해서 획득된다. 개선된 상호 접속 구조체는 또한 듀얼 대머신 타입의 상호 접속 구조를 형성하는 것을 돕는 하드 마스크를 포함한다. 제 1 및 제 2 조성은 적어도 10에서부터 1이상의 에칭 선택도를 획득하도록 선택되고, 특정 원자 조합 및 다른 발견가능한(discoverable) 양을 갖는 다공성 로우-k 유기 또는 무기 물질의 특정 그룹으로부터 선택된다.
상세하게는, 개선된 듀얼 대머신 구조체는
패터닝된 유전체의 멀티층이 그 위에 형성되어 있되, 상기 패터닝된 유전체의 멀티층은 매립된 에칭 차단층에 의해 서로 분리되어 있는 제 1 및 제 2 다공성 로우-k 유전체를 포함하고 있으며, 상기 제 1 및 제 2 다공성 로우-k 유전체는 제 1 조합을 가지는 기판과,
상기 제 2 다공성 로우-k 유전체상의 상기 패터닝된 유전체의 멀티층상에 형성된 연마 차단층과,
상기 패터닝된 유전체의 멀티층내에 형성된 금속 도체
를 포함한다.
본 발명의 일 실시예에서, 제 1 및 제 2 다공성 로우-k 유전체는 유기 유전체이고, 매립된 에칭 차단층은 무기 로우-k 유전 물질이다. 본 발명의 이 실시예에서, 무기 매립된 에칭 차단층은 다공성 또는 비 다공성이 될 수 있고, 다공성 무기 매립된 에칭 차단층이 더 선호된다.
본 발명의 다른 실시예에서, 제 1 및 제 2 다공성 로우-k 유전체는 로우-k 무기 유전체 또는 메틸실세스퀴옥산(MSQ)와 같은 무기/유기 이종 유전체이고, 상기 매립된 에칭 차단 층은 유기 로우-k 유전체이다. 본 발명의 이 실시예에서, 유기 매립된 에칭 차단층은 다공성 또는 비-다공성이되, 비 다공성 물질이 더 선호된다.
개선된 구조체는 종래의 상호 접속 구조체에 비해서 다음과 같은 이점을 제공한다.
(i) 금속 도체 두께 및 저항에 때한 정확하고, 통일된 제어,
(ii) 트렌치가 약한 곳이 없는 균일한 두께를 갖는 확산 장벽 라이너를 포함하는 개선된 신뢰도,
(iii) 생산비 증가 없이, 진공 기반 증착 장비의 사용이 감소되어 고도로 제어되는 금속 도체 저항이 획득됨.
본 발명의 다른 국면은, 상술한 로우-k 유전체 및 금속 도체 상호 접속 구조체를 제조하는 방법에 관한 것으로, 상기 방법은
(a) 기판의 표면에, 매립된 에칭 차단층에 의해 서로 분리되어 있는 제 1 및 제 2 다공성 로우-k 유전체 - 상기 제 1 및 제 2 다공성 로우-k 유전체는 제 1 조합을 가지고 있고, 상기 매립된 에칭 차단층은 상기 제 1 조합과는 다른 제 2 조합을 가지고 있음 - 를 포함하는 스핀 온된 유전체의 멀티층을 형성하는 단계와,
(b) 상기 스핀 온된 유전체의 멀티층상에 하드 마스크 - 상기 하드 마스크는 적어도 연마 차단층 및 상기 연마 차단층의 상부에 패터닝된 층을 포함함 - 를 형성하는 단계와,
(c) 스핀 온된 유전체의 멀티층의 표면을 노출시키기 위해 상기 하드 마스크내에 개구부를 형성하는 단계와,
(d) 상기 하드 마스크를 에칭 마스크로 사용해서 상기 스핀 온된 유전체의 멀티층의 상기 노출된 표면에 트렌치 레벨과 비아(via) 레벨을 형성하는 단계와,
(e) 적어도 도전성 금속으로 상기 트렌치 레벨과 비아 레벨을 충진하는 단계와,
(f) 상기 스핀 온된 유전체의 멀티층상에 형성된 상기 연마 차단층상까지 상기 도전성 금속을 평탄화하는 단계
를 포함한다.
본 발명의 일실시예에서, 스핀 온된 유전체의 멀티층은 단계(b)를 수행하기 전에 경화된다. 다른 실시예에서, 상기 하드 마스크는 또한 상기 단계(b) 이후에 경화하는, 스핀 온된 유전체를 포함한다.
스핀 온 유전체의 멀티층으로 이루어진 로우-k 상호 접속 구조체 및 그 제조방법을 제공하는 본 발명이 첨부된 도면을 참조로 더 상세하게 설명될 것이다. 도면에 있어서, 동일한 및/또는 대응하는 구성 요소에는 동일한 참조 번호가 부여된다.
우선, 도 2를 참조하고, 이 도 2는 개선된 상호 접속 구조체를 제조할 때, 본 발명에서 사용되는 최초 구조를 도시하고 있다. 상세하게는, 도 2에 도시된 구조체는 그 위에 스핀 온된 유전체(52)의 멀티층을 구비한 기판(50)을 포함한다. 도시된 바와 같이 개선된 스핀 온된 유전체의 멀티층은 제 1 로우-k 유전체(54), 매립된 에칭 차단층(56) 및 제 2 로우-k 유전체(58)를 포함한다. 본 발명에 사용되는 스핀 온된 유전체의 멀티층은 약 1.1 내지 약 3.5의 유효 유전 상수를 가지고 있으며, 1.4 내지 3.0의 유효 유전 상수가 더 바람직하다. 본 발명에 따라서, 제 1 및 제 2 로우-k 유전체는 다공성 유기 또는 무기(무기/유기 이종을 포함하는) 유전체이다. 제 2 로우-k 유전체는 금속 라인이 형성될 영역이고, 반면에 제 1 로우-k 유전체는 금속 비아가 형성될 영역이다.
도 2에 도시된 바와 같이, 매립된 에칭 차단층은 제 1 및 제 2 다공성 로우-k 유전체 사이에 위치된다. 더욱이, 본 발명에 사용되는 제 1 및 제 2 다공성 로우-k 유전체는 제 1 조합을 가지고 있어서, 서로 유사하고, 매립된 에칭 차단층은 상기 제 1 조합과는 상이한 제 2 조합을 가지고 있다. 여기서 사용되는 용어 "로우-k"는 3.5이하의 유전 상수를 가지는 유전 물질을 나타내며, 약 1.4 내지 3.0이 더 바람직하다. 스핀 온된 유전체 층의 멀티층을 만드는 각각의 층의 정확한 컨텐츠가 이하 설명될 것이다.
본 발명에서 사용되는 기판은 상호 접속 구조체에 제공되는 임의의 종래의 물질을 포함할 수 있다. 예컨대, 기판(50)은 유전체(레벨 사이의 또는 레벨 내의), 배선 레벨, 접착 촉진제, 반도체 웨이퍼 또는 그 혼합물이 될 수 있다. 반도체 웨이퍼가 기판으로 사용되는 경우에, 웨이퍼는 다양한 회로 및/또는 이 회로로 구성된 디바이스를 포함할 수 있다.
스핀 온된 유전체의 멀티층의 각 층은, 공지된 종래의 스핀 온 코팅 공정 단계를 사용해서 형성되고, 후속해서 임의의 잔존하는 용매를 제거하고, 다음 층을 도포하는 동안 막이 용해되지 않게 하기에 충분한 조건을 사용해서 수행되는 핫 플레이트 소성 공정이 스핀 온된 유전체 층에 대해서 이루어진다. 전형적으로, 핫 플레이트 소성은 약 10 내지 약 600 초 동안, 약 90°에서 약 500℃의 온도에서 수행된다. 더 바람직하게는, 핫 플레이트 소성은 약 60 내지 약 300 초 동안 약 250°내지 약 400℃의 온도에서 수행된다.
본 발명의 일 실시예에서, 제 1 및 제 2 로우-k 유전체는 유기 유전체로서, C, O 및 H를 포함한다. 본 발명에서 사용되는 유기 로우-k 유전체의 예는, 예컨대 Dow Chemical Company under the tradename SiLK, Honeywell under the tradename Flare에 의해 판매되는 수지 및 다른 공급 업체에 의해 판매되는 유사한 수지 및 다른 유기 유전체와 같은, 방향족 열경화성 중합 수지를 포함하지만, 이에 한정되는 것은 아니다. 본 발명에서 사용되는 유기 유전체는 약 1 내지 50nm의 크기의 공극을 약 5 내지 약 35%의 부피% 공극률로 갖는다.
제 1 및 제 2 로우-k 유전체가 유기 유전체로 이루어지는 경우에, 매립된 에칭 차단층은 스핀 온된 무기 유전체 또는 무기/유기 이종(즉, Si-함유 유전체)으로 이루어진다. 전형적으로 본 발명에서, 무기 유전 매립된 에칭 차단층은 Si, O 및 H(C는 선택적으로 제공됨)를 포함하고, 약 1.1 내지 5.5의 유전 상수를 가지며 바람직하게는 2.0 내지 3.2의 유전 상수를 가진다. 매립된 에칭 차단층으로 사용될 수 있는 무기 유전체의 예는 실세스퀴옥산 HOSP(Honeywell에서 판매하는 Si 함유 무기 유전체), 테트라에틸오쏘시실란(TEOS), 메틸실세스퀴옥산(MSQ), 하이드리도실세스퀴옥산(HSQ), MSQ-HSQ 공중합체, 유기실란 및 다른 Si 함유 물질이지만 이에 한정되는 것은 아니다. 본 발명의 이 실시예에서, 다공성 또는 비-다공성 무기 유전체가 매립된 에칭 차단층으로 사용될 수 있지만, 다공성 무기 유전층이 더 바람직하다. 무기 매립된 에칭 차단층의 공극의 크기가 본 발명에 한정되는 것은 아니지만, 전형적으로 무기 매립된 에칭 차단층은 5 내지 500Å의 크기의 공극을 약 5 내지 80%의 부피% 공극율로 가지고 있다. 더 상세하게는, 무기 매립된 에칭 차단층은 약 10 내지 200Å 크기의 공극을 약 10 내지 약 50%의 부피% 공극율로 가지고 있다.
본 발명의 다른 실시예에서, 스핀 온된 유전체의 멀티층 중 제 1 및 제 2 다공성 로우-k 층은 다공성 로우-k 무기 유전체이며, 매립된 에칭 차단층은, 다공성이 되거나 또는 다공성이 될 수 없는 유기 유전 물질이다. 본 발명의 제 1 실시예에서 사용되는 유기 및 무기 유전체의 타입과 관련된 상기 설명은 본 실시예에서도 유지된다. 따라서, 추가적인 설명은 필요없다.
본 발명에서 사용되는 실시예에서, 멀티층의 제 1 다공성 로우-k 유전체 층의 두께는 약 500 내지 약 10,000Å이지만, 약 900 내지 3000Å이 바람직하다. 매립된 에칭 차단층에 관한 한, 전형적으로 약 25 내지 약 1500Å의 두께를 가지며, 바람직하게는 약 100 내지 약 300Å의 두께가 바람직하다. 반면에, 멀티층의 제 2 다공성 로우-k 유전체 층의 두께는 약 500 내지 약 10,000Å이며, 바람직하게는 약 1000 내지 약 3000Å이다.
스핀 온된 유전체의 멀티층이 경화될 수 있지만, 하드 마스크가 스핀 온된 유전체층으로 이루어진 경우에는, 스핀 온된 유전체 및 하드 마스크는 한번의 경화 단계로 경화될 수 있다. 후자의 경우, 전체 과정에서 공정 장비 및 단계의 수가 감소되기 때문에 바람직하다. 상술한 경화 조건은 하드 마스크의 형성 이전에 경과가 일어나는 실시예에 적용된다.
도 2에 도시된 구조를 형성한 이후에, 스핀 온된 유전체의 멀티 층의 최상층 표면, 즉 제 2 로우-k 유전체(58)상에 하드 마스크(60)가 형성된다. 본 발명에 따라서, 하드 마스크(60)는 적어도 연마 차단층(62) 및 패터닝층(64)을 포함한다. 도 3에 도시된 바와 같은, 하드 마스크는 종래의 PECVD 공정으로 형성될 수 있으며, 더 바람직하게는 하드 마스크(60)의 각 층은 스핀 코딩에 의해 형성될 수 있다. 전체 공정에서 사용되는 증착 장비의 수를 감소시키고, 따라서 전체 제조 비용을 감소시키기 때문에, 스핀 온된 코팅으로 형성된 층이 바람직하다. 또한, 도면에서는 함스 마스크가 2개의 층이 존재하는 것을 도시하고 있지만, 하드 마스크는 2이상의 층을 포함할 수 있다.
하드 마스크 형성에 사용되는 물질은 그 밑에 직접 놓이는 층에 대한 에칭선택도에 따라서 달라질 수 있다. 예컨대, 본 발명에서 사용되는 패터닝 층은 하부에 놓인 연마 차단층에 대해서 높은 에칭 선택도(약 10:1 또는 그 이상)를 가지는 물질이다. 패터닝 층의 유전 상수는 매우 높으며, 이 층은 본 발명의 단계(f) 동안 제거된다. 또한, 연마 차단층은 하부에 놓인 스핀 온된 유전체의 멀티층에 대해서 높은 에칭 선택도를 가진 물질로서, 스핀 온된 유전체의 멀티층의 유효 유전 상수를 충분히 증가시키지 않는 유전 상수를 가져야 한다.
따라서, 패터닝된 층은 유기 또는 무기 유전체를 포함할 수 있으며, 연마 차단층은 무기 또는 유지 유전체를 포함할 수 있다. 각 층의 정확한 성질은 스핀 온된 유전체의 멀티층의 제 2 로우-k 유전체에 의존하고, 다음으로 연마 차단층에 의존할 것이다. 본 발명의 일 실시예에서, 연마 차단층(62) 및 매립된 에칭 차단층(56)은 동일 물질로 이루어진다.
하드 마스크의 각 층의 두께는 변화할 수 있고, 본 발명에 중요한 것은 아니다. 그러나 전형적으로, 패터닝 층의 두께는 약 100 내지 약 3000Å이고, 연마 차단층의 두께는 약 100 내지 약 1000Å이다.
하드 마스크를 형성한 이후에, 연마 차단 및 패터닝 층은 물론 하부에 높인 스핀 온된 유전체의 멀티층은 단일 경화 단계를 거치고, 이 단계는 공지된 종래의 조건을 사용해서 수행된다. 경화 단계는 핫 플레이트 소성 단계 또는 노(furnance) 열처리 를 포함한다. 본 발명에서, 노 소성을 포함한 경화 단계를 사용하는 것이 바람직하다. 경화 조건은 다양하지만, 전형적으로 핫 플레이트 소성은 약 250°내지 약 500℃의 온도에서 약 30 내지 500초동안 수행되고, 반면에노 소성은 약 200°내지 약 500℃의 온도에서 약 15분 내지 약 3.0시간 동안 수행된다. 하드 마스크가 스핀 온된 유전체로 이루어지지 않았다면, 경화는 하드 마스크 증착 이전에 수행될 수 있다는 것을 강조한다. 또한, 스핀 온된 하드 마스크가 바람직하기 때문에, 도면 및 이하의 설명은 이 실시예로 특정된다. 그러나, 도면 및 이하의 설명은 스핀 온 코팅되지 않은 하드 마스크에도 유효하다.
경화된 층이 도 4에 도시되어 있으며, 52'(스핀 온된 유전체의 경화된 멀티층), 62'(경화된 연마 차단층) 및 64'(경화된 패터닝 층)으로 나타나 있다. 유전체 멀티층 및 선택적으로는 하드 마스크의 경화에 후속해서, 도 3에 도시된 구조체에는 경화된 패터닝 층(64')내에 개구부(66)를 형성하는 제 1 리소그래피 및 에칭 공정이 수행된다. 도 5를 참조한다. 상세하게는, 도 5에 도시된 구조체는 다음과 같이 형성된다. 우선, 패터닝된 층을 패터닝하기 위해 사용되는 포토레지스트(도시 생략)이 공지된 종래의 증착 공정을 사용해서 경화된 패터닝 층상에 형성된다. 포토레지스트는 방사 패턴에 노출되고, 이후에 패턴이 종래의 레지스트 현상기를 사용해서 포토레지스트내에서 현상된다.
레지스트 패턴을 현상한 이후에, 개구부(66)가 하드 마스크내에 형성되어서 하부에 놓인 연마 차단층의 일부를 노출시킨다. 상세하게는, 개구부는 종래의 건식 에칭 공정에 의해 형성되며, 이 건식 에칭에는 반응성 이온 에칭(RIE), 플라즈마 에칭 및 이온 빔 에칭이 있지만 이에 한정되는 것은 아니다. 이들 다양한 건식 에칭 공정 중에서, 플루오르계 화학 물질을 포함하는 RIE를 사용하는 것이 바람직하다. 에칭 단계 후에, 패터닝된 포토레지스트는 공지된 종래의 박피(stripping)공정을 사용해서 구조체로부터 박피된다. 제 1 리소그래피 및 에칭 공정으로부터 획득된 결과로 나온 구조체가 도 5에 도시되어 있다.
구조체로부터 포토리소그래피를 박피한 이후에, 새로운 포토레지스트(도시 생략)가 도 5에 도시된 구조체에 도포된다. 새로운 포토레지스트는 리소그래피되고 에칭되어서 구조체내에 제 2 개구부(68)를 마련하고, 이는 경화된 스핀 온된 유전체(52')의 멀티층의 표면을 노출시킨다. 다양한 건식 에칭 공정 중에서, 플루오르계 화학 물질을 포함하는 RIE를 사용하는 것이 바람직하다. 경화된 스핀 온된 유전체의 멀티층을 노출시키는 제 2 에칭 이후에, 제 2 포토레지스트는 도 6에 도시된 바와 같은 구조체를 마련하는 종래의 박피 공정을 사용해서 구조체로부터 박피된다.
도 7은 하드 마스크에 형성되는 패턴이 스핀 온된 유전체의 멀티층에 전사된 이후의 구조체이다. 특히, 스핀 온된 유전체의 멀티층에 트렌치(70)를 형성하는 패턴 전사가 산소 또는 환원 화학물질을 포함하는 건식 에칭 공정을 사용해서 수행된다. 본 발명에 따라서, 트렌치(70)는 비아 또는 라인, 또는 이들 모두가 될 수 있다.
스핀 온된 유전체의 멀티층으로의 패턴 전사 이후에, 트렌치는 도전성 금속(74)으로 채워져서 평탄화되어서, 도 8에 도시된 구조체를 마련한다. 도전성 금속 충진전에, 선택적이지만 바람직한 라이너 물질(72)이 트렌치에 형성될 수 있다. 용어 "도전성 금속"은 여기서 상호 접속 구조체에 전형적으로 사용되는 알루미늄(Al), 구리(Cu), 텅스텐(W), 은(Ag) 및 다른 금속으로 이루어진 그룹에서 선택된 금속을 나타내기 위해 사용된다. Al-Cu와 같은 이들 도전성 금속의 합금도 고려될 수 있다. 본 발명에서 사용되는 바람직한 금속은 구리이다. 금속은 화학 기상 증착법(CVD), 플라즈마 보조 CVD(plasma-assisted CVD), 도금, 스퍼터링, 화학 용액 증착법(chemical solution deposition) 및 유사 증착 공정 등의 종래의 증착 공정을 사용해서 트렌치내에 형성될 수 있다.
본 발명에 사용되는 선택적인 라이너 물질은 도전성 금속의 유전체로의 확산을 방지하는 임의의 물질을 포함한다. 이러한 라이너의 예로서는, TiN, TaN, Ti, Ta, W, WN, Cr, Nb 및 그 혼합물을 포함하는 유사 물질을 포함하지만 이에 한정되는 것은 아니다. CVD, 플라즈마 보조 CVD, 스퍼터링, 도금 및 화학 용액 증착법 등의 공지된 종래의 증착 공정을 사용해서 라이너 물질이 트렌치 내에 형성될 수 있다.
도전성 금속으로 트렌치를 충진한 이후에, 이 구조체에는 연마 차단층상의 임의의 도전성 금속을 제거하는 화학 기계적인 폴리싱(CMP)과 같은 종래 평탄화 공정이 수행된다. 평탄화 단계는 또한 하드 마스크의 패터닝 층을 제거하지만, 구조체에서 연마 차단층을 제거하지는 않는다. 오히려, 연마 차단층은 구조체의 표면에 남아 있다. 이러한 이유로, 상호 접속 구조체의 유효 유전 상수를 증가시키기 않기 위해서 비교적 낮은 유전 상수를 가진 연마 차단층을 선택하는 것이 필수적이다.
본 발명의 공정 단계 이후에, 추가적인 비아 및 배선 레벨이 본 발명의 공정 단계를 반복함으로써 도 8에 도시된 구조체상에 형성될 수 있다. 따라서 개선된방법은 일 이상의 배선 및 비아 레벨이 마련된 상호 접속 구조체를 준비하기 위해 사용될 수 있다. 하기의 실시예는 본 발명의 방법을 예시해서 및 그 장점을 나타내기 위해 제공된다.
실시예
본 실시예에서, SiLK/HOSP/SiLK/HOSP유전체 스택이 마련되어서 상호 접속 구조체를 형성할 때 사용되었다. 상세하게는, 본 실시예에서, 베어 8 인치 Si 웨이퍼가 기판으로 사용되었다. 웨이퍼는 PGMEA(프로필렌 글리콜 메틸 에테르 아세테이트)내의 유기 실란 접착 촉진제의 2.5wt%용액을 웨이퍼에 도포하고, 약 3000rpm으로 약 30초 동안 회전함으로써 접착 촉진제 처리되었다. 웨이퍼는 핫 플레이트상에 재치되어서 약 100℃에서 약 90초 동안 소성되었다. 이 소성은 웨이퍼 표면에 접착 촉진제가 반응하는 것을 촉진시킨다. 실내 온도로 냉각시킨 후에, 접착 촉진제를 포함한 웨이퍼는 PGMEA에 의해 세정되어서 과도한 접착 촉진제를 제거한다. 웨이퍼는 약 30ml의 PGMEA를 사용해서 세척되고, 이후에 약 30초 동안 3000rpm으로 회전된다.
이 세정 이후에, 웨이퍼는 약 1분 동안 100℃ 핫 플레이트상에서 소성되어서 용액을 건조시켰다. 실내 온도로 냉각한 이후에, 로우-k 유전체(SiLK)의 제 1 층이 도포된다. SiLK용액은 웨이퍼상에 위치되었고, 웨이퍼는 약 3000rpm으로 약 30초 동안 회전되었다. 회전 이후에, 웨이퍼는 100℃의 핫 플레이트에서 1분동안 놓여져서, 부분적으로 용액을 건조시켰다. 그리고나서 400℃의 핫 플레이트로 이동되어서 약 2분 동안 소성되었다. 이 시간 및 온도는 결과로 나온 SiLK막이 용해되지 않게 하기에 충분하다.
냉각후에, 웨이퍼는 스피너로 되돌아온다. 약 3000rpm의 회전 속도에서 약 50nm의 막 두께를 형성하도록 희석된 HOSP 용액이 웨이퍼에 도포되고, 약 30초 동안 3000rpm으로 회전된다. 회전 이후에, 웨이퍼는 100℃에서 약 1분 동안 위치되어서 용액을 부분적으로 건조시킨다. 그리고나서, 400℃의 핫 플레이트로 이동되어서 약 2분 동안 유지되어 막을 부분적으로 크로스링크시킨다. 이 시간 및 온도는 막을 용해되지 않게 하기에 충분하다.
웨이퍼는 냉각되어서 스피너를 되돌아간다. SiLK의 제 2 층이 제 1 층에 도포된다. SiLK가 웨이퍼에 도포되고, 웨이퍼는 약 30초 동안 3000rpm으로 회전된다. 웨이퍼는 100℃의 핫 플레이트에 약 1분 동안 유지되고, 후속해서 400℃에서 약 2분 동안 유지된다.
실내 온도로 냉각한 후에, 웨이퍼는 스피너로 되돌아온다. 2개의 층의 하드 마스크는 다음과 같이 도포되었다. HOSP 층이 도포되었다. 약 3000rpm의 회전 속도에서 약 500Å의 막 두께를 형성하도록 희석된 HOSP 용액이 웨이퍼에 도포되고, 웨이퍼는 약 30초 동안 3000rpm으로 회전되었다. 이후에 웨이퍼는 약 100℃의 핫 플레이트에서 약 1분 동안, 그리고 약 400℃에서 약 2분 동안 소성되었다.
상기 설명된 층을 포함하는 경화된 웨이퍼는 PECVD 리액터에 위치되어서, 약 350℃에서 500Å의 실리콘 질화물 층이 도포되고, 이후에 1200Å의 SiO2층이 약 350℃의 온도에서 증착된다.
이후에 리소그래피 및 에칭 공정이 본 실시예에 대해 설명된 바와 같이 수행된다. 이중 대머신 구조체는 산업 분야에 공지된 표준 공정 방법을 사용해서 완성된다(에칭된 트렌치 및 비아 개구부는 라이너로 충진되고, 이후에 Cu로 충진되며, Cu는 CMP에 의해 평탄화된다)
마지막 CMP 공정 동안, 실리콘 질화물층은 구조체내에 남아있고, 상기 증착된 SiO2층은 제거된다.
비록 본 발명이 그 특정 실시예에 대해서 상세하게 설명되었지만, 본 발명의 사상 및 범주를 벗어남 없이 형태 및 세부 사항에 대한 다른 변화가 있을 수 있다는 것을 당업자는 이해할 것이다. 따라서, 본 발명은 특정 형태 및 세부 사항에 한정되는 것이 아니라 첨부된 청구의 범위내에 들게 된다.
Claims (61)
- 매립된 에칭 차단층에 의해 서로 분리된 제 1 및 제 2 다공성 로우-k 유전체 - 상기 제 1 및 제 2 다공성 로우-k 유전체는 제 1 조합을 가지며, 상기 매립된 에칭 차단층은 상기 제 1 조합과는 다른 제 2 조합을 가짐 - 를 포함하는 유전체의 패터닝된 멀티층이 그 위에 형성된 기판과,상기 제 2 다공성 로우-k 유전체상의 상기 스핀 온된 유전체의 패터닝된 멀티층상에 형성된 연마 차단층과,상기 패터닝된 유전체의 멀티층내에 형성된 금속 도체를 포함하는 상호 접속 구조체.
- 제 1 항에 있어서,상기 제 1 및 제 2 다공성 로우 k-유전체는 유기 유전체이고, 상기 매립된 에칭 차단층은 무기 로우 k-유전체 물질 또는 무기/유기 이종 물질인상호 접속 구조체.
- 제 2 항에 있어서,상기 제 1 및 제 2 다공성 로우 k-유기 유전체는 약 1 내지 약 50nm 크기의공극을 약 5 내지 약 35%의 부피% 공극율로 가지는상호 접속 구조체.
- 제 2 항에 있어서,상기 무기 로우 k-유전체 매립된 에칭 차단층은 다공성인상호 접속 구조체.
- 제 4 항에 있어서,상기 무기 다공성 로우 k-유전체 에칭 차단층은 약 5 내지 약 500Å 크기의 공극을 약 5 내지 약 80%의 부피% 공극율로 가지는상호 접속 구조체.
- 제 2 항에 있어서,상기 제 1 및 제 2 다공성 로우 k-유기 유전체는 C, O 및 H를 포함하는상호 접속 구조체.
- 제 6 항에 있어서,상기 제 1 및 제 2 다공성 로우 k-유기 유전체는 방향성 열경화성 중합체 수지인상호 접속 구조체.
- 제 2 항에 있어서,상기 무기 매립된 에칭 차단층은 Si, O 및 H를 포함하고, 선택적으로 C를 포함하는상호 접속 구조체.
- 제 8 항에 있어서,상기 무기 매립된 에칭 차단층은 HOSP, MSQ, TEOS, HSQ, MSQ-HSQ 공중합체, 유기 실란 또는 임의의 다른 Si 함유 물질을 포함하는상호 접속 구조체.
- 제 1 항에 있어서,상기 제 1 및 제 2 다공성 로우-k 유전체는 로우-k 무기 유전체이고, 상기 매립된 에칭 차단층은 유기 로우-k 유전체인상호 접속 구조체.
- 제 10 항에 있어서,상기 제 1 및 제 2 다공성 로우-k 무기 유전체는, 약 5 내지 약 500Å 크기의 공극을 약 5 내지 약 80%의 부피% 공극율로 가지는상호 접속 구조체.
- 제 10 항에 있어서,상기 유기 로우-k 유전체 매립된 에칭 차단층은 다공성인상호 접속 구조체.
- 제 12 항에 있어서,상기 유기 다공성 로우-k 유전체 매립된 에칭 차단층은 약 1 내지 약 50nm 크기의 공극을 약 5 내지 약 35%의 부피% 공극율로 가지는상호 접속 구조체.
- 제 10 항에 있어서,상기 유기 로우-k 유전체 에칭 차단층은 C, O 및 H를 포함하는상호 접속 구조체.
- 제 14 항에 있어서,상기 유기 로우-k 유전체 에칭 차단층은 방향성 열경화성 중합체 수지인상호 접속 구조체.
- 제 10 항에 있어서,상기 제 1 및 제 2 다공성 로우-k 무기 유전체 에칭 차단층은 Si, O 및 H를 포함하되, 선택적으로 C를 포함하는상호 접속 구조체.
- 제 16 항에 있어서,상기 제 1 및 제 2 다공성 로우-k 무기 유전체 에칭 차단층은 HOSP, MSQ, TEOS, HSQ, MSQ-HSQ 공중합체, 유기 실란 또는 임의의 다른 Si 함유 물질을 포함하는상호 접속 구조체.
- 제 1 항에 있어서,상기 제 1 및 제 2 로우-k 유전체는 약 1.1 내지 약 3.5의 유전 상수를 가지는상호 접속 구조체.
- 제 18 항에 있어서,상기 유전 상수는 약 1.4 내지 약 3.0인상호 접속 구조체.
- 제 1 항에 있어서,상기 스핀 온된 유전체의 멀티층은 약 3.5 이하의 유효 유전 상수를 가지는상호 접속 구조체.
- 제 1 항에 있어서,상기 기판은 유전체, 배선 레벨, 접착 촉진층, 반도체 웨이퍼 또는 그 조합인상호 접속 구조체.
- 제 1 항에 있어서,상기 기판은 접착 촉진층이 그 위에 형성된 반도체 웨이퍼인상호 접속 구조체.
- 제 1 항에 있어서,상기 연마 차단층은 상기 매립된 에칭 차단층과 동일한 물질로 이루어지는상호 접속 구조체.
- 제 1 항에 있어서,상기 연마 차단층은 스핀 온된 로우-k 무기 또는 유기 유전체인상호 접속 구조체.
- 제 1 항에 있어서,상기 금속 도체는 Al, Cu, W, Ag 또는 그 합금으로 이루어지는상호 접속 구조체.
- 제 1 항에 있어서,상기 금속 도체는 Cu로 이루어지는상호 접속 구조체.
- 제 1 항에 있어서,상기 금속 도체를 증착하기 전에 상기 패터닝된 스핀 온된 유전체의 멀티층내에 형성된 라이너 물질을 더 포함하는상호 접속 구조체.
- 제 27 항에 있어서,상기 라이너 물질은 TiN, TaN, Ti, Ta, W, WN, Cr, Nb 또는 그 조합으로 이루어지는상호 접속 구조체.
- 제 1 항에 있어서,상기 도체 금속은 도전성 비아, 도전성 라인, 또는 도전성 비아 및 라인인상호 접속 구조체.
- 로우-k 유전체 및 금속 도체 상호 접속 구조체를 제조하는 방법에 있어서,(a) 매립된 에칭 차단층에 의해 서로 분리되어 있는 제 1 및 제 2 다공성 로우-k 유전체 - 상기 제 1 및 제 2 다공성 로우-k 유전체는 제 1 조합을 가지고 있고, 상기 매립된 에칭 차단층은 상기 제 1 조합과는 다른 제 2 조합을 가지고 있음 - 를 포함하는 스핀 온된 유전체의 멀티층을 기판의 표면상에 형성하는 단계와,(b) 상기 스핀 온된 유전체의 멀티층상에 하드 마스크 - 상기 하드 마스크는 적어도 연마 차단층 및 상기 연마 차단층의 상부에 패터닝 층을 포함함 - 를 형성하는 단계와,(c) 스핀 온된 유전체의 멀티층의 표면을 노출시키기 위해 상기 하드 마스크내에 개구부를 형성하는 단계와,(d) 상기 하드 마스크를 에칭 마스크로 사용해서 상기 스핀 온된 유전체의 멀티층의 상기 노출된 표면에 트렌치를 형성하는 단계와,(e) 적어도 도전성 금속으로 상기 트렌치를 충진하는 단계와,(f) 상기 스핀 온된 유전체의 멀티층상에 형성된 상기 연마 차단층상까지 상기 도전성 금속을 평탄화하는 단계를 포함하는 방법.
- 제 30 항에 있어서,상기 스핀 온된 유전체의 멀티층은 스핀 코팅에 의해 상기 멀티층의 각 층을 순서대로 도포하고, 각 스핀 온 코팅 단계 이후에, 상기 스핀 온된 층에서 잔존하는 용매를 제거하고, 스핀 온된 층이 용해되지 않게 하기 위해 핫 플레이트 소성 공정 단계를 수행함으로써 형성되는방법.
- 제 30 항에 있어서,상기 스핀 온된 유전체의 멀티층은 상기 단계 (a)를 수행한 이후에 경화되는방법.
- 제 32 항에 있어서,상기 경화 단계는 약 250° 내지 약 500℃의 온도에서 약 30 내지 약 500초 동안 수행되는 핫 플레이트 소성 경화 단계인방법.
- 제 32 항에 있어서,상기 경화 단계는 약 200° 내지 약 500℃의 온도에서 약 15분 내지 약 3.0시간 동안 수행되는 노(furnance) 경화 단계인방법.
- 제 30 항에 있어서,상기 하드 마스크는 PECVD에 의해서 형성되는방법.
- 제 30 항에 있어서,상기 하드 마스크는 스핀 온 코팅에 의해 형성되는방법.
- 제 30 항에 있어서,상기 하드 마스크는 스핀 온된 유전체를 포함하며, 상기 단계 (b)이후에 경화가 일어나는방법.
- 제 30 항에 있어서,상기 단계 (d)는 두번의 리소그래피 및 에칭 단계를 포함하는방법.
- 제 38 항에 있어서,상기 에칭 단계는 반응성 이온 에칭(RIE), 이온 빔 에칭 및 플라즈마 에칭으로 이루어진 그룹에서 선택되는 건식 에칭 공정을 포함하는방법.
- 제 38 항에 있어서,상기 에칭 단계는 플루오르계 화학물질이 사용되는 RIE을 포함하는방법.
- 제 30 항에 있어서,상기 단계 (d)는 산소 또는 환원 가스계 에칭 공정을 포함하는방법.
- 제 30 항에 있어서,상기 트렌치는 라인, 비아 또는 이들의 조합인방법.
- 제 30 항에 있어서,상기 트렌치 충진은 화학 기상 증착(CVD), 플라즈마 보조 CVD(plasma-assisted CVD), 도금, 스퍼터링 및 화학 용액 증착법(chemical solution deposition)으로 이루어진 그룹으로부터 선택되는 증착 공정을 포함하는방법.
- 제 30 항에 있어서,상기 단계 (e)를 수행하기 전에 라이너 물질이 상기 트렌치상에 형성되는방법.
- 제 30 항에 있어서,상기 단계 (f)는 화학 기계적인 폴리싱을 포함하는방법.
- 제 30 항에 있어서,상기 제 1 및 제 2 다공성 로우-k 유전체는 유기 유전체이고, 상기 매립된 에칭 차단층은 무기 로우-k 유전 물질 또는 무기/유기 이종 물질인방법.
- 제 46 항에 있어서,상기 제 1 및 제 2 다공성 로우-k 유기 유전체는 약 1 내지 약 50nm 크기의 공극을 약 5 내지 약 35%의 부피% 공극율로 가지는방법.
- 제 46 항에 있어서,상기 무기 로우-k 유전체 매립된 에칭 차단층은 다공성인방법.
- 제 48 항에 있어서,상기 무기 다공성 로우-k 유전체 에칭 차단층은 약 5 내지 약 500Å 크기의 공극을 약 5 내지 약 80%의 부피% 공극율로 가지는방법.
- 제 46 항에 있어서,상기 제 1 및 제 2 다공성 로우-k 유기 유전체는 C, O 및 H를 포함하는방법.
- 제 50 항에 있어서,상기 제 1 및 제 2 다공성 로우-k 유기 유전체는 방향성 열경화성 중합체 수지인 방법.
- 제 46 항에 있어서,상기 무기 매립된 에칭 차단층은 Si, O 및 H를 포함하되, 선택적으로 C를 포함하는방법.
- 제 52 항에 있어서,상기 무기 매립된 에칭 차단층은 HOSP, MSQ, TEOS, HSQ, MSQ-HSQ 공중합체, 유기 실란 또는 임의의 다른 Si 함유 물질을 포함하는방법.
- 제 30 항에 있어서,상기 제 1 및 제 2 다공성 로우-k 유전체는 로우-k 무기 유전체이고, 상기 매립된 에칭 차단층은 유기 로우-k 유전체인방법.
- 제 54 항에 있어서,상기 제 1 및 제 2 다공성 로우-k 무기 유전체는 약 5 내지 약 500Å 크기의 공극을 약 5 내지 약 80%의 부피% 공극율로 가지는방법.
- 제 54 항에 있어서,상기 유기 로우-k 유전체 매립된 에칭 차단층은다공성인방법.
- 제 56 항에 있어서,상기 유기 다공성 로우-k 유전체 에칭 차단층은 약 1 내지 약 50nm 크기의 공극을 약 5 내지 약 35%의 부피% 공극율로 가지는방법.
- 제 56 항에 있어서,상기 유기 유전체 에칭 차단층은 C, O 및 H를 포함하는방법.
- 제 58 항에 있어서,상기 유기 유전체 에칭 차단층은 방향성 열경화성 중합체 수지인방법.
- 제 56 항에 있어서,상기 제 1 및 제 2 다공성 로우-k 무기 유전체 층은 Si, O, H를 포함하되, 선택적으로 C를 포함하는방법.
- 제 60 항에 있어서,상기 제 1 및 제 2 다공성 로우-k 무기 유전체 층은 HOSP, MSQ, TEOS, HSQ, MSQ-HSQ 공중합체, 유기 실란 또는 임의의 다른 Si 함유 물질을 포함하는방법.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/795,431 | 2001-02-28 | ||
US09/795,431 US6603204B2 (en) | 2001-02-28 | 2001-02-28 | Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics |
PCT/US2001/045816 WO2002071467A1 (en) | 2001-02-28 | 2001-12-04 | Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20040031695A true KR20040031695A (ko) | 2004-04-13 |
KR100538749B1 KR100538749B1 (ko) | 2005-12-26 |
Family
ID=25165496
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR10-2003-7010670A KR100538749B1 (ko) | 2001-02-28 | 2001-12-04 | 상호 접속 구조체, 및 로우-k 유전체와 금속 도체 상호접속 구조체를 제조하는 방법 |
Country Status (7)
Country | Link |
---|---|
US (3) | US6603204B2 (ko) |
EP (1) | EP1371091A4 (ko) |
JP (1) | JP4166576B2 (ko) |
KR (1) | KR100538749B1 (ko) |
CN (1) | CN1331203C (ko) |
TW (1) | TW544845B (ko) |
WO (1) | WO2002071467A1 (ko) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8900997B2 (en) | 2012-12-26 | 2014-12-02 | Cheil Industries, Inc. | Method for forming a dual damascene structure of a semiconductor device, and a semiconductor device therewith |
US8994178B2 (en) | 2012-03-29 | 2015-03-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and method for forming the same |
Families Citing this family (57)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7425346B2 (en) * | 2001-02-26 | 2008-09-16 | Dielectric Systems, Inc. | Method for making hybrid dielectric film |
DE10112561C2 (de) * | 2001-03-15 | 2003-12-18 | Infineon Technologies Ag | Verfahren zur Erzeugung von auf einem Substrat haftenden porösen organischen Schichten |
US6794293B2 (en) * | 2001-10-05 | 2004-09-21 | Lam Research Corporation | Trench etch process for low-k dielectrics |
TW544855B (en) * | 2001-06-25 | 2003-08-01 | Nec Electronics Corp | Dual damascene circuit with upper wiring and interconnect line positioned in regions formed as two layers including organic polymer layer and low-permittivity layer |
US7011864B2 (en) * | 2001-09-04 | 2006-03-14 | Tokyo Electron Limited | Film forming apparatus and film forming method |
JP2003109956A (ja) * | 2001-09-28 | 2003-04-11 | Toshiba Corp | 半導体装置およびその製造方法 |
US6933586B2 (en) * | 2001-12-13 | 2005-08-23 | International Business Machines Corporation | Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens |
US20030119305A1 (en) * | 2001-12-21 | 2003-06-26 | Huang Robert Y. S. | Mask layer and dual damascene interconnect structure in a semiconductor device |
KR100428791B1 (ko) * | 2002-04-17 | 2004-04-28 | 삼성전자주식회사 | 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법 |
KR100454130B1 (ko) * | 2002-05-28 | 2004-10-26 | 삼성전자주식회사 | 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법 |
US6831003B1 (en) | 2002-05-31 | 2004-12-14 | Advanced Micro Devices, Inc. | Continuous barrier for interconnect structure formed in porous dielectric material with minimized electromigration |
JP3762732B2 (ja) * | 2002-09-27 | 2006-04-05 | 三洋電機株式会社 | 半導体装置の製造方法 |
US6949456B2 (en) * | 2002-10-31 | 2005-09-27 | Asm Japan K.K. | Method for manufacturing semiconductor device having porous structure with air-gaps |
US6717265B1 (en) * | 2002-11-08 | 2004-04-06 | Intel Corporation | Treatment of low-k dielectric material for CMP |
US6917108B2 (en) * | 2002-11-14 | 2005-07-12 | International Business Machines Corporation | Reliable low-k interconnect structure with hybrid dielectric |
US20040191417A1 (en) * | 2003-03-28 | 2004-09-30 | Dorie Yontz | Method of integrating a porous dielectric in an integrated circuit device |
US20040251549A1 (en) * | 2003-06-11 | 2004-12-16 | Tai-Chun Huang | Hybrid copper/low k dielectric interconnect integration method and device |
US7057287B2 (en) * | 2003-08-21 | 2006-06-06 | International Business Machines Corporation | Dual damascene integration of ultra low dielectric constant porous materials |
US6916697B2 (en) * | 2003-10-08 | 2005-07-12 | Lam Research Corporation | Etch back process using nitrous oxide |
JP2005142473A (ja) * | 2003-11-10 | 2005-06-02 | Semiconductor Leading Edge Technologies Inc | 半導体装置の製造方法 |
US6903004B1 (en) * | 2003-12-16 | 2005-06-07 | Freescale Semiconductor, Inc. | Method of making a semiconductor device having a low K dielectric |
US20050140029A1 (en) * | 2003-12-31 | 2005-06-30 | Lih-Ping Li | Heterogeneous low k dielectric |
US7247555B2 (en) * | 2004-01-29 | 2007-07-24 | Chartered Semiconductor Manufacturing Ltd. | Method to control dual damascene trench etch profile and trench depth uniformity |
US7078350B2 (en) * | 2004-03-19 | 2006-07-18 | Lam Research Corporation | Methods for the optimization of substrate etching in a plasma processing system |
US7557035B1 (en) | 2004-04-06 | 2009-07-07 | Advanced Micro Devices, Inc. | Method of forming semiconductor devices by microwave curing of low-k dielectric films |
US7078814B2 (en) * | 2004-05-25 | 2006-07-18 | International Business Machines Corporation | Method of forming a semiconductor device having air gaps and the structure so formed |
US20050263901A1 (en) * | 2004-05-27 | 2005-12-01 | International Business Machines Corporation | Semiconductor device formed by in-situ modification of dielectric layer and related methods |
US6884715B1 (en) | 2004-06-04 | 2005-04-26 | International Business Machines Corporation | Method for forming a self-aligned contact with a silicide or damascene conductor and the structure formed thereby |
US6974772B1 (en) * | 2004-08-19 | 2005-12-13 | Intel Corporation | Integrated low-k hard mask |
US7338575B2 (en) * | 2004-09-10 | 2008-03-04 | Axcelis Technologies, Inc. | Hydrocarbon dielectric heat transfer fluids for microwave plasma generators |
KR100690881B1 (ko) | 2005-02-05 | 2007-03-09 | 삼성전자주식회사 | 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자 |
US20070042609A1 (en) * | 2005-04-28 | 2007-02-22 | Senkevich John J | Molecular caulk: a pore sealant for ultra-low k dielectrics |
US8007675B1 (en) * | 2005-07-11 | 2011-08-30 | National Semiconductor Corporation | System and method for controlling an etch process for a single crystal having a buried layer |
US7289933B2 (en) * | 2005-11-04 | 2007-10-30 | Synopsys, Inc. | Simulating topography of a conductive material in a semiconductor wafer |
JP4788415B2 (ja) * | 2006-03-15 | 2011-10-05 | ソニー株式会社 | 半導体装置の製造方法 |
US8034722B2 (en) * | 2006-04-07 | 2011-10-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming dual damascene semiconductor device |
US7695897B2 (en) * | 2006-05-08 | 2010-04-13 | International Business Machines Corporation | Structures and methods for low-k or ultra low-k interlayer dielectric pattern transfer |
US20070278682A1 (en) * | 2006-05-31 | 2007-12-06 | Chung-Chi Ko | Self-assembled mono-layer liner for cu/porous low-k interconnections |
CN101140421B (zh) * | 2006-09-04 | 2010-06-16 | 中芯国际集成电路制造(上海)有限公司 | 形成光刻胶图案的方法 |
US7466027B2 (en) * | 2006-09-13 | 2008-12-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structures with surfaces roughness improving liner and methods for fabricating the same |
US7723226B2 (en) * | 2007-01-17 | 2010-05-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnects containing bilayer porous low-k dielectrics using different porogen to structure former ratio |
US20080188074A1 (en) * | 2007-02-06 | 2008-08-07 | I-I Chen | Peeling-free porous capping material |
JP5098507B2 (ja) | 2007-08-10 | 2012-12-12 | 東京エレクトロン株式会社 | 半導体装置の製造方法、半導体製造装置及び記憶媒体 |
US7943453B2 (en) | 2007-12-20 | 2011-05-17 | International Business Machines Corporation | CMOS devices with different metals in gate electrodes using spin on low-k material as hard mask |
US7541277B1 (en) | 2008-04-30 | 2009-06-02 | International Business Machines Corporation | Stress relaxation, selective nitride phase removal |
US8053861B2 (en) * | 2009-01-26 | 2011-11-08 | Novellus Systems, Inc. | Diffusion barrier layers |
US9029260B2 (en) | 2011-06-16 | 2015-05-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gap filling method for dual damascene process |
CN102437099A (zh) * | 2011-09-08 | 2012-05-02 | 上海华力微电子有限公司 | 一种降低接触孔电阻的接触孔结构形成方法 |
TWI460864B (zh) * | 2011-11-11 | 2014-11-11 | Au Optronics Corp | 薄膜電晶體及其製造方法 |
CN103107158A (zh) * | 2011-11-11 | 2013-05-15 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其形成方法 |
CN103426749B (zh) * | 2012-05-14 | 2015-11-25 | 中芯国际集成电路制造(上海)有限公司 | 开口的形成方法和堆叠结构 |
CN104347478B (zh) * | 2013-07-24 | 2017-05-17 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US9076845B2 (en) * | 2013-10-03 | 2015-07-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming a high density dielectric etch-stop layer |
US9312168B2 (en) * | 2013-12-16 | 2016-04-12 | Applied Materials, Inc. | Air gap structure integration using a processing system |
JP6853663B2 (ja) * | 2015-12-28 | 2021-03-31 | 株式会社半導体エネルギー研究所 | 半導体装置 |
KR20180006740A (ko) * | 2016-07-11 | 2018-01-19 | 에스케이하이닉스 주식회사 | 반도체 소자 및 그 제조 방법 |
CN110797298A (zh) * | 2018-08-03 | 2020-02-14 | 群创光电股份有限公司 | 电子装置及其制备方法 |
Family Cites Families (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH01235254A (ja) * | 1988-03-15 | 1989-09-20 | Nec Corp | 半導体装置及びその製造方法 |
US5371047A (en) * | 1992-10-30 | 1994-12-06 | International Business Machines Corporation | Chip interconnection having a breathable etch stop layer |
US5470801A (en) * | 1993-06-28 | 1995-11-28 | Lsi Logic Corporation | Low dielectric constant insulation layer for integrated circuit structure and method of making same |
US5472913A (en) * | 1994-08-05 | 1995-12-05 | Texas Instruments Incorporated | Method of fabricating porous dielectric material with a passivation layer for electronics applications |
US5741626A (en) * | 1996-04-15 | 1998-04-21 | Motorola, Inc. | Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC) |
JP3645081B2 (ja) * | 1997-02-05 | 2005-05-11 | 富士通株式会社 | 半導体装置およびその製造方法 |
US6054379A (en) * | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6340435B1 (en) * | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6093966A (en) * | 1998-03-20 | 2000-07-25 | Motorola, Inc. | Semiconductor device with a copper barrier layer and formation thereof |
JP3175691B2 (ja) * | 1998-05-08 | 2001-06-11 | 日本電気株式会社 | 多層配線半導体装置の製造方法 |
KR100265771B1 (ko) * | 1998-07-09 | 2000-10-02 | 윤종용 | 감광성 폴리머를 사용하는 듀얼 다마신 공정에 의한 금속 배선형성방법 |
US6410149B1 (en) * | 1998-08-27 | 2002-06-25 | Alliedsignal Inc. | Silane-based nanoporous silica thin films and precursors for making same |
US6071809A (en) | 1998-09-25 | 2000-06-06 | Rockwell Semiconductor Systems, Inc. | Methods for forming high-performing dual-damascene interconnect structures |
US6153528A (en) * | 1998-10-14 | 2000-11-28 | United Silicon Incorporated | Method of fabricating a dual damascene structure |
US6171945B1 (en) * | 1998-10-22 | 2001-01-09 | Applied Materials, Inc. | CVD nanoporous silica low dielectric constant films |
US6168726B1 (en) * | 1998-11-25 | 2001-01-02 | Applied Materials, Inc. | Etching an oxidized organo-silane film |
US6770975B2 (en) * | 1999-06-09 | 2004-08-03 | Alliedsignal Inc. | Integrated circuits with multiple low dielectric-constant inter-metal dielectrics |
US6040243A (en) * | 1999-09-20 | 2000-03-21 | Chartered Semiconductor Manufacturing Ltd. | Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion |
US6284657B1 (en) * | 2000-02-25 | 2001-09-04 | Chartered Semiconductor Manufacturing Ltd. | Non-metallic barrier formation for copper damascene type interconnects |
US6329062B1 (en) * | 2000-02-29 | 2001-12-11 | Novellus Systems, Inc. | Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits |
US6514881B1 (en) * | 2000-05-23 | 2003-02-04 | Texas Instruments Incorporated | Hybrid porous low-K dielectrics for integrated circuits |
US6432811B1 (en) * | 2000-12-20 | 2002-08-13 | Intel Corporation | Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures |
US6984581B2 (en) * | 2000-12-21 | 2006-01-10 | Intel Corporation | Structural reinforcement of highly porous low k dielectric films by ILD posts |
US6660619B1 (en) * | 2001-01-31 | 2003-12-09 | Advanced Micro Devices, Inc. | Dual damascene metal interconnect structure with dielectric studs |
US20030218253A1 (en) * | 2001-12-13 | 2003-11-27 | Avanzino Steven C. | Process for formation of a wiring network using a porous interlevel dielectric and related structures |
US6723635B1 (en) * | 2002-04-04 | 2004-04-20 | Advanced Micro Devices, Inc. | Protection low-k ILD during damascene processing with thin liner |
-
2001
- 2001-02-28 US US09/795,431 patent/US6603204B2/en not_active Expired - Fee Related
- 2001-12-04 EP EP01990800A patent/EP1371091A4/en not_active Withdrawn
- 2001-12-04 KR KR10-2003-7010670A patent/KR100538749B1/ko not_active IP Right Cessation
- 2001-12-04 WO PCT/US2001/045816 patent/WO2002071467A1/en active IP Right Grant
- 2001-12-04 CN CNB018226035A patent/CN1331203C/zh not_active Expired - Lifetime
- 2001-12-04 JP JP2002570286A patent/JP4166576B2/ja not_active Expired - Fee Related
-
2002
- 2002-02-25 TW TW091103304A patent/TW544845B/zh not_active IP Right Cessation
- 2002-11-12 US US10/292,205 patent/US6716742B2/en not_active Expired - Lifetime
-
2003
- 2003-03-25 US US10/396,274 patent/US6831366B2/en not_active Expired - Lifetime
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8994178B2 (en) | 2012-03-29 | 2015-03-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and method for forming the same |
US9269614B2 (en) | 2012-03-29 | 2016-02-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming semiconductor device using remote plasma treatment |
US8900997B2 (en) | 2012-12-26 | 2014-12-02 | Cheil Industries, Inc. | Method for forming a dual damascene structure of a semiconductor device, and a semiconductor device therewith |
Also Published As
Publication number | Publication date |
---|---|
US6603204B2 (en) | 2003-08-05 |
US20030075803A1 (en) | 2003-04-24 |
KR100538749B1 (ko) | 2005-12-26 |
CN1331203C (zh) | 2007-08-08 |
JP4166576B2 (ja) | 2008-10-15 |
US20020117760A1 (en) | 2002-08-29 |
WO2002071467A1 (en) | 2002-09-12 |
US6716742B2 (en) | 2004-04-06 |
JP2005500669A (ja) | 2005-01-06 |
CN1505834A (zh) | 2004-06-16 |
US6831366B2 (en) | 2004-12-14 |
EP1371091A1 (en) | 2003-12-17 |
EP1371091A4 (en) | 2009-04-01 |
TW544845B (en) | 2003-08-01 |
US20030183937A1 (en) | 2003-10-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100538749B1 (ko) | 상호 접속 구조체, 및 로우-k 유전체와 금속 도체 상호접속 구조체를 제조하는 방법 | |
KR100538750B1 (ko) | 상호 접속 구조체 및 이종 로우-k 유전체 | |
KR100810788B1 (ko) | 듀얼 다마신 구조의 에칭 방법 | |
US6710450B2 (en) | Interconnect structure with precise conductor resistance and method to form same | |
US7696085B2 (en) | Dual damascene metal interconnect structure having a self-aligned via | |
US20020155693A1 (en) | Method to form self-aligned anti-via interconnects | |
JP5334616B2 (ja) | 相互接続を作製するための方法 | |
CN1799138A (zh) | 集成电路器件中的互连结构 | |
JP2009135518A (ja) | 相互接続の製造方法 | |
KR100473513B1 (ko) | 패터닝된 상호접속 구조물 형성 방법 | |
US6724069B2 (en) | Spin-on cap layer, and semiconductor device containing same | |
US7300868B2 (en) | Damascene interconnection having porous low k layer with a hard mask reduced in thickness | |
JP5202784B2 (ja) | 半導体装置の製造方法 | |
US20070273027A1 (en) | Method of forming dual damascene pattern | |
TW423106B (en) | Manufacturing method of dual damascene structure |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20101109 Year of fee payment: 6 |
|
LAPS | Lapse due to unpaid annual fee |