CN1505834A - 包含多层旋涂多孔介电质的低k互连结构 - Google Patents

包含多层旋涂多孔介电质的低k互连结构 Download PDF

Info

Publication number
CN1505834A
CN1505834A CNA018226035A CN01822603A CN1505834A CN 1505834 A CN1505834 A CN 1505834A CN A018226035 A CNA018226035 A CN A018226035A CN 01822603 A CN01822603 A CN 01822603A CN 1505834 A CN1505834 A CN 1505834A
Authority
CN
China
Prior art keywords
dielectric medium
stop layer
interconnection structure
low
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA018226035A
Other languages
English (en)
Other versions
CN1331203C (zh
Inventor
S・M・盖茨
S·M·盖茨
赫德里克
J·C·赫德里克
尼塔
S·V·尼塔
承ぬ毓曼
S·普鲁肖特哈曼
蒂贝格
C·S·蒂贝格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1505834A publication Critical patent/CN1505834A/zh
Application granted granted Critical
Publication of CN1331203C publication Critical patent/CN1331203C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种不具微沟槽的低k介电质金属半导体互连结构与形成该结构的方法。具体来说,上述结构是通过提供一互连结构来获得的,此一互连结构包括至少一种在单一自旋应用工具中持续施加,然后在单一步骤中固化的多层介电质材料,以及多层旋涂介电质内的多个图案化的金属导体。导体电阻的控制是通过使用掩埋的蚀刻终止层来获得的,此一掩埋的蚀刻终止层具有位于线与多孔低k介电质的通路介电质层间的第二原子组成,而其中多孔低k介电质具有第一原子组成。本发明的互连结构还包含一种辅助形成双镶嵌型的互连结构的硬质掩模。从具有特定原子组成与其它测得值的多孔低k有机或无机材料的特定组中,选择第一与第二组成,以获得至少10至1,或更高的蚀刻选择性。

Description

包含多层旋涂多孔介电质的低k互连结构
技术领域
本发明涉及高速微处理器、专用集成电路(ASICs)以及其它高速集成电路(ICs)的互连结构。本发明提供低介电常数(即低k)的互连结构,其具有强化的电路速度、精确的传导电阻值以及降低的制造成本。本发明的结构和现有技术的传统结构相比,具有较低的有效介电常数、改进了对金属线电阻的控制,以及降低了制造成本。
背景技术
已知许多具有约3.5或更小介电常数的低k介电质,加双镶嵌型铜(Cu)互连结构,举例来说,请参见2000年6月5~7日,电机与电子工程师学会(IEEE)电子装置学会,国际互连技术研讨会,R.D.高伯特(Goldblatt)等,“带低K介电质的高性能0.13微米(μm)铜BEOL技术”,第261~263页。在现有技术的互连结构的制造期间,(在金属填充与化学机械抛光(CMP)之后)成为金属导体的沟槽深度,通常是不能充分地控制的,而此沟槽形成称为微沟槽的形状。图1显示含有微沟槽的先前互连结构的图形表示。具体地说,图1包括基板10、低k介电质12以及包含扩散阻挡填料16的金属填充导体区域14。注意图右手边的金属填充导体区域包含微沟槽18。
使用定时反应性离子蚀刻(RIE)过程来蚀刻沟槽,并以时间控制沟槽深度。通常,蚀刻速率与沟槽轮廓的形状,皆随着穿越晶片的沟槽宽度(特征尺寸)变化,导致沟槽深度大的变化,进而导致金属导体电阻的大的变化。这些蚀刻速率与特征形状的变化,可能随着时间(天天)改变。
因为当扩散阻挡填料沉积于沟槽的粗糙表面上时,其具有弱的(薄的)位置,沟槽底部的粗糙形状也产生可靠性的问题。对以上微沟槽问题的一般解决方法,包含使用额外的处理步骤,其提高了制造所需的低k介电质加铜(Cu)互连结构的整体生产成本。
而且,具有铜(Cu)与低k材料的互连结构的制造,通常必须使用旋涂涂布工具与更昂贵的等离子体增强化学气相沉积(PECVD)工具。混合工具组的使用,增加了设备的购置与维修成本,以及制造的原始时间。
鉴于现有技术的问题,有必要提供一新的、改进的制造低k介电质加金属互连结构的方法,而此方法避免形成微沟槽。
发明内容
本发明的目的在于提供一种低k介电质加双镶嵌型的金属互连结构,其中可以获得金属导体电阻的精确与均一的控制。
本发明的另一目的在于以不增加工艺成本情况下,提供金属导体的形状的精确控制,以改善可靠性。
本发明的进一步目的在于提供一种互连结构,其中金属导体具有一实质上平坦的底部,亦即没有微沟槽存在。
本发明的进一步目的在于提供一种低k介电质加金属互连结构(具有金属导体电阻的精确与均一的控制),其系基于多层自旋涂布介电质层;因此避免了昂贵的真空式沉积工具的使用。
本发明的另一目的在于使用具有约3.5或更小的k的多孔介电质。
本发明藉由提供一种互连结构来达成这些与其它的目的,此一互连结构至少包含一多层的介电质与多层旋涂介电质内部的多个图案化的金属导体,其中多层的介电质以单一的自旋应用工具逐次地施加,然后在单一的步骤中固化。导体电阻上的控制是使用具有第二原子组成的掩埋的蚀刻终止层来获得,其中终止层位于线与具有第一原子组成的多孔低k介电质的通路介电质层之间。本发明的互连结构亦包含一硬质掩模,其可辅助形成双镶嵌型的互连结构。从具有特定原子组成与其它可测值的多孔低k有机或无机材料的特定组中,选择第一与第二组成,以获得至少10至1,或更高的蚀刻选择性。
具体地说,本发明的双镶嵌型互连结构包括:
一基板,其具有形成于其上的图案化的多层介电质,该图案化的多层介电质包含藉由掩埋的蚀刻终止层彼此分离的第一与第二多孔低k介电质,该第一与第二多孔低k介电质具有第一组成;
一抛光终止层,其形成于该第二多孔低k介电质上面的该图案化的多层介电质上;及
一金属导体,其形成于该图案化的多层介电质内。
在本发明的一具体实施例中,第一与第二多孔低k介电质是有机介电质,而掩埋的蚀刻终止层则是无机的低k介电质材料。在本发明的此一具体实施例中,无机的掩埋蚀刻终止层可以是多孔或非多孔的,其中优选的是无机掩埋蚀刻终止层。
在本发明的另一具体实施例中,第一与第二多孔低k介电质是低k无机介电质,或无机/有机混合的介电质,如甲基倍半硅氧烷(MSQ),而该掩埋的蚀刻终止层则是有机低k介电质。在本发明的此一具体实施例中,有机的掩埋蚀刻终止层可以是多孔或非多孔的,其中优选的是非多孔的材料。
本发明的结构提供下列超越现有技术的互连结构的优点:
(i)金属导体厚度与电阻的精确与均一的控制。
(ii)改善的可靠性,因为沟槽包含均匀厚度而无弱点的扩散阻挡填料。
(iii)在不增加生产成本,并减少真空式沉积工具的使用的情况下,获得高度控制的金属导体电阻。
本发明的另一方面涉及一种制造上述低k介电质加金属导体互连结构的方法,其包括步骤:
(a)在基板表面上,形成多层旋涂介电质,该多层介电质包含藉由掩埋的蚀刻终止层彼此分离的第一与第二多孔低k介电质,该第一与第二多孔低k介电质具有第一组成,而该掩埋的蚀刻层则具有不同于该第一组成的第二组成;
(b)在该多层旋涂介电质上形成一硬质掩模,该硬质掩模至少包含一抛光终止层,与位于该抛光终止层顶部的图案化层;
(c)在该硬质掩模中形成一开口,以便暴露该多层旋涂介电质的表面;
(d)使用该硬质掩模作为蚀刻掩模,在该暴露出来的多层旋涂介电质的表面中,形成一沟槽级与通路级;
(e)用至少一导体金属填充该沟槽级与通路级;以及
(f)将形成于该多层旋涂介电质的该抛光终止层上的该导体金属填充物平面化。
在本发明的一具体实施例中,多层旋涂介电质在进行步骤(b)之前固化。在另一具体实施例中,其中硬质掩模亦包含旋涂介电质,而固化则发生于步骤(b)之后。
附图说明
图1是现有技术的互连结构的图形表示,此一互连结构包含微沟槽,其具有形成于其中的粗糙的底部表面。
图2-8是本发明的结构,经由本发明各种不同的处理步骤的剖面图。
具体实施方式
本发明提供由多层旋涂介电质所组成的低k互连结构,以及制造此一互连结构的方法,现在将参考本发明的附图,详细地叙述本发明。请注意在附图中,相似与/或相应的组件用相似的参考数字来指示。
首先参考图2,其说明使用于本发明中,用来制造本发明的互连结构的初始结构。具体地说,图2中所说明的结构包括基板50,其具有形成于其上的多层旋涂介电质52。如图所示,本发明的多层旋涂介电质包含第一低k介电质54、掩埋的蚀刻终止层56,以及第二低k介电质58。应注意的是,使用于本发明中的多层旋涂介电质,具有从约1.1至约3.5的有效介电常数,而其中优选的是从约1.4至3.0的有效介电常数。根据本发明,第一与第二低k介电质是多孔的有机或无机(包含无机/有机的混合)介电质。应注意的是第二低k介电质是其中将形成金属线的区域,然而第一低k介电质则是其中形成金属通路的区域。
如图2所示,掩埋的蚀刻终止层位于第一与第二多孔低k介电质之间。此外,本发明所使用的第一与第二多孔低k介电质具有彼此相似的第一组成,而掩埋的蚀刻层则具有不同于该第一组成的第二组成。应注意的是本文中使用的“低k”一词,指示具有约3.5或更小的介电常数的介电质材料,而其中以从约1.4至3.0的介电常数最佳。下文中,将详细叙述组成多层旋涂介电质的每一层的成分。
本发明所使用的基板可以包含一般出现在互连结构中的传统材料。所以,举例来说,基板50可以是介电质(层间或层内)、布线层、粘结增进剂、半导体晶片,或其任何组合。当使用半导体晶片作为基板时,晶片可以包含形成于其上的各种电路与/或器件。
多层旋涂介电质的每一层,是利用本领域技术人员熟知的传统旋涂涂布处理步骤形成的,而下列旋涂处理的每一层,则是放到加热板烘烤过程中,其中此一过程是使用足以除去旋涂介电质上任何残余溶剂的条件进行的;然后在施加后续层期间,处理不能溶解的膜。加热板烘烤通常在约摄氏90度至约摄氏500度的温度中,实施约10至600秒。加热板烘烤以约摄氏250度至约摄氏400度的温度实施约60至300秒较佳。
在本发明的一具体实施例中,第一与第二低k介电质是包括碳(C)、氧(O)与氢(H)的有机介电质。举例来说,本发明中使用的有机低k介电质的实例包含,但不受限于此,芳香族热固性聚合物树脂,举例来说由道化学(Dow Chemical)公司以SiLK商标销售的树脂,荷尼威尔(Honeywell)以Flare商标销售的树脂,以及由其它供货商销售的类似树脂,以及其它类似的有机介电质。应注意的是,使用于本发明的此一具体实施例中的有机介电质是多孔的。本发明中所使用的有机介电质的小孔尺寸,在约5至35%的孔隙体积百分比中,大约是1至约50纳米。
当第一与第二低k介电质包括有机介电质时,掩埋的蚀刻终止层是由旋涂的无机介电质层或无机/有机的混合(即含硅介电质)组成的。在本发明中,无机介电质掩埋蚀刻终止层通常包括硅(Si)、氧(O)与氢(H)(碳(C)可以视情况存在),并且具有约1.1至约5.5的介电常数,而其中以约2.0至3.2的介电常数较佳。可以用作掩埋的蚀刻终止层的无机介电质实例,包含,但不受限于此:倍半硅氧烷(HOSP)(由哈尼威尔(Honeywell)销售的含硅无机介电质)、原硅酸四乙酯(TEOS)、甲基倍半硅氧烷(MSQ)、氢倍半硅氧烷(HSQ)、MSQ-HSQ共聚物,有机硅烷与任何其它含硅的材料。在本发明的此一具体实施例中,多孔与非多孔的无机介电质可以用作掩埋的蚀刻终止层,而其中优选的是多孔的无机介电质。虽然无机的掩埋蚀刻终止层的孔隙尺寸对本发明不是紧要的,通常无机的掩埋蚀刻终止层在约5至80%的孔隙体积百分比中,具有约5至500埃的孔隙尺寸。无机的掩埋蚀刻终止层,以在约10至50%的孔隙体积百分比中,具有约10至200埃的孔隙尺寸更佳。
在本发明的另一具体实施例中,多层旋涂介电质的第一与第二多孔低k层,是多孔的低k无机介电质,而掩埋的蚀刻终止层,则可以是多孔或不是多孔的有机介电质材料。应注意的以上本发明的第一具体实施例中,关于此类有机与无机介电质的叙述,在此一具体实施例中也可以成立。因此,此处不需要进一步的叙述。
不论在本发明中使用哪一具体实施例,多层的第一多孔低k介电质层具有约500至约10,000埃的厚度,而其中以约900至约3000埃的厚度更佳。在掩埋的蚀刻终止层范围中,该层通常具有约25至1500埃的厚度,而其中以约100至300埃的厚度更佳。另一方面,多层的第二多孔低k介电质层则具有约500至约10,000埃的厚度,其中以约1000至约3000埃的厚度更佳。
现在可以固化多层旋涂介电质了,或者是,如果硬质掩模是用旋涂介电质制成的,则多层旋涂介电质与硬质掩模可以在单一固化步骤中固化。后者是较佳的,既然其减少了整个程序中,处理工具与步骤的数目。下文中所提到的固化条件,亦应用于固化发生于硬质掩模形成之前的具体实施例中。
形成图2所示的结构之后,硬质掩模60形成于多层旋涂介电质的最上表面,即第二低k介电质58的顶端。根据本发明,硬质掩模60至少包含抛光层62与图案化层64。图3中所示的硬质掩模,可以藉由传统等离子体增强化学气相沉积(PECVD)过程来形成,或者以自旋涂布来形成每一层硬质掩模60则更佳。既然旋涂涂布减少了整个过程中使用的沉积工具的数目,因而降低了整体的制造成本,由其形成的层是较佳的。此外,虽然附图中描绘硬质掩模中存在有两层,硬质掩模可以包含超过两层。
用来形成硬质掩模的材料可以变化,并且是依据其对直接铺设于其下面的层的蚀刻选择性。举例来说,本发明中所使用的图案化层,是具有对下面的抛光终止层高蚀刻选择性(约10∶1,或更高)的材料。由于图案化层在本发明的步骤(f)中被除去,此层的介电常数可以是高的。另一方面,抛光终止层则是具有对下面的多层旋涂介电质高蚀刻选择性的的材料,而且其应该具有不明显地增加多层旋涂介电质的有效介电常数的介电常数。
据此,图案化层可以包含有机或无机的介电质,然而抛光终止层则包括无机或有机介电质。每一层的实际性质,首先将取决于多层旋涂介电质的第二低k介电质,然后取决于抛光终止层。在本发明的一具体实施例中,抛光终止层62与掩埋的蚀刻终止层56是由相同的材料组成的。
每一层硬质掩模的厚度可以变化,而且对本发明也不是紧要的。可是,图案化层通常具有约100至约3000埃的厚度,而抛光终止层则是具有约100至约1000埃的厚度。
紧接于形成硬质掩模之后,抛光终止层与图案化层,以及下面的多层旋涂介电质,经历单一的固化步骤,而此一步骤是使用本领域技术人员所熟知的传统条件来实施的。固化步骤可以包含加热板烘烤步骤,或电炉加热。在本发明中,以使用包含电炉烘烤的固化步骤较佳。虽然固化的条件可以变化,加热板烘烤通常是在约摄氏250度至约摄氏500度的温度,实施约30至约500秒的时间,而电炉烘烤步骤则是在约摄氏200度至约摄氏500度,实施约15分钟至约3.0小时的时间。再次强调的是如果硬质掩模不是由旋涂介电质所组成,则固化可以在硬质掩模沉积之前发生。此外,由于旋涂的硬质掩模是较佳的,附图与下列叙述是特别针对此一具体实施例的。然而,应注意的是,附图与下列叙述对于不是旋涂涂布的硬质掩模也是有效的。
固化层显示于图4中,并标示为52’(固化的多层旋涂介电质)、62’(固化的抛光终止层)与64’(固化的图案化层)。紧接于介电质多层与任选的硬质掩模的固化之后,图3所示的结构,便经历第一光刻与蚀刻过程,而于固化的图案化层64’中形成开口66;请参见图5。具体地说,依据下列来形成图5中所示的结构:首先,使用本领域技术人员所熟知的传统沉积过程,于固化的图案化层上,形成将用来图案化图案化层的光刻胶(图式中未显示)。接着,将光刻胶暴露于放射的图案,其后使用传统抗蚀显影剂,于光刻胶中将图案显影。
在将抗蚀剂图案显影的后,开口66便形成于硬质掩模中,以便将下面的抛光终止层的一部份暴露出来。具体地说,开口是使用传统干法蚀刻过程来形成的,此一干法蚀刻过程包含,但不受限于此:反应性离子蚀刻(RIE)、等离子体蚀刻与离子束蚀刻。这些不同的干法蚀刻过程中,以使用包含氟基的化学的反应性离子蚀刻(RIE)较佳。在此一蚀刻步骤之后,便利用本领域技术人员所熟知的传统剥离过程,将图案化的光刻胶从结构中剥离。从第一光刻与蚀刻步骤获得的结构,显示于图5。
从结构剥离光刻胶之后,便对图5中所示的结构施加新的光刻胶(未显示)。接着,新的光刻胶经历光刻与蚀刻,以便于结构中提供第二开口68,其中此一开口将固化的多层旋涂介电质52’的表面暴露出来。第二蚀刻步骤包含前述干法蚀刻过程之一。在这些不同的干法蚀刻过程中,以使用包含氟基的化学的反应性离子蚀刻(RIE)较佳。紧接于将固化的多层旋涂介电质暴露出来的第二蚀刻之后,便使用传统剥离过程,将第二光刻胶从结构上剥离,而提供如图6所示的结构。
图7显示在硬质掩模中形成图案之后的结构,被转移到多层旋涂介电质。具体地说,在多层旋涂介电质中形成沟槽70的图案转移,是使用包含氧或还原化学的干法蚀刻过程来实施。根据本发明,沟槽70可以是通路或线,或两者。
紧接于图案转移到多层旋涂介电质之后,便以导体金属74填充与平面化沟槽,以便提供图8所示的结构。一种选择性但是较佳的填充材料72,可以在填充导体金属之前,于沟槽中形成。本文中所使用“导体金属”一词指示一种金属,其选自铝(Al)、铜(Cu)、钨(W)、银(Ag)与其它类似的金属,这些金属通常使用于互连结构中。在此,也可以使用这些导体金属的合金,如铜铝(Al-Cu)。使用于本发明中的较佳的金属是铜。利用传统沉积过程,如化学气相沉积(CVD)、等离子体辅助化学气相沉积、电镀、溅射、化学溶液沉积与其它类似的沉积过程,于沟槽中形成金属。
本发明中所使用的选择性的填充材料,包含任何防止导体金属扩散到介电质层中的材料。一些此等填料的实例,包含,但不受限于此:氮化钛(TiN)、氮化钽(TaN)、钛(Ti)、钽(Ta)、钨(W)、氮化钨(WN)、铬(Cr)、铌(Nb)与其它包含其组合的类似的材料。填充材料可以利用本领域技术人员所熟知的传统沉积过程于沟槽中形成,此一沉积过程包含:化学气相沉积(CVD)、等离子体辅助化学气相沉积、溅射、电镀、化学溶液沉积。
以导体金属填充沟槽之后,此一结构便经历传统平坦化过程,如化学机械抛光(CMP),此一平坦化过程除去抛光终止层上面的任何导体金属。请注意,此一平坦化步骤也从结构中除去硬质掩模的图案化层,但是没有除去抛光终止层。结果是抛光终止层保留在结构的表面上。因为此一缘故,选择具有稍微低的介电常数的抛光终止层是必要的,以便不增加互连结构的有效介电常数。
紧接于本发明的处理步骤之后,可以藉由重复本发明的处理步骤,在图8所示的结构上面形成额外的通路或布线层。因此,可以使用本发明的方法来制备其中包含一个或更多个线或通路层的互连结构。
提供下列实例以说明本发明的方法,以及显示其一些优点。
实例
在此一实例中,准备并使用SiLK/HOSP/SiLK/HOSP介电质叠层来形成一互连结构。具体地说,在此一实例中,使用裸的8英寸Si晶片作为基板。通过在丙二醇单甲醚醋酸酯(PGMEA)中,对晶片施加2.5重量百分比的有机硅烷粘结增进剂溶液,以粘结增进剂来处理此一晶片,紧接着以约每分钟3000转旋转30秒。晶片接着被放到加热板上,并于约摄氏100度烘烤约90秒。此一烘烤将粘结增进剂的反应,提升到晶片的表面。冷却至室温之后,以丙二醇单甲醚醋酸酯(PGMEA)清洗具有粘结增进剂的晶片,以除去多余的粘结增进剂。此一晶片以约30毫升(ml)的丙二醇单甲醚醋酸酯(PGMEA)洗涤,然后以约每分钟3000旋转约30秒。
紧接着此一清洗之后,晶片在摄氏100度的加热板上烘烤约1分钟,以使溶剂干燥。冷却至室温之后,便施加第一层低k介电质(SiLK)。SiLK溶液是放在晶片上,而此一晶片以约每分钟3000转旋转约30秒。在自旋之后,晶片被放到摄氏100度的加热板上一分钟,以部分干燥溶剂。其接着被传送到摄氏400度的加热板,并烘烤约2分钟。此一时间与温度足以使所得的SiLK膜不能溶解。
在冷却之后,晶片被送回旋涂器。施加HOSP溶液到晶片上,并以每分钟3000转旋转约30秒,其中HOSP溶液已被稀释,以于约每分钟3000转的转速,获得约50纳米的膜厚度。在自旋之后,此一晶片被放到摄氏100度的加热板上约1分钟,以部分干燥溶剂。接着,其被移至摄氏400度的加热板上2分钟,以部分交联薄膜。此一时间与温度足以使膜不能溶解。
接着允许晶片冷却,并送回旋涂器。对第一层施加第二层SiLK。SiLK被施加到晶片上,而此一晶片以约每分钟3000转旋转约30秒。此一晶片被放到摄氏100度的加热板上一分钟,紧接着放到摄氏400度的加热板上约2分钟。
冷却至室温之后,晶片被送回旋涂器。接着施加两层硬质掩模如下。施加一层HOSP。对晶片施加HOSP溶液,接着晶片以每分钟3000转旋转约30秒,其中HOSP溶液已被稀释,以于约每分钟3000转的转速,获得约500埃的膜厚度。此一晶片接着以约摄氏100度的加热板烘烤约1分钟,以及约摄氏400度烘烤约2分钟。
包含上述各层的固化的晶片,被放进等离子体增强化学气相沉积(PECVD)反应器中,并且于约摄氏350度沉积一层500埃的氮化硅,以及于约摄氏350度沉积一层1200埃的二氧化硅(SiO2)。
接着实施本发明中所叙述的光刻与蚀刻过程。然后使用工业中已知的标准过程方法(以填料,然后铜(Cu),填充蚀刻沟槽与通路开口,而铜以化学机械抛光过程(CMP)平面化),完成双镶嵌结构。
在最后的化学机械抛光过程(CMP)期间,氮化硅层遗留在结构中,而沉积在上面的二氧化硅(SiO2)层则被除去了。
虽然已经明确地展示,并以与其有关的较佳具体实施例叙述本发明,本领域技术人员应了解,可以作前述与其它的形式与细节上改变,而不脱离本发明的精神与范围。因此,希望本发明不受限于所叙述与所说明的确切形式与细节,而是属于延伸申请专利范围的范围。

Claims (61)

1.一种互连结构,包括:
一基板,其具有形成于其上的图案化的多层介电质,该图案化的多层介电质包含通过掩埋的蚀刻终止层彼此分离的第一与第二多孔低k介电质,该第一与第二多孔低k介电质具有第一组成,而该掩埋的蚀刻层则具有不同于第一组成的第二组成;
一抛光终止层,其形成于该图案化的多层旋涂介电质上的该第二多孔低k介电质上面;以及
一金属导体,其形成于该图案化的多层介电质内。
2.如权利要求1的互连结构,其中该第一与第二多孔低k介电质是有机介电质,而掩埋的蚀刻终止层是无机的低k介电质材料或无机/有机混合的材料。
3.如权利要求2的互连结构,其中该第一与第二多孔低k有机介电质,在约5至约35%的孔隙体积百分比中,具有约1至约50纳米的孔隙尺寸。
4.如权利要求2的互连结构,其中该无机低k介电质掩埋蚀刻终止层是多孔的。
5.如权利要求4的互连结构,其中该无机多孔低k介电质蚀刻终止层,在约5至约80%的孔隙体积百分比中,具有约5至约500埃的孔隙尺寸。
6.如权利要求2的互连结构,其中该第一与第二多孔低k有机介电质包括碳(C)、氧(O)与氢(H)。
7.如权利要求6的互连结构,其中该第一与第二多孔低k有机介电质是芳香族热固性聚合物树脂。
8.如权利要求2的互连结构,其中该无机的掩埋蚀刻终止层包括硅(Si)、氧(O)与氢(H),并任选地包括碳(C)。
9.如权利要求8的互连结构,其中该无机的掩埋蚀刻终止层包括HOSP、MSQ、TEOS、HSQ、MSQ-HSQ共聚物、有机硅烷,或任何其它含硅的材料。
10.如权利要求1的互连结构,其中该第一与第二多孔低k介电质是低k无机介电质,而该掩埋的蚀刻终止层是有机的低k介电质。
11.如权利要求10的互连结构,其中该第一与第二多孔低k无机介电质,在约5至约80%的孔隙体积百分比中,具有约5至约500埃的孔隙尺寸。
12.如权利要求10的互连结构,其中该有机低k介电质的掩埋蚀刻终止层是多孔的。
13.如权利要求12的互连结构,其中该有机多孔低k介电质的蚀刻终止层,在约5至约35%的孔隙体积百分比中,具有约1至约50纳米的孔隙尺寸。
14.如权利要求10的互连结构,其中该有机介电质的蚀刻终止层包括碳(C)、氧(O)与氢(H)。
15.如权利要求14的互连结构,其中该有机介电质的蚀刻终止层是芳香族热固性聚合物树脂。
16.如权利要求1 的互连结构,其中该第一与第二多孔低k无机层包括硅(Si)、氧(O)与氢(H),并且任选地包括碳(C)。
17.如权利要求16的互连结构,其中该第一与第二多孔低k无机层包括HOSP、MSQ、TEOS、HSQ、MSQ-HSQ共聚物、有机硅烷,或任何其它含硅的材料。
18.如权利要求1的互连结构,其中该第一与第二多孔低k介电质具有约1.1至约3.5的介电常数。
19.如权利要求18的互连结构,其中该介电常数为从约1.4至约3.0。
20.如权利要求1的互连结构,其中该多层旋涂介电质具有约3.5或更少的有效介电常数。
21.如权利要求1的互连结构,其中该基板是一介电质、布线层、粘结增进剂,及其组合的半导体晶片。
22.如权利要求1的互连结构,其中该基板是一半导体晶片,其具有一粘结增进剂层形成于其上。
23.如权利要求1的互连结构,其中该抛光终止层是由与掩埋的蚀刻终止层相同的材料所组成的。
24.如权利要求1的互连结构,其中该抛光终止层是一旋涂低k无机或有机介电质。
25.如权利要求1的互连结构,其中该金属导体由铝(Al)、铜(Cu)、钨(W)、银(Ag),或其合金所组成。
26.如权利要求1的互连结构,其中该金属导体由铜(Cu)所组成。
27.如权利要求1的互连结构,进一步包括一填充材料,其于该金属导体的沉积之前,形成于该图案化的多层旋涂介电质的内部。
28.如权利要求27的互连结构,其中该填充材料由氮化钛(TiN)、氮化钽(TaN)、钛(Ti)、钽(Ta)、钨(W)、氮化钨(WN)、铬(Cr)、铌(Nb),或其组合所组成。
29.如权利要求1的互连结构,其中该导体金属系一导体通路、导体线,或导体通路与线。
30.一种制造低k介电质加金属导体互连结构的方法,包括步骤:
(a)在基板表面上,形成多层旋涂介电质,该多层旋涂介电质包含通过掩埋的蚀刻终止层彼此分离的第一与第二多孔低k介电质,该第一与第二多孔低k介电质具有第一组成,而该掩埋的蚀刻层则具有不同于该第一组成的第二组成;
(b)在该多层旋涂介电质上形成一硬质掩模,该硬质掩模至少包含一抛光终止层,与位于该抛光终止层顶部的图案化层;
(c)在该硬质掩模中形成一开口,以便暴露该多层旋涂介电质的表面;
(d)使用该硬质掩模作为蚀刻掩模,在该暴露出来的多层旋涂介电质表面中,形成一沟槽;
(e)用至少一导体材料填充该沟槽;以及
(f)将形成于该多层旋涂介电质的该抛光终止层上的该导体金属填充物平面化。
31.如权利要求30的方法,其中该多层旋涂介电质是通过自旋涂布,逐次施加该多层的每一层来形成的,其中在每一旋涂涂布步骤之后,进行加热板烘烤处理步骤,以使旋涂层上残余的溶剂干燥,而使旋涂层不能溶解。
32.如权利要求30的方法,其中该多层旋涂介电质是在进行步骤(a)之后固化的。
33.如权利要求32的方法,其中该固化是一加热板烘烤固化步骤,其于约摄氏250度至约摄氏500度的温度,处理约30至约500秒。
34.如权利要求32的方法,其中该固化是一电炉固化步骤,其于从约摄氏200度至约摄氏500度的温度,处理约15分钟至约3.0小时。
35.如权利要求30的方法,其中该硬质掩模是通过等离子体增强化学气相沉积(PECVD)来形成的。
36.如权利要求30的方法,其中该硬质掩模是通过旋涂涂布来形成的。
37.如权利要求30的方法,其中该硬质掩模包括旋涂介电质,而固化则发生于步骤(b)之后。
38.如权利要求30的方法,其中步骤(d)包含两个光刻与蚀刻步骤。
39.如权利要求38的方法,其中该蚀刻步骤包含一干法蚀刻过程,其选自反应性离子蚀刻(RIE)、离子束蚀刻,或等离子体蚀刻。
40.如权利要求38的方法,其中该蚀刻步骤包括其中使用氟基化学的反应性离子蚀刻(RIE)。
41.如权利要求30的方法,其中步骤(d)包含氧,或还原气体式蚀刻过程。
42.如权利要求30的方法,其中该沟槽是线、通路,或其组合。
43.如权利要求30的方法,其中该沟槽填充包含一沉积过程,此一过程选自化学气相沉积(CVD)、等离子体辅助化学气相沉积、电镀、溅射或化学溶液沉积。
44.如权利要求30的方法,其中在进行步骤(e)之前,于该沟槽中形成填充材料。
45.如权利要求30的方法,其中步骤(f)包含化学机械抛光。
46.如权利要求30的方法,其中该第一与第二多孔低k介电质是有机介电质,而掩埋的蚀刻终止层则是无机的低k介电质材料,或是无机/有机混合的材料。
47.如权利要求46的方法,其中该第一与第二多孔低k有机介电质,在约5至约35%的孔隙体积百分比中,具有约1至约50纳米的孔隙尺寸。
48.如权利要求46的方法,其中该无机低k介电质的掩埋蚀刻终止层是多孔的。
49.如权利要求48的方法,其中该无机多孔低k介电质蚀刻终止层,在约5至约80%的孔隙体积百分比中,具有约5至约500埃的孔隙尺寸。
50.如权利要求46的方法,其中该第一与第二多孔低k有机介电质包括碳(C)、氧(O)与氢(H)。
51.如权利要求50的方法,其中该第一与第二多孔低k有机介电质是芳香族热固性聚合物树脂。
52.如权利要求46的方法,其中该无机的掩埋蚀刻终止层包括硅(Si)、氧(O)与氢(H),以及任选地包括碳(C)。
53.如权利要求52的方法,其中该无机的掩埋蚀刻终止层包括HOSP、MSQ、TEOS、HSQ、MSQ-HSQ共聚物、有机硅烷,或任何其它含硅的材料。
54.如权利要求30的方法,其中该第一与第二多孔低k介电质是低k无机介电质,而该掩埋的蚀刻终止层则是有机的低k介电质。
55.如权利要求54的方法,其中该第一与第二多孔低k无机介电质,在约5至约80%的孔隙体积百分比中,具有约5至约500埃的孔隙尺寸。
56.如权利要求54的方法,其中该有机低k介电质的掩埋蚀刻终止层是多孔的。
57.如权利要求56的方法,其中该有机多孔低k介电质的蚀刻终止层,在约5至约35%的孔隙体积百分比中,具有约1至约50纳米的孔隙尺寸。
58.如权利要求56的方法,其中该有机介电质的蚀刻终止层包括碳(C)、氧(O)与氢(H)。
59.如权利要求58的方法,其中该有机介电质的蚀刻终止层是芳香族热固性聚合物树脂。
60.如权利要求56的方法,其中该第一与第二多孔低k无机层包括硅(Si)、氧(O)与氢(H),并选择性地包括碳(C)。
61.如权利要求60的方法,其中该第一与第二多孔低k无机层包括HOSP、MSQ、TEOS、HSQ、MSQ-HSQ共聚物、有机硅烷,或任何其它含硅的材料。
CNB018226035A 2001-02-28 2001-12-04 包含多层旋涂多孔介电质的低k互连结构 Expired - Lifetime CN1331203C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/795,431 US6603204B2 (en) 2001-02-28 2001-02-28 Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US09/795,431 2001-02-28

Publications (2)

Publication Number Publication Date
CN1505834A true CN1505834A (zh) 2004-06-16
CN1331203C CN1331203C (zh) 2007-08-08

Family

ID=25165496

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018226035A Expired - Lifetime CN1331203C (zh) 2001-02-28 2001-12-04 包含多层旋涂多孔介电质的低k互连结构

Country Status (7)

Country Link
US (3) US6603204B2 (zh)
EP (1) EP1371091A4 (zh)
JP (1) JP4166576B2 (zh)
KR (1) KR100538749B1 (zh)
CN (1) CN1331203C (zh)
TW (1) TW544845B (zh)
WO (1) WO2002071467A1 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541276B2 (en) 2005-02-05 2009-06-02 Samsung Electronics Co., Ltd. Methods for forming dual damascene wiring for semiconductor devices using protective via capping layer
CN101140421B (zh) * 2006-09-04 2010-06-16 中芯国际集成电路制造(上海)有限公司 形成光刻胶图案的方法
CN102437099A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种降低接触孔电阻的接触孔结构形成方法
CN101553907B (zh) * 2006-05-08 2012-08-29 国际商业机器公司 用于低k或者超低k级间电介质图案转移的结构和方法
CN103107158A (zh) * 2011-11-11 2013-05-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN104347478A (zh) * 2013-07-24 2015-02-11 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN105814678A (zh) * 2013-12-16 2016-07-27 应用材料公司 使用处理系统的气隙结构集成
CN107611083A (zh) * 2016-07-11 2018-01-19 爱思开海力士有限公司 半导体器件及其制造方法
CN110797298A (zh) * 2018-08-03 2020-02-14 群创光电股份有限公司 电子装置及其制备方法

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7425346B2 (en) * 2001-02-26 2008-09-16 Dielectric Systems, Inc. Method for making hybrid dielectric film
DE10112561C2 (de) 2001-03-15 2003-12-18 Infineon Technologies Ag Verfahren zur Erzeugung von auf einem Substrat haftenden porösen organischen Schichten
US6794293B2 (en) * 2001-10-05 2004-09-21 Lam Research Corporation Trench etch process for low-k dielectrics
TW544855B (en) * 2001-06-25 2003-08-01 Nec Electronics Corp Dual damascene circuit with upper wiring and interconnect line positioned in regions formed as two layers including organic polymer layer and low-permittivity layer
US7011864B2 (en) * 2001-09-04 2006-03-14 Tokyo Electron Limited Film forming apparatus and film forming method
JP2003109956A (ja) * 2001-09-28 2003-04-11 Toshiba Corp 半導体装置およびその製造方法
US6933586B2 (en) * 2001-12-13 2005-08-23 International Business Machines Corporation Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
US20030119305A1 (en) * 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
KR100428791B1 (ko) * 2002-04-17 2004-04-28 삼성전자주식회사 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법
KR100454130B1 (ko) * 2002-05-28 2004-10-26 삼성전자주식회사 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법
US6831003B1 (en) 2002-05-31 2004-12-14 Advanced Micro Devices, Inc. Continuous barrier for interconnect structure formed in porous dielectric material with minimized electromigration
JP3762732B2 (ja) * 2002-09-27 2006-04-05 三洋電機株式会社 半導体装置の製造方法
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US6717265B1 (en) * 2002-11-08 2004-04-06 Intel Corporation Treatment of low-k dielectric material for CMP
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US20040191417A1 (en) * 2003-03-28 2004-09-30 Dorie Yontz Method of integrating a porous dielectric in an integrated circuit device
US20040251549A1 (en) * 2003-06-11 2004-12-16 Tai-Chun Huang Hybrid copper/low k dielectric interconnect integration method and device
US7057287B2 (en) * 2003-08-21 2006-06-06 International Business Machines Corporation Dual damascene integration of ultra low dielectric constant porous materials
US6916697B2 (en) * 2003-10-08 2005-07-12 Lam Research Corporation Etch back process using nitrous oxide
JP2005142473A (ja) * 2003-11-10 2005-06-02 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
US6903004B1 (en) * 2003-12-16 2005-06-07 Freescale Semiconductor, Inc. Method of making a semiconductor device having a low K dielectric
US20050140029A1 (en) * 2003-12-31 2005-06-30 Lih-Ping Li Heterogeneous low k dielectric
US7247555B2 (en) * 2004-01-29 2007-07-24 Chartered Semiconductor Manufacturing Ltd. Method to control dual damascene trench etch profile and trench depth uniformity
US7078350B2 (en) * 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
US7557035B1 (en) 2004-04-06 2009-07-07 Advanced Micro Devices, Inc. Method of forming semiconductor devices by microwave curing of low-k dielectric films
US7078814B2 (en) * 2004-05-25 2006-07-18 International Business Machines Corporation Method of forming a semiconductor device having air gaps and the structure so formed
US20050263901A1 (en) * 2004-05-27 2005-12-01 International Business Machines Corporation Semiconductor device formed by in-situ modification of dielectric layer and related methods
US6884715B1 (en) 2004-06-04 2005-04-26 International Business Machines Corporation Method for forming a self-aligned contact with a silicide or damascene conductor and the structure formed thereby
US6974772B1 (en) * 2004-08-19 2005-12-13 Intel Corporation Integrated low-k hard mask
US7338575B2 (en) * 2004-09-10 2008-03-04 Axcelis Technologies, Inc. Hydrocarbon dielectric heat transfer fluids for microwave plasma generators
US20070042609A1 (en) * 2005-04-28 2007-02-22 Senkevich John J Molecular caulk: a pore sealant for ultra-low k dielectrics
US8007675B1 (en) * 2005-07-11 2011-08-30 National Semiconductor Corporation System and method for controlling an etch process for a single crystal having a buried layer
US7289933B2 (en) * 2005-11-04 2007-10-30 Synopsys, Inc. Simulating topography of a conductive material in a semiconductor wafer
JP4788415B2 (ja) * 2006-03-15 2011-10-05 ソニー株式会社 半導体装置の製造方法
US8034722B2 (en) * 2006-04-07 2011-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming dual damascene semiconductor device
US20070278682A1 (en) * 2006-05-31 2007-12-06 Chung-Chi Ko Self-assembled mono-layer liner for cu/porous low-k interconnections
US7466027B2 (en) * 2006-09-13 2008-12-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures with surfaces roughness improving liner and methods for fabricating the same
US7723226B2 (en) * 2007-01-17 2010-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnects containing bilayer porous low-k dielectrics using different porogen to structure former ratio
US20080188074A1 (en) * 2007-02-06 2008-08-07 I-I Chen Peeling-free porous capping material
JP5098507B2 (ja) 2007-08-10 2012-12-12 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
US7943453B2 (en) 2007-12-20 2011-05-17 International Business Machines Corporation CMOS devices with different metals in gate electrodes using spin on low-k material as hard mask
US7541277B1 (en) 2008-04-30 2009-06-02 International Business Machines Corporation Stress relaxation, selective nitride phase removal
US8053861B2 (en) * 2009-01-26 2011-11-08 Novellus Systems, Inc. Diffusion barrier layers
US9029260B2 (en) 2011-06-16 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling method for dual damascene process
TWI460864B (zh) * 2011-11-11 2014-11-11 Au Optronics Corp 薄膜電晶體及其製造方法
US8994178B2 (en) 2012-03-29 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method for forming the same
CN103426749B (zh) * 2012-05-14 2015-11-25 中芯国际集成电路制造(上海)有限公司 开口的形成方法和堆叠结构
KR20140083696A (ko) 2012-12-26 2014-07-04 제일모직주식회사 반도체 소자의 듀얼 다마신 구조 형성 방법 및 그에 따른 반도체 소자 디바이스
US9076845B2 (en) * 2013-10-03 2015-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a high density dielectric etch-stop layer
JP6853663B2 (ja) * 2015-12-28 2021-03-31 株式会社半導体エネルギー研究所 半導体装置

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01235254A (ja) * 1988-03-15 1989-09-20 Nec Corp 半導体装置及びその製造方法
US5371047A (en) * 1992-10-30 1994-12-06 International Business Machines Corporation Chip interconnection having a breathable etch stop layer
US5470801A (en) * 1993-06-28 1995-11-28 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
US5472913A (en) * 1994-08-05 1995-12-05 Texas Instruments Incorporated Method of fabricating porous dielectric material with a passivation layer for electronics applications
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
JP3645081B2 (ja) * 1997-02-05 2005-05-11 富士通株式会社 半導体装置およびその製造方法
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6093966A (en) * 1998-03-20 2000-07-25 Motorola, Inc. Semiconductor device with a copper barrier layer and formation thereof
JP3175691B2 (ja) * 1998-05-08 2001-06-11 日本電気株式会社 多層配線半導体装置の製造方法
KR100265771B1 (ko) * 1998-07-09 2000-10-02 윤종용 감광성 폴리머를 사용하는 듀얼 다마신 공정에 의한 금속 배선형성방법
US6410149B1 (en) * 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6153528A (en) * 1998-10-14 2000-11-28 United Silicon Incorporated Method of fabricating a dual damascene structure
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6770975B2 (en) * 1999-06-09 2004-08-03 Alliedsignal Inc. Integrated circuits with multiple low dielectric-constant inter-metal dielectrics
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6329062B1 (en) * 2000-02-29 2001-12-11 Novellus Systems, Inc. Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits
US6514881B1 (en) * 2000-05-23 2003-02-04 Texas Instruments Incorporated Hybrid porous low-K dielectrics for integrated circuits
US6432811B1 (en) * 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
US6984581B2 (en) * 2000-12-21 2006-01-10 Intel Corporation Structural reinforcement of highly porous low k dielectric films by ILD posts
US6660619B1 (en) * 2001-01-31 2003-12-09 Advanced Micro Devices, Inc. Dual damascene metal interconnect structure with dielectric studs
US20030218253A1 (en) * 2001-12-13 2003-11-27 Avanzino Steven C. Process for formation of a wiring network using a porous interlevel dielectric and related structures
US6723635B1 (en) * 2002-04-04 2004-04-20 Advanced Micro Devices, Inc. Protection low-k ILD during damascene processing with thin liner

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541276B2 (en) 2005-02-05 2009-06-02 Samsung Electronics Co., Ltd. Methods for forming dual damascene wiring for semiconductor devices using protective via capping layer
CN101553907B (zh) * 2006-05-08 2012-08-29 国际商业机器公司 用于低k或者超低k级间电介质图案转移的结构和方法
CN101140421B (zh) * 2006-09-04 2010-06-16 中芯国际集成电路制造(上海)有限公司 形成光刻胶图案的方法
CN102437099A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种降低接触孔电阻的接触孔结构形成方法
CN103107158A (zh) * 2011-11-11 2013-05-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN104347478A (zh) * 2013-07-24 2015-02-11 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN104347478B (zh) * 2013-07-24 2017-05-17 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN105814678A (zh) * 2013-12-16 2016-07-27 应用材料公司 使用处理系统的气隙结构集成
CN105814678B (zh) * 2013-12-16 2019-06-14 应用材料公司 使用处理系统的气隙结构集成
CN107611083A (zh) * 2016-07-11 2018-01-19 爱思开海力士有限公司 半导体器件及其制造方法
CN110797298A (zh) * 2018-08-03 2020-02-14 群创光电股份有限公司 电子装置及其制备方法

Also Published As

Publication number Publication date
KR100538749B1 (ko) 2005-12-26
US6831366B2 (en) 2004-12-14
JP2005500669A (ja) 2005-01-06
EP1371091A1 (en) 2003-12-17
JP4166576B2 (ja) 2008-10-15
US20030075803A1 (en) 2003-04-24
WO2002071467A1 (en) 2002-09-12
US20020117760A1 (en) 2002-08-29
US6716742B2 (en) 2004-04-06
US6603204B2 (en) 2003-08-05
EP1371091A4 (en) 2009-04-01
US20030183937A1 (en) 2003-10-02
CN1331203C (zh) 2007-08-08
TW544845B (en) 2003-08-01
KR20040031695A (ko) 2004-04-13

Similar Documents

Publication Publication Date Title
CN1331203C (zh) 包含多层旋涂多孔介电质的低k互连结构
CN1261989C (zh) 由二种旋涂式介电材料组成的混合式低k互连结构
US6710450B2 (en) Interconnect structure with precise conductor resistance and method to form same
US6914320B2 (en) Bilayer HDP CVD/PE CVD cap in advanced BEOL interconnect structures and method thereof
US7358182B2 (en) Method of forming an interconnect structure
US7285853B2 (en) Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
US20080284031A1 (en) Method for improved process latitude by elongated via integration
US20150318207A1 (en) Back-end-of-line (beol) interconnect structure
KR100473513B1 (ko) 패터닝된 상호접속 구조물 형성 방법
US6724069B2 (en) Spin-on cap layer, and semiconductor device containing same
US20020173079A1 (en) Dual damascene integration scheme using a bilayer interlevel dielectric
TW423106B (en) Manufacturing method of dual damascene structure

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171108

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171108

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20070808