CN101553907B - 用于低k或者超低k级间电介质图案转移的结构和方法 - Google Patents

用于低k或者超低k级间电介质图案转移的结构和方法 Download PDF

Info

Publication number
CN101553907B
CN101553907B CN2007800157612A CN200780015761A CN101553907B CN 101553907 B CN101553907 B CN 101553907B CN 2007800157612 A CN2007800157612 A CN 2007800157612A CN 200780015761 A CN200780015761 A CN 200780015761A CN 101553907 B CN101553907 B CN 101553907B
Authority
CN
China
Prior art keywords
layer
inter
level dielectric
hard mask
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2007800157612A
Other languages
English (en)
Other versions
CN101553907A (zh
Inventor
J·J·布克奇戈内诺
G·W·吉布森
M·B·罗思韦尔
R·R·于
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101553907A publication Critical patent/CN101553907A/zh
Application granted granted Critical
Publication of CN101553907B publication Critical patent/CN101553907B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明涉及用于在低k或者超低k(即具有范围从约1.5到约3.5的介电常数)级间电介质(ILD)材料中形成互连图案的改进方法和结构。具体而言,起初使用减少的光刻关键尺度(CD)(即与目标CD相比)来形成厚度增加的图案化的抗蚀剂层,这又允许将包括下方氮化物掩模层和上方氧化物掩模层的简单硬掩模叠层用于后续的图案转移。接着通过使用含氧化学物的第一反应离子蚀刻(RIE)工艺来图案化该硬掩模叠层以形成具有与目标CD基本上相同的恢复CD的硬掩模开口。然后通过使用含氮化学物的第二RIE工艺来图案化ILD材料以形成具有目标CD的互连图案。

Description

用于低k或者超低k级间电介质图案转移的结构和方法
技术领域
本发明主要地涉及如国际半导体技术发展蓝图(InternationalTechnology Roadmap for Semiconductor,ITRS)所致力于的亚60nm代半导体器件中的互连图案的形成,该亚60nm代半导体器件包括45nm节点、33nm节点和22nm节点的器件。更具体而言,本发明涉及图案化光刻抗蚀剂以及将抗蚀剂图案转移到硬掩模叠层、然后转移到低k(即具有范围从约2.2到约3.5的介电常数k)或者超低k(即具有2.4以下的介电常数k)级间电介质结构以便在上述尺度内形成至少一个互连图案。
背景技术
在常规的互连图案形成工艺中,首先将硬掩模层形成于其中将要形成互连图案的ILD层之上,然后将对光子束或者电子束敏感的抗蚀剂层涂覆于硬掩模层的顶部上。利用光学光刻(photolithography)或者电子束光刻来使抗蚀剂层成像,继而将光刻图像显影成抗蚀剂层中的对应图案。由于有机抗蚀剂层在图像显影过程中的可破坏性,所以抗蚀剂图案的纵横比(即抗蚀剂图案的厚度或者高度与它的宽度之比)需要不大于约2:1。例如,对于60nm抗蚀剂特征,最大抗蚀剂层厚度约为120nm。抗蚀剂图案的更高纵横比是后续图案转移所需要的,即更厚的抗蚀剂层为下覆层中的掩蔽区域提供更多保护,但是它会在图像显影过程中造成抗蚀剂层中所不希望的破坏。
从抗蚀剂层到ILD层的图案转移通常涉及到两个步骤。首先,图案从抗蚀剂层转移到硬掩模层中。硬掩模层执行双重功能,即一方面它维持或者增强将要转移的图案的纵横比而另一方面它为金属布线的后续化学机械抛光(CMP)形成停止层。接着将图案从硬掩模图案转移到ILD层中。
在图案转移工艺过程中利用硬掩模层是因为有机抗蚀剂材料不适合于通过RIE将图像直接转移到ILD层中。因此,通过在与抗蚀剂处理兼容的化学环境中将图案首先从抗蚀剂层转移到硬掩模层,与抗蚀剂处理不兼容的专门选择的化学物可以后续用于图案化ILD层,从而实现金属布线更好的图像分布和改善的纵横比。
随着互补金属氧化物半导体(CMOS)器件从90nm节点和65nm节点持续缩放到45nm节点和更小节点,整体互连尺度相应地从105nm和80nm缩减到60nm和更小。整体尺度减少对抗蚀剂图案的尺度施加明显限制。
一方面,半导体器件的整体尺度减小不仅造成互连图案的线宽减少而且造成在这样的互连图案之间的线间距减少。对于正性抗蚀剂,抗蚀剂图案的宽度取决于在将要形成的互连图案之间的线间距。因此,半导体器件的整体尺度减少造成抗蚀剂图案的宽度减小。然而如上文提到的那样,抗蚀剂图案的纵横比(即抗蚀剂图案的厚度或者高度与它的宽度之比)需要维持在不大于约2:1,以便在图像显影过程中防止抗蚀剂图像破坏。因此,抗蚀剂厚度需要在亚60nm节点器件中对应地减少以便防止抗蚀剂层中的结构破坏。
另一方面,图案化的抗蚀剂层必须具有充足厚度以便为下覆电介质层提供有效掩避并且防止下覆电介质层的掩蔽区域在后续图案转移过程中受损。通常,下覆电介质层越厚,图案转移工艺所需时间越久而图案化的抗蚀剂必须越厚。
然而,互连缩放有数个不可缩放因素增加了一个或者多个下覆电介质层的厚度。例如,在CMOS器件结构中不同层的级间电介质(ILD)材料通常被级间盖层所覆盖。这些级间盖层用以维持ILD层的结构、处理和环境的整体性,并且它们各自具有不可缩放的范围从约300
Figure G2007800157612D0002152609QIETU
到约500的层厚度。对于另一例子,在各ILD层中的金属布线通常形成于如下金属衬垫之上,该金属衬垫提供在金属布线与ILD表面之间的良好粘合并且防止金属布线氧化而且避免金属离子扩散到ILD材料中。金属衬垫具有也不可缩放的范围从约100
Figure G2007800157612D0003152621QIETU
到约200
Figure G2007800157612D0003152628QIETU
的层厚度。对于又一例子,在各ILD层中的金属布线需要由如下互连盖层来覆盖,该互连盖层用以密封金属布线的顶表面并且同时形成用于其上后续沉积附加层的底层。互连盖层通常具有也不可缩放的范围从约300
Figure G2007800157612D0003152633QIETU
到约500
Figure G2007800157612D0003152636QIETU
的层厚度。上文所述这样的不可缩放因素明显限制下覆电介质层深度的可能减少。为了抗蚀剂图案成功转移到这样相对厚的下覆电介质层上,图案化的抗蚀剂必须具有充足厚度以经受延长的图案化转移工艺并且保护下覆电介质层的掩蔽区域。
一方面由整体半导体尺度的缩放而另一方面由电介质深度的不可缩放因素对抗蚀剂图案厚度施加的此类限制又限制了对可以用于将图案从抗蚀剂层转移到下覆ILD层的硬掩模结构的可能选择。
为了适应对抗蚀剂图案的厚度限制,两种硬掩模结构已经被常规地用于形成90nm节点结构,这两种硬掩膜结构包括基于TaN的金属硬掩模(MHM)结构和包含几乎无摩擦碳层(通常称为NFC,该NFC是商业上产自Japan Synthetic Rubber的氢化类金刚石碳膜)的基于低温氧化物(基于LTO)的硬掩模结构。
基于TaN的MHM结构包含各自执行不同功能的层的复杂叠层。首先,在抗蚀剂层之下直接提供基于硅的抗反射涂覆(SiARC)层以在抗蚀剂成像步骤过程中吸收光刻光并且防止光反射回到抗蚀剂层中。SiARC层还增强在TaN MHM层与抗蚀剂层之间的粘合。第二,在SiARC层之下提供氮化物含量高的TaN层作为也为光学覆盖提供光学透明度的金属硬掩模层。第三,在TaN层之下提供类金刚石碳(DLC)层作为CMP停止层。最后,可以通过基于原硅酸四乙酯(TEOS)的工艺在ILD结构之上形成可选的氧化物层以提供用于基于TaN的MHM结构的粘合增强层和用于ILD结构的氧阻挡层。
在典型的基于TaN的图案转移工艺中,首先将抗蚀剂层涂敷到SiARC层上、然后通过基于光子束或者电子束的光刻来显影以在其中形成所需图案。将抗蚀剂层中的图案通过RIE技术转移到SiARC层、TaN MHM层和DLC层中。在RIE工艺过程中,抗蚀剂层被消耗,并且SiARC/TaN-MHM/DLC叠层后续用作硬掩模以将图案进一步转移到ILD层中。
在图案从SiARC/TaN-MHM/DLC硬掩模叠层转移到ILD层的过程中可能出现图案损坏。由于TaN MHM层的厚度不足以在RIE工艺过程中保护ILD层的掩蔽区域,所以在RIE工艺过程中添加高度可聚合成分(比如CH3F)以防止TaN MHM层被过快侵蚀。然而,由于这样的可聚合成分形成的聚合物层在将要转移图案的侧壁上累积从而造成转移图案的关键尺度(critical dimension,CD)明显减少以及转移图案的表面粗糙度增加。基于TaN的图案转移工艺所造成的典型CD减少可能总计约25nm。CD减小不仅明显减少90nm节点和65nm节点器件中金属布线的有效尺寸而且造成45nm节点器件的图案转移完全失败。
解决CD减少问题的一种普遍方式是增加线宽以便补偿在RIE工艺过程中出现的线宽减少。然而,增加的线宽就给定的器件密度而言造成线间距对应减少,而减少的线间距又造成抗蚀剂宽度减少并且抗蚀剂纵横比增加。因而,这一方式在45nm节点器件或者亚45nm节点器件(其中器件密度很高)中不可避免地造成抗蚀剂破坏,因此不能成为用于此类器件的可行工艺补救。
基于LTO的硬掩模结构也包含如下层的复杂叠层,这些层从上到下包括用于抗反射和粘合增强的SiARC层、LTO硬掩模层和NFC辅助图案转移层。在典型的基于LTO的图案转移工艺中,将抗蚀剂层涂敷到SiARC层上并且通过基于光子束或者电子束的光刻来显影以在其中形成所需图案。然后将抗蚀剂层中的图案通过第一RIE步骤转移到SiARC层和LTO硬掩模层中。随后,将图案通过第二RIE步骤转移到NFC层。
选择NFC层的厚度使得SiARC/LTO/NFC叠层的组合厚度足以用作在图案转移过程中保护下覆ILD层的掩蔽区域的硬掩模。由于NFC辅助图案转移层包含有机CH,所以它的去除通常需要基于氧的RIE步骤。对于具有中等介电常数(k)到高k的稠密ILD材料,由于这样的稠密ILD材料抵御基于氧的RIE损坏,所以基于LTO/NFC的图案转移工艺是适合的。然而,当与低k或者超低k ILD材料一起使用时,基于LTO/NFC的图案转移工艺可能造成明显的图案损坏(这些损坏可能总计为转移图案关键尺度增加25nm),因为低k或者超低k ILD材料特别容易受基于氧的RIE的攻击。
因此一直需要可以用于将抗蚀剂图案转移到低k或者超低k ILD材料中的改进图案转移方法和改进硬掩模叠层。
发明内容
本发明提供一种用于传送细节距的布线图案的新颖方式。在本发明中提供的由初始光刻图案限定的初始关键尺度(CD)或者线宽比目标CD或者线宽小约10-15nm。减少的CD或者线宽造成线间距增加以及抗蚀剂图案的宽度增加。对应地,可以明显增加抗蚀剂图案的厚度(例如增加约40%)而不增加其纵横比。换而言之,增加抗蚀剂图案的竖直预算而不增加抗蚀剂破坏的风险。通过减少光刻CD而获得的抗蚀剂总厚度允许明显简化硬掩模叠层。因此,本发明提供一种新颖的硬掩模叠层,该硬掩模叠层仅包括用于将光刻图案从图案化的抗蚀剂层转移到低k或者超低k级间电介质结构的下方氮化物(或者富含氮化物)掩模层和上方氧化物(或者富含氧化物)掩模层。这样的简化硬掩模叠层对于将抗蚀剂图案转移到低k或者超低k级间电介质层和对于在其中形成超细和超高密度布线而言是特别有用的。
可以在含氧蚀刻化学物用于硬掩模叠层反应离子蚀刻(RIE)的后续硬掩模开口工艺过程中重新获得起初减少的光刻CD。在蚀刻化学物中的氧气用以增加CD或者线宽以与目标CD基本上相同,并且它也用以在形成的开口中实现较低的边缘粗糙度。图案化的抗蚀剂层在硬掩模开口工艺过程中被部分地消耗。
随后,在如下后续RIE工艺过程中对低k或者超低k ILD层进行开口,在该后续RIE工艺过程中使用含氮的蚀刻化学物以实现低k或者超低k ILD材料相对于抗蚀剂和硬掩模叠层的更高蚀刻选择性。抗蚀剂在后续RIE工艺过程中被完全地消耗,并且无需进一步基于氧的剥离来去除抗蚀剂。以这一方式,保护低k或者超低k ILD层免受潜在氧损坏。可以通过基于异丙醇(IPA)的清洁和漂洗步骤来容易地去除主要包括断裂碳氟组合物的RIE残留物而不会造成CD损失。
概括而言,本发明实现了对如下更厚的抗蚀剂层和更简易的硬掩模叠层的使用,该抗蚀剂层提供了更高的抗蚀剂完整性并且减少了抗蚀剂破坏的风险,而该硬掩模叠层用于将光刻图案转移到低k或者超低k ILD层中而不会减少或者不会以别的方式损害在低k或者超低k ILD层中形成的最终图案的CD。
在一个方面中,本发明涉及一种用于在级间电介质结构中形成目标关键尺度的互连图案的方法,该方法包括:
形成包括一个或者多个级间电介质层的级间电介质(ILD)结构,各级间电介质层具有范围从约1.5到约3.5的介电常数;
在ILD结构之上形成硬掩模叠层,其中硬掩模叠层包括下方氮化物掩模层和上方氧化物掩模层;
在硬掩模叠层之上形成抗蚀剂层;
图案化抗蚀剂层以形成关键尺度比目标关键尺度小约5nm到约30nm的一个或者多个抗蚀剂开口;
通过抗蚀剂开口图案化硬掩模叠层以形成一个或者多个硬掩模开口,其中硬掩模开口具有目标关键尺度;以及
通过硬掩模开口图案化ILD结构以形成与硬掩模开口对准并且由此也具有目标关键尺度的互连图案。
除非另有指明,否则如这里使用的字眼“氮化物”通常是指没有或者具有其它添加物的氮化硅(SiNx)或者富含氮的氧氮化硅(SiNxOy,其中x>y)。除非另有指明,否则如这里使用的字眼“氧化物”通常是指没有或者具有其它添加物的氧化硅(SiOx)或者富含氧的氧氮化硅(SiNxOy,其中x<y)。
优选地,通过使用含氧蚀刻化学物的第一反应离子蚀刻(RIE)步骤来图案化硬掩模叠层,而通过使用含氮蚀刻化学物的第二RIE步骤来图案化ILD结构。在本发明的一个具体实施例中,使用含氧蚀刻化学物来执行第一RIE步骤,而使用含氮蚀刻化学物来执行第二RIE步骤。图案化的抗蚀剂层在第一RIE步骤过程中被部分地消耗、然后在第二RIE步骤过程中被完全地消耗。因此,在ILD结构中形成互连图案之后无需进一步基于氧的抗蚀剂剥离步骤,并且可以避免对ILD结构的潜在氧损坏。可以通过使用基于异丙醇(IPA)的清洁和漂洗从互连图案容易地去除RIE蚀刻残留物。
优选地通过使用电子束光刻来图案化抗蚀剂层以形成减少的关键尺度的抗蚀剂开口。更优选地,抗蚀剂开口的关键尺度比范围通常从约20nm到约60nm的目标关键尺度小约10nm至约20nm。对应地,可以使用相对厚的抗蚀剂层(例如优选地具有范围从约500
Figure G2007800157612D0007152719QIETU
到约2000
Figure G2007800157612D0007152723QIETU
并且更优选地从约1000
Figure G2007800157612D0007152726QIETU
到约1600
Figure G2007800157612D0007152728QIETU
的厚度)而不增加抗蚀剂破坏的风险。
优选地,下方氮化物掩模层和上方氧化物掩模层各自具有范围从约100
Figure G2007800157612D0007152737QIETU
到约1000
Figure G2007800157612D0007152739QIETU
并且更优选地从约250
Figure G2007800157612D0007152742QIETU
到约500
Figure G2007800157612D0007152746QIETU
的厚度。
一个或者多个级间电介质层可以包括介电常数范围从约1.5到约3.5的低k或者超低k电介质材料。优选地,低k或者超低k电介质材料选自于无机电介质材料、掺杂碳的氧化物、掺杂氟的氧化物、氟硅玻璃(FSG)、电介质聚合物、有机硅酸盐材料、包含SiCOH的电介质材料、旋涂电介质材料、多孔电介质材料、非多孔电介质材料及其混合物或者组合物。优选地但是并非必然地,一个或者多个级间电介质层各自包括旋涂有机硅酸盐超低k电介质材料。
本发明的级间电介质结构还可以包括各自位于一个或者多个级间电介质层之一上并且各自相对于级间电介质层的蚀刻选择性至少为10:1的一个或者多个级间盖层。优选地但是并非必然地,级间盖层各自包括从有机倍半硅氧烷、氢化倍半硅氧烷、氢化-有机倍半硅氧烷、硅氧烷、倍半硅氧烷、碳化硅及其混合物或者组合物中选择的一种或者多种材料。
在另一方面中,本发明涉及一种包括位于级间电介质(ILD)结构之上的硬掩模叠层的结构,其中ILD结构包括各自具有范围从约1.5到约3.5的介电常数的一个或者多个ILD层,以及其中硬掩模叠层包括下方氮化物掩模层和上方氧化物掩模层。
优选地但是并非必然地,硬掩模叠层在其中包括延伸经过下方氮化物掩模层和上方氧化物掩模层的一个或者多个硬掩模开口,以及其中硬掩模开口具有范围从约20nm到约60nm的关键尺度。
更优选地,该结构还包括位于硬掩模叠层之上的图案化的抗蚀剂层。该图案化的抗蚀剂层可以具有范围从约500
Figure G2007800157612D0008152757QIETU
到约2000并且更优选地从约1000
Figure G2007800157612D0008152804QIETU
到约1600
Figure G2007800157612D0008152806QIETU
的厚度,而且它可以包括关键尺度比硬掩模开口的关键尺度小约5nm到约30nm的一个或者多个抗蚀剂开口。
另外,ILD结构包括与硬掩模开口对准并且由此也具有范围从约20nm到约60nm的关键尺度的互连图案。在本发明的一个具体实施例中,ILD结构至少包括位于第二ILD层之上的第一ILD层。第一ILD层具有延伸经过第一ILD层并且与硬掩模开口对准的一个或者多个较宽的线开口,而第二ILD层在其中具有一个或者多个较窄的过孔开口。
本发明的其它方面、特征和优点将从以下公开内容和所附权利要求中变得更完全地清楚。
附图说明
图1示出了根据本发明一个实施例的位于级间电介质结构之上的氧化物/氮化物硬掩模叠层的横截面图。
图2-图6图示了用于通过使用图1中所示氧化物/氮化物硬掩模叠层在级间电介质结构中形成单级金属互连的单大马士革工艺的示例步骤。
图7-图11图示了用于通过使用图1中所示氧化物/氮化物硬掩模叠层在级间电介质结构中在上级形成金属线而在下级形成金属过孔的双大马士革工艺的示例步骤。
具体实施方式
在以下描述中阐述比如特定结构、部件、材料、尺度、处理步骤和技术这样的诸多具体细节以便提供对本发明的透彻理解。然而,本领域技术人员将认识到没有这些具体细节也可以实施本发明。在其它实例中没有具体描述公知结构或者处理步骤以免使本发明难以理解。
将理解到,当作为层、区域或者衬底的单元称为在其它单元上/之上时,它可以直接地在其它单元上或者也可以存在中间单元。对照而言,当单元称为直接地在其它单元上/之上时,不存在中间单元。也将理解到,当单元称为在其它单元下/之下时,它可以直接地在其它单元下或者也可以存在中间单元。对照而言,当单元称为直接地在其它单元下/之下时,不存在中间单元。
本发明提供一种使用特别适合于将光刻抗蚀剂图案转移到亚45nm节点CMOS器件中低k或者超低k ILD结构的简易硬掩模叠层结构的新颖光刻和蚀刻方法。
图1示出了包括在ILD结构10之上形成的下方氮化物掩模层16和上方氮化物掩模层18的硬掩模叠层结构。
下方氮化物掩模层16包括氮化硅(SiNx)或者富含氮的氧氮化硅(SiNxOy,其中x>y)。下方氮化物掩模层16在上方氧化物层18的沉积过程中保护ILD结构10免受氧化。它也用以在后续CMP工艺过程中作为CMP停止层。优选地,氮化物掩模层16具有范围从约100
Figure G2007800157612D0009152831QIETU
到约1000
Figure G2007800157612D0009152834QIETU
并且更优选地从约300
Figure G2007800157612D0009152837QIETU
到约700
Figure G2007800157612D0009152839QIETU
的厚度。可以通过比如物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)和化学溶液沉积这样的常规氮化物沉积工艺,在ILD结构10之上容易地沉积氮化物掩模层16。
上方氧化物掩模层18包括氧化硅(SiOx)或者富含氧的氧氮化硅(SiNxOy,其中x<y)。氧化物掩模层18为其上将要形成的对光子束或者电子束敏感的抗蚀剂层(未示出)提供良好粘合表面,并且它防止下方氮化物掩模层16中的氮化物有害于抗蚀剂。优选地,上方氧化物掩模层18具有范围从约100
Figure G2007800157612D0010152854QIETU
到约1000
Figure G2007800157612D0010152857QIETU
并且更优选地从约300
Figure G2007800157612D0010152900QIETU
到约700
Figure G2007800157612D0010152903QIETU
的厚度。可以通过比如物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)和化学溶液沉积这样的常规氮化物沉积工艺,在ILD结构10之上容易地沉积上方氧化物掩模层18。
ILD结构10可以包括具有或者没有ILD盖层的任何数目的ILD层。图1中所示具体实施例包含第一和第二ILD层12A和12B以及第一和第二ILD盖层14A和14B。然而容易理解到,不以任何方式限制ILD结构10所含ILD层和ILD盖层的具体数目。
第一和第二ILD层12A和12B可以包括介电常数范围从约2.2到约3.5的低k电介质材料或者介电常数范围从约1.5到约3.0的超低k电介质材料。
在本发明的一个优选实施例中,第一和第二ILD层12A和12B各自包括一种或者多种超低k电介质材料,这些材料包括但不限于无机电介质、基于SiCOH的电介质组合物、掺杂碳的氧化物、掺杂氟的氧化物、氟硅玻璃(FSG)、电介质聚合物和有机硅酸盐材料。可以通过等离子体增强化学气相沉积(PECVD)或者通过旋涂方法来沉积这样的超低k电介质材料。PECVD超低k电介质材料的具体例子包括但不限于:产自Applied Materials的Black DiamondTM多孔SiCOH(BDII、BDIII)、产自Novellus Systems的CoralTM和产自ASM的AuroraTM ULK和ELK。旋涂超低k电介质材料层的具体例子包括但不限于:旋涂SiCOH材料,比如聚甲基硅氧烷、硅氧烷和产自Japan Synthetic Rubber(JSR)的5109、5117、5525、5530。产自Trikon Technologies的称为OrionTM的材料和产自ShipleyCompany的称为ZirconTM的材料也可以用于形成第一和第二ILD层12A和12B。特别地优选产自JSR的称为5109的旋涂有机硅酸盐材料。
取而代之,低k有机电介质材料也可以用于形成第一和第二ILD层12A和12B,比如商标为SiLKTM或者多孔SiLKTM的产自DowChemical Co.的商业可用的基于多孔或者非多孔有机聚合物的低k电介质材料、产自Honeywell Electronic Materials的GX-3T和GX-3p材料、聚芳醚等。
第一和第二ILD层12A和12B的厚度可以范围从约600
Figure G2007800157612D0011152933QIETU
到约5000。可以根据具体应用要求在这样宽的范围内选择第一和第二ILD层12A和12B的具体厚度。优选地但是并非必然地,第一和第二ILD层12A和12B各自具有范围从约500
Figure G2007800157612D0011152942QIETU
到约1000
Figure G2007800157612D0011152947QIETU
并且更优选地从约700到900
Figure G2007800157612D0011152956QIETU
的厚度。
ILD盖层14A和14B可以由提供相对于ILD层12A和12B的蚀刻选择性至少为10:1的一种或者多种材料形成。适当材料包括但不限于有机倍半硅氧烷、氢化倍半硅氧烷、氢化-有机倍半硅氧烷、硅氧烷、倍半硅氧烷、碳化硅及其混合物或者组合物。可以用于形成ILD盖层14A和14B的商用材料包括HOSP、HOSP BESt、AP6000、Ensemble、Etch Stop、Ensemble Hard Mask等。
优选地,ILD盖层14A和14B包括从四甲基环四硅氧烷(TMCTS)、八甲基环四硅氧烷(OMCTS)、衍生环三硅氧烷、衍生环五硅氧烷、乙基取代的环硅氧烷和衍生硅氧烷中选择的一种或者多种材料。更优选地,ILD盖层14A和14B包括OMCTS。
第一和第二ILD盖层可以各自具有范围从约50
Figure G2007800157612D0011153006QIETU
到约600
Figure G2007800157612D0011153009QIETU
、更优选地从约100
Figure G2007800157612D0011153015QIETU
到约500
Figure G2007800157612D0011153018QIETU
并且最优选地从约250
Figure G2007800157612D0011153021QIETU
到约350
Figure G2007800157612D0011153026QIETU
的厚度。
注意,ILD盖层14A和14B在本发明的级间电介质结构中是可选的。当通过可以经受CMP工艺的适当材料来形成ILD层12A和12B而无明显碟形效果时,本发明的级间电介质结构可以基本上由没有盖层的ILD层构成。
本发明所提供的氧化物/氮化物硬掩模叠层是与现有技术的基于TaN或者基于LTO/NFC的硬掩模叠层相比明显更简易的结构。
图2-图6图示了用于通过使用图1中所示氧化物/氮化物硬掩模叠层在级间电介质结构中形成单级金属互连的单大马士革工艺的实例步骤。
先参照图2,该图示出了在氧化物掩模层18的上表面之上形成具有一个或者多个开口的图案化的抗蚀剂层20。先通过在氧化物掩模层18的上表面之上沉积对光子束或者电子束敏感的抗蚀剂材料的匀厚层、继而通过常规基于光子束或者电子束的光刻和抗蚀剂显影步骤来形成图案化的抗蚀剂层20。可以用于形成本发明的图案化的抗蚀剂层20的对光子束或者电子束敏感的适当抗蚀剂材料在本领域中是公知的、因此这里不具体加以描述。如图2中所示,抗蚀剂层20优选地被图案化以形成关键尺度(CD)比将要形成的互连图案中的目标CD更小的抗蚀剂开口。抗蚀剂开口的减少的CD允许抗蚀剂层20具有比常规抗蚀剂层增加约40%的厚度。优选地,本发明的抗蚀剂层20具有范围从约500到约2000
Figure G2007800157612D0012153042QIETU
并且更优选地从约1000
Figure G2007800157612D0012153045QIETU
到1600
Figure G2007800157612D0012153050QIETU
的厚度。
作为用于在通常使用于45nm节点器件中的OMCT ILD结构中形成线宽50nm而节距为100nm的互连图案的本发明一个优选例子,提供厚度各自约为500
Figure G2007800157612D0012153057QIETU
的氧化物和氮化物硬掩模层18和16。厚度约为1200
Figure G2007800157612D0012153101QIETU
的低k OMCT ILD10位于氧化物和氮化物硬掩模层之下。对电子束敏感的抗蚀剂材料、优选为KRS抗蚀剂材料(即缩酮抗蚀剂系统,该系统是一种激活能量低的化学放大抗蚀剂材料,该抗蚀剂材料基于受缩酮保护的聚羟基苯乙烯并且对深超紫外线和电子束均敏感)涂敷于氧化物和氮化物硬掩模层之上以形成抗蚀剂层20。KRS抗蚀剂材料对电子束和深超紫外线光均具有敏感性并且可以用来在单个抗蚀剂层中提供中等分辨率(>100nm)和细分辨率(<100nm)的成像。
然后使用电子束来图案化KRS抗蚀剂层20以形成线宽为35nm而节距为100nm的抗蚀剂图案。KRS抗蚀剂层20优选地具有约1600的厚度,该厚度明显大于就50nm的线宽和100nm的节距而言约为1000
Figure G2007800157612D0013153117QIETU
的常规最大KRS抗蚀剂厚度。常规的1000
Figure G2007800157612D0013153129QIETU
KRS抗蚀剂对于1000(500
Figure G2007800157612D0013153125QIETU
氧化物和500
Figure G2007800157612D0013153132QIETU
氮化物)的硬掩模叠层18和16以及1200
Figure G2007800157612D0013153137QIETU
的OMCT ILD10的后续RIE图案化而言是不够的。然而,当抗蚀剂光刻CD从50nm减少到35nm时,如在本发明中那样KRS抗蚀剂厚度可以增加到1600
Figure G2007800157612D0013153141QIETU
从而为硬掩模18和16以及ILD10的后续图案化提供充足厚度。
如图3中所示,然后执行第一反应离子蚀刻(RIE)步骤以形成经过氧化物掩模层18和氮化物掩模层16的一个或者多个硬掩模开口2、由此暴露第一ILD盖层14A的上表面。优选地但是并非必然地,使用含氧蚀刻化学物来执行第一RIE步骤。
对于上述具体例子,可以在最高功率为200W而最低功率为100W的处理条件下以及利用如下处理气流、使用LAM4250XL工具通过第一反应离子蚀刻(RIE)步骤来对500氧化物和500
Figure G2007800157612D0013153150QIETU
氮化物的硬掩模层18和16进行开口:
CHF3:       20sccm
CF4:        60sccm
O2:         10sccm
Ar:         平衡。
氧气用以将硬掩模开口2的CD从35nm打开到50nm、由此将起初减少的光刻CD恢复回到目标CD。
随后,执行第二RIE步骤以形成经过ILD结构10的一个或者多个开口4、即如图4中所示在第一ILD层12A中或者在第一和第二ILD层12A和12B二者中形成开口4。优选地,使用氮蚀刻化学物执行该第二RIE步骤。
对于上述具体例子,可以在最高功率为500W而最低功率为400W的处理条件下以及利用如下处理气流、使用LAM4250XL工具通过第二RIE步骤来对1200的ILD/OMCT结构10进行开口:
C4F8:     3sccm
CHF3:     10sccm
N2:       100sccm
O2:       8sccm
Ar:       平衡。
在第二RIE步骤过程中附加的100sccm氮气增加了ILD结构10相对于抗蚀剂20以及硬掩模层18和16的RIE选择性。KRS抗蚀剂层20在第一RIE步骤过程中被部分地消耗而在第二RIE步骤过程中被完全地消耗。对应地,无需附加的基于氧的抗蚀剂剥离步骤以去除KRS抗蚀剂20。代之以使用基于异丙醇(IPA)的溶剂来漂洗掉RIE残留物。因此可以防止对ILD结构10的潜在氧损坏。
另外,在第二RIE步骤过程中仅去除氧化物掩模层18的上部,而充足厚度的余留氧化物掩模层在第二RIE步骤之后仍然存在。以这一方式,可以在余留氧化物掩模层上形成附加抗蚀剂层用于对ILD结构10的双大马士革处理。
可选地,传导衬垫或者阻挡层(未示出)可以沉积在第一ILD层12A中开口4的暴露表面上。可以使用常规沉积工艺来形成这一传导衬垫,例如化学气相沉积(CVD)、物理气相沉积(PVD)、溅射、镀覆、蒸发或者化学溶液沉积。传导衬垫可以是如氮化钽(TaN)的难熔金属氮化物和如钽(Ta)的难熔金属这样的双层。也可以使用TiN、WN、W、Re、Ru和类似金属材料。可选地,可以添加Si以形成线性三元金属合金如TiSiN。
然后如图5中所示在整个结构之上沉积匀厚金属材料层22、继而平坦化以去除过量金属材料以及氧化物/氮化物掩模层18和16、由此如图6中所示在第一ILD层12A的开口中形成金属互连24。
通过图2-图6所示单大马士革工艺而形成的金属互连24可以包括相对宽的金属线或者相对窄的金属过孔。金属互连层24可以包括从金属、金属合金、金属硅化物和金属氮化物中选择的任何一个或者多个适当的传导材料。优选地,金属互连层24包括一种或者多种金属成分,这些成分包括但不限于W、Cu、Al、Ag、Au及其混合物和合金。高度优选的互连金属是Cu。也可以使用基于Cu的合金,比如Cu-Ti和Cu-Sn合金。
使用常规平坦化工艺如化学机械抛光(CMP)工艺来执行匀厚金属层22的平坦化以及氧化物/氮化物掩模层18和16的去除。如图6中所示,在平坦化之后金属互连24的上表面与第一级间盖层14A的上表面近似地共面。
随后可以在互连24的上表面之上沉积扩散阻挡/蚀刻停止层(未示出)以完成互连级。扩散阻挡/蚀刻停止层可以由能够防止金属离子从金属互连24扩散到其上将要形成的下一ILD层中的任何适当绝缘材料组成。优选地但是并非必然地,扩散阻挡/蚀刻停止层包括从SiN、SiCN和SiC中选择的非晶态合金以及此类材料的氢化形式。
在本发明的另一实施例中,通过图7-图11所示双大马士革工艺在不同的ILD层中形成包括金属线和金属过孔这二者的金属互连。
具体而言,在图4中的第二RIE步骤3之后,如图7中所示,在整个结构之上沉积对光子束或者电子束敏感的附加抗蚀剂材料层26。如图8中所示,然后执行常规基于光子束或者电子束的光刻和抗蚀剂显影步骤以图案化附加抗蚀剂材料层26并且在其中限定与先前由图案化的第一抗蚀剂层20限定的相对较窄的过孔开口6B不同的相对较宽的线开口6A。
优选地执行第三RIE步骤以延伸线开口6A和过孔开口6B这二者。因此如图9中所示,线开口6A向下延伸经过氧化物/氮化物掩模层18和16、可选的第一ILD盖层14A和第一ILD层12A,而过孔开口6B向下延伸经过可选的第二ILD盖层14B和第二ILD层14B并且在下覆的ILD盖层14C上停止。当ILD结构10未包含任何ILD盖层时,过孔开口6B于是在第二ILD层12B之下的附加ILD层(未示出)上停止。第三RIE步骤还消耗附加抗蚀剂层26以及消耗氧化物掩模层18的至少一部分从而获得图10中所示结构。
如图10和图11中所示,然后执行金属沉积和平坦化步骤以在第一ILD层12A中形成相对宽的金属线24A而在第二ILD层12B中形成相对窄的金属过孔24B。金属线和金属过孔24A和24B可以由从金属、金属合金、金属硅化物和金属氮化物中选择的相同或者不同一种或者多种传导材料组成。优选地,金属线和金属过孔24A和24B包括一种或者多种金属成分,这些金属成分包括但不限于W、Cu、Al、Ag、Au及其混合物和合金。高度优选的互连金属是Cu。也可以使用基于Cu的合金如Cu-Ti和Cu-Sn合金。扩散阻挡/蚀刻停止层(未示出)也可以沉积在金属线24A的上表面之上以完成互连级。
尽管图1-图11示例地展示了根据本发明具体实施例的数个示例硬掩模结构和使用这样的示例硬掩模结构在ILD层中形成互连图案的示例处理步骤,但是清楚的是,本领域技术人员可以容易地修改这样的硬掩模结构以及处理步骤以便适应于与以上描述一致的具体应用要求。例如,尽管上文在硬掩模叠层中图示了单个氧化物掩模层和单个氮化物掩模层,但是清楚的是如果需要则本领域技术人员可以容易地修改这样的硬掩模叠层以提供附加氧化物/氮化物掩模层或者其它材料层。因此应当认识到本发明不限于上文说明的具体实施例而实际上在效用上延及任何其它修改、变化、应用和实施例,因而所有这样的其它修改、变化、应用和实施例将视为在本发明的精神和范围内。

Claims (22)

1.一种用于在级间电介质结构中形成目标关键尺度的互连图案的方法,所述方法包括:
形成包括一个或者多个级间电介质层的级间电介质结构,各级间电介质层具有范围从1.5到3.5的介电常数;
在所述级间电介质结构之上形成硬掩模叠层,其中所述硬掩模叠层包括下方氮化物掩模层和上方氧化物掩模层;
在所述硬掩模叠层之上形成抗蚀剂层;
图案化所述抗蚀剂层以形成关键尺度比所述目标关键尺度小5nm到30nm的一个或者多个抗蚀剂开口;
通过所述抗蚀剂开口图案化所述硬掩模叠层以形成一个或者多个硬掩模开口,其中所述硬掩模开口具有所述目标关键尺度;以及
通过所述硬掩模开口图案化所述级间电介质结构以形成与所述硬掩模开口对准并且由此也具有所述目标关键尺度的互连图案。
2.根据权利要求1所述的方法,其中通过使用含氧蚀刻化学物的第一反应离子蚀刻(RIE)步骤来图案化所述硬掩模叠层,以及其中通过使用含氮蚀刻化学物的第二RIE步骤来图案化所述级间电介质结构。
3.根据权利要求2所述的方法,其中所述图案化的抗蚀剂层在所述第一RIE步骤过程中被部分地消耗而在所述第二RIE步骤过程中被完全地消耗。
4.根据权利要求3所述的方法,其中在所述第二RIE步骤过程中仅去除所述硬掩模叠层的所述上方氧化物掩模层的上部。
5.根据权利要求2所述的方法,其中所述含氧蚀刻化学物和所述含氮蚀刻化学物包括从CHF3、C4F8和CF4中选择的处理气体。
6.根据权利要求5所述的方法,还包括通过使用基于异丙醇(IPA)的清洁和漂洗来去除RIE残留物。
7.根据权利要求1所述的方法,其中通过使用电子束光刻来图案化所述抗蚀剂层。
8.根据权利要求1所述的方法,其中所述抗蚀剂开口的关键尺度比所述目标关键尺度小10nm至20nm。
9.根据权利要求1所述的方法,其中所述目标关键尺度的范围从20nm到60nm。
10.根据权利要求1所述的方法,其中所述抗蚀剂层具有范围从
Figure FSB00000808553500021
Figure FSB00000808553500022
的厚度。
11.根据权利要求1所述的方法,其中所述下方氮化物掩模层和所述上方氧化物掩模层各自具有范围从
Figure FSB00000808553500023
Figure FSB00000808553500024
的厚度。
12.根据权利要求1所述的方法,其中所述下方氮化物掩模层和所述上方氧化物掩模层各自具有范围从
Figure FSB00000808553500025
Figure FSB00000808553500026
的厚度。
13.根据权利要求1所述的方法,其中在所述级间电介质结构中的所述一个或者多个级间电介质层各自包括从无机电介质材料、电介质聚合物、有机硅酸盐材料、包含SiCOH的电介质材料、旋涂电介质材料、多孔电介质材料、非多孔电介质材料及其混合物或者组合物中选择的一种或者多种材料。
14.根据权利要求13所述的方法,其中无机电介质材料包括掺杂碳的氧化物、掺杂氟的氧化物、氟硅玻璃(FSG)中的一种或多种。
15.根据权利要求1所述的方法,其中所述级间电介质结构还包括各自位于所述一个或者多个级间电介质层之一上并且各自相对于所述级间电介质层的蚀刻选择性至少为10∶1的一个或者多个级间盖层。
16.根据权利要求15所述的方法,其中所述一个或者多个级间盖层各自包括从硅氧烷、碳化硅及其混合物或者组合物中选择的一种或者多种材料。
17.根据权利要求16所述的方法,其中硅氧烷包括倍半硅氧烷。
18.根据权利要求17所述的方法,其中倍半硅氧烷包括有机倍半硅氧烷、氢化倍半硅氧烷、氢化-有机倍半硅氧烷中的一种或多种。
19.根据权利要求1所述的方法,还包括用金属材料填充所述级间电介质结构中的所述互连图案、继而平坦化以在所述级间电介质结构中形成金属互连。
20.根据权利要求1所述的方法,其中所述级间电介质结构至少包括位于第二级间电介质层之上的第一级间电介质层,以及其中所述级间电介质结构的图案化包括在所述第一级间电介质层中形成一个或者多个较宽的线开口而在所述第二级间电介质层中形成一个或者多个较窄的过孔开口的双大马士革工艺。
21.根据权利要求20所述的方法,其中所述双大马士革工艺包括:
通过所述硬掩模开口图案化所述第一级间电介质层以形成延伸经过所述第一级间电介质层的一个或者多个较窄的过孔开口;
在所述硬掩模叠层以及所述第一级间电介质层中的所述一个或者多个较窄的过孔开口之上形成附加抗蚀剂层;
图案化所述附加抗蚀剂层以形成延伸经过所述附加抗蚀剂层的一个或者多个较宽的线开口;以及
通过蚀刻来延伸所述较窄的过孔开口和所述较宽的线开口,其中所述较窄的过孔开口向下延伸经过所述第二级间电介质层,以及其中所述较宽的线开口向下延伸经过所述硬掩模叠层和所述第一级间电介质层。
22.根据权利要求20所述的方法,还包括用金属材料填充所述第一级间电介质层中的所述一个或者多个较宽的线开口和所述第二级间电介质层中的所述一个或者多个较窄的过孔开口、继而平坦化以在所述第一级间电介质层中形成金属线而在所述第二级间电介质层中形成金属过孔。
CN2007800157612A 2006-05-08 2007-05-03 用于低k或者超低k级间电介质图案转移的结构和方法 Expired - Fee Related CN101553907B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/429,709 2006-05-08
US11/429,709 US7695897B2 (en) 2006-05-08 2006-05-08 Structures and methods for low-k or ultra low-k interlayer dielectric pattern transfer
PCT/US2007/010770 WO2007133472A1 (en) 2006-05-08 2007-05-03 Structures and methods for low-k or ultra low-k interlayer dielectric pattern transfer

Publications (2)

Publication Number Publication Date
CN101553907A CN101553907A (zh) 2009-10-07
CN101553907B true CN101553907B (zh) 2012-08-29

Family

ID=38661569

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800157612A Expired - Fee Related CN101553907B (zh) 2006-05-08 2007-05-03 用于低k或者超低k级间电介质图案转移的结构和方法

Country Status (5)

Country Link
US (2) US7695897B2 (zh)
EP (1) EP2024993A4 (zh)
CN (1) CN101553907B (zh)
TW (1) TW200811996A (zh)
WO (1) WO2007133472A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008028037A (ja) * 2006-07-19 2008-02-07 Nec Electronics Corp 半導体装置の製造方法
US8124516B2 (en) * 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
US20100308380A1 (en) * 2009-06-05 2010-12-09 International Business Machines Corporation Dual damascene processing for gate conductor and active area to first metal level interconnect structures
US20110076623A1 (en) * 2009-09-29 2011-03-31 Tokyo Electron Limited Method for reworking silicon-containing arc layers on a substrate
US8455364B2 (en) * 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
CN102881650B (zh) * 2012-10-22 2017-12-15 上海集成电路研发中心有限公司 一种双大马士革结构的制作方法
US9281238B2 (en) 2014-07-11 2016-03-08 United Microelectronics Corp. Method for fabricating interlayer dielectric layer
DE102014115253A1 (de) * 2014-10-20 2016-04-21 Osram Opto Semiconductors Gmbh Verfahren zur Strukturierung einer Schichtenfolge und Halbleiterlaser-Vorrichtung
US9524935B2 (en) * 2015-05-13 2016-12-20 Globalfoundries Inc. Filling cavities in an integrated circuit and resulting devices
US9653345B1 (en) * 2016-01-07 2017-05-16 United Microelectronics Corp. Method of fabricating semiconductor structure with improved critical dimension control
US9947590B1 (en) * 2016-10-14 2018-04-17 Globalfoundries Inc. Method, apparatus, and system for using a cover mask for enabling metal line jumping over MOL features in a standard cell
KR102551034B1 (ko) 2018-09-07 2023-07-05 삼성전자주식회사 반도체 패키지 및 그 제조방법
US10840441B2 (en) 2018-09-14 2020-11-17 International Business Machines Corporation Diamond-like carbon hardmask for MRAM
US10607922B1 (en) 2018-10-24 2020-03-31 International Business Machines Corporation Controlling via critical dimension during fabrication of a semiconductor wafer
US10943791B2 (en) * 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation method and method for manufacturing a semiconductor device
WO2020097319A1 (en) * 2018-11-09 2020-05-14 3M Innovative Properties Company Nanostructured optical films and intermediates
CN112635397A (zh) * 2020-12-18 2021-04-09 华虹半导体(无锡)有限公司 通孔的制造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5980979A (en) * 1997-06-20 1999-11-09 Advanced Micro Devices, Inc. Method for consistently forming low resistance contact structures involving the removal of adhesion layer particles blocking via openings
US6724069B2 (en) * 2001-04-05 2004-04-20 International Business Machines Corporation Spin-on cap layer, and semiconductor device containing same
CN1505834A (zh) * 2001-02-28 2004-06-16 国际商业机器公司 包含多层旋涂多孔介电质的低k互连结构

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107177A (en) * 1999-08-25 2000-08-22 Siemens Aktienesellschaft Silylation method for reducing critical dimension loss and resist loss
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
US6720249B1 (en) * 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
US6287962B1 (en) * 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
US20020171147A1 (en) * 2001-05-15 2002-11-21 Tri-Rung Yew Structure of a dual damascene via
TW548736B (en) * 2001-07-12 2003-08-21 Nec Electronics Corp Semiconductor device fabricating method and treating liquid
US6555467B2 (en) * 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6726069B2 (en) * 2002-02-01 2004-04-27 Jonathan Machover Beverage bottle holder
JP4487566B2 (ja) * 2002-04-03 2010-06-23 日本電気株式会社 半導体装置及びその製造方法
US6872666B2 (en) * 2002-11-06 2005-03-29 Intel Corporation Method for making a dual damascene interconnect using a dual hard mask
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7071539B2 (en) * 2003-07-28 2006-07-04 International Business Machines Corporation Chemical planarization performance for copper/low-k interconnect structures
US7057287B2 (en) * 2003-08-21 2006-06-06 International Business Machines Corporation Dual damascene integration of ultra low dielectric constant porous materials
US20050064701A1 (en) * 2003-09-19 2005-03-24 International Business Machines Corporation Formation of low resistance via contacts in interconnect structures
US7091612B2 (en) * 2003-10-14 2006-08-15 Infineon Technologies Ag Dual damascene structure and method
US7088003B2 (en) * 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
US7009280B2 (en) * 2004-04-28 2006-03-07 International Business Machines Corporation Low-k interlevel dielectric layer (ILD)

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5980979A (en) * 1997-06-20 1999-11-09 Advanced Micro Devices, Inc. Method for consistently forming low resistance contact structures involving the removal of adhesion layer particles blocking via openings
CN1505834A (zh) * 2001-02-28 2004-06-16 国际商业机器公司 包含多层旋涂多孔介电质的低k互连结构
US6724069B2 (en) * 2001-04-05 2004-04-20 International Business Machines Corporation Spin-on cap layer, and semiconductor device containing same

Also Published As

Publication number Publication date
TW200811996A (en) 2008-03-01
US7695897B2 (en) 2010-04-13
EP2024993A4 (en) 2011-08-10
CN101553907A (zh) 2009-10-07
EP2024993A1 (en) 2009-02-18
US20100196806A1 (en) 2010-08-05
US20070259291A1 (en) 2007-11-08
WO2007133472A1 (en) 2007-11-22

Similar Documents

Publication Publication Date Title
CN101553907B (zh) 用于低k或者超低k级间电介质图案转移的结构和方法
US7064059B2 (en) Method of forming dual damascene metal interconnection employing sacrificial metal oxide layer
CN100501969C (zh) 形成互连结构和半导体器件的方法
US7541276B2 (en) Methods for forming dual damascene wiring for semiconductor devices using protective via capping layer
CN100530591C (zh) 形成互连结构的方法
JP4169150B2 (ja) 犠牲ハードマスクを用いて金属パターンを形成する方法
JP3390329B2 (ja) 半導体装置およびその製造方法
US20060246717A1 (en) Method for fabricating a dual damascene and polymer removal
US7285853B2 (en) Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
US20060024948A1 (en) Method of fabricating dual damascene interconnection
US10062645B2 (en) Interconnect structure for semiconductor devices
US6433436B1 (en) Dual-RIE structure for via/line interconnections
JP2011061228A (ja) ハイブリッド誘電体を備えた高信頼低誘電率相互接続構造
KR20030051720A (ko) 이중 상감 구조의 에칭 방법
JP2008502142A (ja) 相互接続構造の製造方法
JP2005136301A (ja) 半導体装置及びその製造方法
JP2003100724A (ja) 誘電体エッチング用アルミニウムハードマスク
US11488825B2 (en) Multi-layer mask and method of forming same
JP2003179136A (ja) デュアルダマシン半導体製造のためのマスク層及び相互接続構造
JP5047504B2 (ja) ビアキャッピング保護膜を使用する半導体素子のデュアルダマシン配線の製造方法
US7005375B2 (en) Method to avoid copper contamination of a via or dual damascene structure
US20220102143A1 (en) Metal Hard Masks for Reducing Line Bending
JP2009200256A (ja) 半導体装置の製造方法
KR101138082B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법
JP2005203429A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171107

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171107

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120829

Termination date: 20190503