TW200811996A - Structures and methods for low-K or ultra low-K interlayer dieletric pattern transfer - Google Patents

Structures and methods for low-K or ultra low-K interlayer dieletric pattern transfer Download PDF

Info

Publication number
TW200811996A
TW200811996A TW096115647A TW96115647A TW200811996A TW 200811996 A TW200811996 A TW 200811996A TW 096115647 A TW096115647 A TW 096115647A TW 96115647 A TW96115647 A TW 96115647A TW 200811996 A TW200811996 A TW 200811996A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
openings
interlayer dielectric
photoresist
Prior art date
Application number
TW096115647A
Other languages
English (en)
Inventor
James J Bucchignano
Gerald W Gibson
Mary B Rothwell
Roy R Yu
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200811996A publication Critical patent/TW200811996A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

200811996 九、發明說明: 【發明所屬之技術領域】 本發明大致關於形成在如國際半導體技術藍圖 (International Technology Roadmap for Semiconductor, ITRS)所稱之半導體元件的次 6〇奈米世代中之内連線圖 案,包括45奈米節點、33奈米節點與22奈米節點元件。 更特定地,本發明係關於微影光阻圖案化與光阻圖案轉移 至硬質光罩堆疊(hard mask stack ),且接著轉移至低 k(即,具有在約2.2至約3.5範圍之介電常數k)或超低 k(即,具有低於2.4之介電常數k)層間介電結構,以用於 在上述尺寸中形成至少一内連線圖案。 【先前技術】 在習知内連線圖案形成製程中,硬質光罩層首先形成 在ILD層(内連線圖案係形成於其中)上,且光或電子束 敏感光阻層接著覆蓋至硬質光罩上方。光阻層以光微影或 電子束微影術成相,接著使微影影像於光阻層中顯影成相 對應圖案。因為有機光阻層在影像顯影製程時的可崩續 (collapsible)特性,光阻圖案的深寬比(aspect raU〇)(即 光阻圖案的厚度或高度相對於其寬度)需要不大於約2:ι。 例如,針對60奈米光阻特徵,最大光阻層厚度為約 奈米。光阻圖案具有更巧的深寬比對於後續圖案轉移為期 望的,即較厚的光陣層係提供下方層中被遮蓋之區域有棱 多的保護,但其可造成在影像顯影製程期間於光阻層中非 5 200811996 期望的崩塌現象。 光阻層至 ILD層之圖案轉移典型地包含兩步驟。首 先,圖案自光阻層轉移至硬質光罩層。硬質光罩層執行兩 功能,即其一方面維持或強化所轉移圖案的深寬比,且另 一方面,其形成用於後續金屬線之化學機械研磨(CMP)的 終止層。接著,圖案自硬質光罩層轉移至ILD層。 在圖案轉移製程期間係使用硬質光罩層,因為有機光 阻材料並不適合藉由反應性離子蝕刻(RIE)而直接將圖案 轉移至ILD層。因此,藉由首先在相容於光阻加工之化學 環境中而將圖案自光阻層轉移至硬質光罩層,並特別地選 擇不相容於光阻加工之化學品而接著使用於ILD層的圖案 化,因而可造成金屬線的較佳影像輪廓與改善深寬比。 當互補金屬氧化物半導體(CMOS)元件持續從90奈米 節點與65奈米節點縮小至45奈米節點及更小時,整體内 連線尺寸因此自1 0 5奈米與8 0奈米縮小至6 0奈米且更 小。整體尺寸縮小對於光阻圖案尺寸增加顯著的限制。 一方面,在半導體元件中整體尺寸的降低不僅會導致 内連線圖案之線寬縮小,且亦會使得此等内連線圖案間之 線間距減少。對於正光阻(p 〇 s i t i v e r e s i s t ),光阻圖案之 寬度係由所形成内連線圖案間之線間距決定。因此,半導 體元件中整體尺寸縮小會造成光阻圖案的寬度縮小。然 而,如前所述,光阻圖案的深寬比(即,光阻圖案的厚度或 高度相對於其寬度)需要維持在不大於約2 :1,以避免光阻 圖案在影像顯影製程期間崩塌。因此,在次60奈米節點元 6 200811996 件中,光阻厚度需要相對地降低,以避免光阻層中的結構 崩塌。 另一方面,圖案化的光阻層必須具有足夠的厚度,以 對下方介電層提供有效遮罩,並且避免下方介電層之被遮 罩區域在後續圖案轉移期間受到損害。典型地,當下方介 電層愈厚,圖案轉移製程所需時間愈長,而圖案化光阻則 必須愈厚。 然而,在内連線縮小時有數個無法縮小的因素 (non-scalable factors)會增加下方介電層之厚度。例如, 在 CMOS元件結構中層間介電(ILD)材料之不同層係典型 地以層間覆蓋層來覆蓋之。這些内連線覆蓋層係用作為維 持ILD層之結構、處理與環境完整性,且其各自具有約300 埃(A)至5 00埃範圍間的厚度,其為不可縮小的。另一例子 中,在各個ILD層中的金屬線係典型地形成在金屬襯墊 上,該襯墊提供在金屬線與ILD表面間良好的黏著性,並 可避免金屬線的氧化且防止金屬離子擴散至ILD材料中。 金屬襯墊之層厚度係介於約1〇〇埃至200埃間,其亦為不 可縮小的。另一例子中,在各ILD層之金屬線需要由内連 線覆蓋層來覆蓋之,其作用為密封金屬線頂表面,且同時 形成底部層,而用於使其它層接續沉積在其上。内連線覆 蓋層典型地具有層厚度在約300埃至500埃之間,且其為 不可縮小。如上所述之該些不可縮小的因素顯著地限制下 方介電層的深度之可能降少。為了使光阻圖案成功地轉移 至此種相對厚之下方介電層上,圖案化光阻必須具有足夠 7 200811996 厚度以承受延長之圖案轉移製程’並保護下方介電層之被 遮罩區域。 此種對光阻圖案厚度的限制,一方面為整體半導體尺 寸的縮小,而另一方面為在介電深度之不可縮小因素,其 會轉而限制硬質光罩結構(其可用於自光阻層至下方ILD 層的圖案轉移)的可能選擇。 為了適應光阻圖案的厚度限制,傳統已使用兩種硬質 光罩結構以形成90奈米節點結構,其可包括TaN系 (TaN-based)金屬硬質光罩層(MHM)結構與低溫氧化物系 (LTO系)硬質光罩結構,其中LTO系硬質光罩含有接近無 摩擦力碳層(典型地係稱之為NFC,其為氫化類鑽石碳膜, 並且可自日本合成橡膠(Japan Synthetic Rubber)購得)。
TaN系MHM結構含有複雜堆疊層,且每一層係執行 不同作.用。首先,矽系抗反射塗覆(SiARC)層係直接地設 置在光阻層下方’以在光阻成像步驟過程中吸收微影光, 且避免光反射回光阻層。SiARC層進一步強化TaN MHM 層與光阻層之間的黏著。第二,具有高氮含量之TaN層係 設置於SiARC層下,以作為金屬硬質光罩層,其亦提供用 於光學重疊之光學透明度。第三,類鑽石碳(dian〇nd_Hke carbon,DLC)層係設置在TaN層下方以作為CMP終止層。 最後,選擇性氧化物層可藉由四乙基原石夕酸鹽 (tetraethylorthosilicate,TEOS)系製程而形成在 ilD 結構 上’以提供用於TaN系MHM結構之黏著強化層與用於ild 結構之氧阻障層。 200811996 在典型TaN系圖案轉移製程中,光阻層首先施加在 SlARC層上’且接著藉由基於光或電子束之微影術來顯 影’以在其中形成所需圖案。在光阻層中的圖案藉由RIE 技術轉移至SiARC層、TaN MHM層與DLC層中。在RIE 製程期間’光阻被消耗,且SiARC/TaN-MHM/DLC堆疊接 著使用為硬質光罩以進一步轉移圖案至ILD層中。 圖案損害可發生在自SiARC/TaN-MHM/DLC硬質光罩 堆豐圖案轉移至IDL層期間。因為在RIE製程期間,TaN MHM層不夠厚而無法保護ILD層之被遮蓋區域,故在rie 製程期間可添加高度可聚合成分(如ch3f)以避免TaN MHM層太快被腐蝕。然而,由此種可聚合成分形成之聚合 物層會累積在欲轉移之圖案側壁上,導致轉移圖案之關鍵 尺寸(CD)的顯著縮小,且增加轉移圖案表面上粗糙度。起 因於TaN系圖案轉移製程之典型CD縮小可相當於約25 奈米。CD縮小不只顯著地縮小金屬線在9〇奈米節點與65 奈米節點的有用尺寸,亦導致在45奈米節點元件之完整圖 案轉移失敗。 解決CD縮小問題的一般方法為增加線寬以補償在 RIE製程期間發生之線寬縮小現象❶然而,増加線寬會導 致在既定元件密度中相對的線間距降低,且縮小的線^距 會轉而導致光阻寬度降低且光阻深寬比升高。莊 、、、σ果,此方 法不可避免地導致在45奈米元件或次45奈米節點元件(其 中元件密度非常高)之光阻崩塌,且因此無法虑 %兩對於此種 元件的可實行製程補救。 200811996 LTO系硬質光罩結構亦含有複雜堆疊層,從頂部至底 部包括有:用於抗反射與黏著強化的SiARC層、LTO硬質 光罩層,與NFC第二圖案轉移層。在典型LTO系圖案轉 移製程中,光阻層係施加在SiARC層上,且藉由基於光或 電子束的微影術來顯影,以在其中形成所需圖案。在光阻
層中的圖案接著藉由第一 RIE步驟轉移至SiARC層與LTO 硬質光罩層中。接者’圖案藉由第二RIE步驟轉移至Nfc 層。 選定NFC層厚度使得SiARC/LTO/NFC堆 M作為硬質光罩以在圖案轉移期間保護下方ILD層之被遮 2區域。因為NFC第二圖案轉移層含有有機CH,其移除 型地需要氧系RIE步驟。對於具有中至高介電常數(匕) =高密度ILD #料,LT0/NFC系、圖案轉移製程係為適人 ♦,因為此種高密度ILD材料可抵抗氧系RIE損害。然而, :,用低k或超低k ILD材料時’ LT〇/NFC系圖案轉移製 成顯者圖案損害(其可相當於所轉移圖案之 Π加25奈米)’此乃因為低k至超…D材料二:
易遭到氧系RIE的攻擊。 I 因此對於可用於將圖案轉移至低k或超低kiLD 良圖案轉移方法與改良硬質光罩堆疊有持續的需要。 【發明内容】 本發明提供對於細微間 籍大、 、 法。在本發明提供藉由 距(fine pitch)線圖案轉移之新 初始微影圖案定義之初始關鍵 10 闹婼减小之微影c D s可在 200811996 尺寸(critical dimensions,CDs)或線寬係小於目標CDs 線寬約1 0至1 5奈米。縮小的C D s或線寬會導致線間隔 加以及光阻圖案的寬度增加。結果,光阻圖案的厚度可 著增加(如約 40%),而不會使深寬比增加。換言之,光 圖案的垂直厚度增加,而不會使光阻崩塌的風險增加。 縮小微影CDs所獲得之總光阻厚度係允許硬質光罩堆疊 著地簡化。因此,本發明提供新穎的硬質光罩堆疊,其 包括有下方氮化物(或富含氮化物)光罩層以及上方氧化 (或富含氧化物)光罩層,以將微影圖案自圖案化光阻層 移至低k或超低k層間介電結構。此種簡化的硬質光罩 疊對於將光阻圖案轉移至低k或超低k層間介電層且用 形成超細微與超高密度線於其中係特別有用的。 時恢復,其中含氧蝕刻化學條件係用於硬質光 應性離子蝕刻(RIE)。在蝕刻化學條件中的氧氣 加CDs或線寬至實質地與目標CDs相同,且其 到在所形成開口中較低的邊緣粗糙度。在硬質 程期間,圖案化光阻層係部分地被消耗。 ^接著,在後續RIE製程期間,低k或超低 進行開口’其中係使用含氮蝕刻化學條件以達 低k ILD材料對於光阻與硬質光罩堆疊之較 性。光阻在後續RIE製程期間為完全消耗,且 步的基於氧的剝離動作來移除光阻。在此方式 k或超低kILD層免受潛在氧氣的損害。主要 罩堆疊 係用作 亦用作 光罩開 k ILD 到低k 高餘刻 不需要 中,保 包括象 或 增 顯 阻 由 顯 僅 物 轉 堆 於 程 反 增 達 製 係 超 擇 低 化 11 200811996 合物片段之RIE殘餘物可藉由異丙醇系(IPA-based)清潔與 沖洗步驟而輕易地移除,且不會引起任何CD損失。 簡言之,本發明使得較厚光阻層之使用為可能,其提 供較佳光阻完整性且降低光阻崩塌的風險,並且提供可將 微影圖案轉移至低k或超低k ILD層的較簡單硬質光罩堆 疊,而不會縮小或以其他方法折衷形成於低k或超低k ILD 層中最終圖案的CD。 在一態樣中,本發明關於一種用於在層間介電結構中 形成具有目標關鍵尺寸之内連線圖案的方法,其至少包含: 形成一層間介電(ILD )結構,其包含一或多個層間 介電層,各個層間介電層之介電常數係介於約1 · 5〜約3 · 5 之間; 在ILD結構上形成一硬質光罩堆疊,其中該硬質光罩 堆疊包含一下方氮化物光罩層與一上方氧化物光罩層; 在硬質光罩堆疊上形成一光阻層; 圖案化該光阻層以形成一或多個光阻開口 ,該些光阻 開口之關鍵尺寸係小於目標關鍵尺寸約5奈米至約3 0奈 米; 透過光阻開口而圖案化硬質光罩堆疊,以形成一或多 個硬質光罩開口 ,其中該些硬質光罩開口具有目標關鍵尺 寸;以及 透過該些硬質光罩開口而圖案化ILD結構,以形成一 内連線圖案,且内連線圖案係與硬質光罩開口對準且藉此 12 200811996 亦具有目 在此 或富含氮 有其他添 一詞典? (SiNx〇y , 特別指明 較佳 步驟而圖 由第二反 學條件。 含氧蝕刻 化學條件 分地被消 掉。因此 進一步的 氧損害。 與沖洗步 光阻 成具有縮 關鍵尺寸 典型地介 較厚的光 厚度,且 標關鍵尺寸。 所使用之,,氮化物,,一詞典型地係指氮化矽(siNx) 之氮氧化矽(SiNx〇y,其中x>y),且具有咬不具 加物(除非特別指明)。在此所使用之,,氧化物” 这地係指氧化碎(Si0y)或富含氧之氮氧化石夕 其中x<y),且具有或不具有其他添加物(除非 )° 地,硬質光罩堆疊藉由第一反應性離子蝕刻(RIE) 案化’其使用含氧蝕刻化學條件,且ILI)結構藉 應性離子蝕刻步驟而圖案化,其使用含氮Z刻Z 在本發明之特定實施例中,第一 RIE步驟係使用 化學條件進行,且第二RIE步驟係使用含氮蝕刻 進行。在第一 RIE步驟期間,圖案化光阻層係部 耗,且接著在第二RIE步驟斯間則完全被消耗 ’在内連線圖案形成在ILD結構之後,並不需要 基於氧之剝離步驟,且可避免對ILD結構之潛在 RIE飯刻殘餘物可藉由異丙醇系(ipA_base(j)清潔 驟而輕易地移除。 層較佳地藉由使用電子束微影術來圖案化,以形 小的關鍵尺寸之光阻開口。更佳地,光阻開口之 係小於目標關鍵尺寸約1 〇奈米至2 〇奈米,其可 於約2 0奈米至6 0奈米之間。結果,可使用相對 阻層(如較佳地具有介於約5 0 〇埃〜2 0 0 0埃之間 更佳為介於約1000埃〜1600埃之間)而不會增加 13 200811996 光 的 埃 其 k 雜 含 非 地 有 層 於 非 係 倍 烷 、组 位 結: 於 阻崩塌的風險。 較佳地,下 厚度係介於約 〜500埃之間 方氮化物光罩層與上方氧化物光罩層各自 100埃〜!〇〇〇埃之間,且更佳係介於約25〇 -或多個層間介電層可包含低k或超低k介電材料, 介電常數介於約K5〜約3.5之間。較佳地,低让或超低 介電材料係選自由無機介電材料、碳摻雜氧化物、氟摻 氧化物、氟切玻璃(FSG)、A電聚合物、有機♦酸材料:
SlC〇H介電材料、旋轉塗佈介電材料、多孔介電材料、 多孔介電材料及其混合物或複合物所組成之群組。較佳 ’但非必需的’此一或多個層間介電層各包含旋轉塗佈 機石夕酸超低k介電材料。 本發明之層間介電結構可更包含一或多個層間覆蓋 ,其各自位於一或多個層間介電層之一者上,且各自對 層間介電層具有至少i 0: !之一蝕刻選擇性。較佳地,但 必需的,各個層間覆蓋層包含一或多個材料,該些材料 ^自有機石夕倍半氧烧(〇rgan〇 siisesqUi〇xane)、氫合石夕 半氧烷(hydrido silsesCjUi〇xane)、氫合有機矽倍半氧 矽氧烷、矽倍半氧烷、碳化矽及其混和物或複合物所 成之群組。 在其匕態樣中,本發明為關於一種結構,該結構包含 於層間介電(ILD)結構上的硬質光罩堆疊,其中該工⑶ 構包含一或多個iLD層,且各個ILD層之介電常數係介 、’勺1·5〜約3.5之間,且其中上述之硬質光罩堆疊包括下 14 200811996 方氮化物光罩層與上方氧化物光罩層。 較佳地,但非必需的,硬質光罩堆疊包含一或多個硬 質光罩開口在其中,其延伸穿過下方氮化物光罩層與上方 氧化物光罩層,且其中硬質光罩開口的關鍵尺寸係介於約 20奈米〜約60奈米之間。 更佳地,該結構更包含圖案化光阻層,其係位於硬質 光罩堆疊上方。圖案化光阻層之厚度係介於約5 00埃〜約 2 0 0 0埃之間,更佳地係介於約1 0 0 0埃〜約1 6 0 0埃之間, 且其可包含一或多個光阻開口,該些光阻開口的關鍵尺寸 係小於硬質光罩開口的關鍵尺寸約5奈米至約3 0奈米之 間。 再者,ILD結構包含内連線圖案,其係與硬質光罩開 口對齊,並藉此亦具有介於約20奈米〜約60奈米之間的 關鍵尺寸。在本發明之一特定實施例中,ILD結構包含位 於第二ILD層上的至少一第一 ILD層。第一 ILD層具有一 或多個較寬的線開口 ,其係延伸穿過其中且與硬質光罩開 口對齊,而第二ILD層具有一或多個較窄的通孔開口在其 中。 本發明之其他態樣、特徵與優點自接續揭露與所附申 請專利範圍將更為明顯。 【實施方式】 在下方描述中,提出多種特定細節,例如特定結構、 元件、材料、尺寸、處理步驟與技術,以提供對本發明之 15 200811996 完整了解。然而,熟習該項技術者可瞭解本發明可不需這 些特定細節而實施。在其它例子中,不詳細描述已知結構 或加工步驟以避免使本發明難以理解。 可瞭解的是當一元件為一層、區域或基板,並指稱其 位於另一元件’’上(on)’’或,,上方(over),,,則其可直接位於其 他元件上或亦可存在有中間元件。相反地,當一元件指稱 為’’直接在其他元件上”或”直接在其他元件上方,,,則不存 在有中間元件。亦可瞭解的是當一元件指稱為位於另一元 件”下方(beneath),,或,,下(under),,,其可為直接位於其他元 件下方或可存在有中間元件。相反地,當一元件指稱為” 直接在其他元件下方,,或,,直接在其他元件下”,則不存在有 中間元件。 本發明提供使用簡單硬質光罩堆疊結構之新穎微影術 " 方法其特別適合將微影光阻圖案轉移至在次4 5 奈米郎點CMOS元件之低k或超低k ILD結構。 第1圖顯示包括下方氮化物光罩層16與上方氧化物光 罩層18之硬質光罩堆疊結構,其形成在ILD結構10上方。 ^下方氣化物光罩層16包括氮化矽(SiNx)或富含氮之氮 氧化石夕(SiNn .y..
^ x y’其中x>y)。下方氮化物光罩層16保護ILD x籌1 0在上方氧化物光罩層丨8沉積期間不受到氧化。在 後續CMP製藉, 一 程期間,其亦作用為CMP終止層。較佳地, 氮化物光罩厚 曰16的厚度介於約1〇〇埃至約ι〇〇〇埃範圍 間,且更伟& ;丨於約30〇埃至約700埃範圍間。氮化物光 罩層 1 6 以 错由習知氮化物沉積製程(例如物理氣相沉積 16 200811996 (PVD)、化學氣相沉積(CVD)、電漿輔助化學氣相沉積 (PECVD)與化學溶液沉積)而可輕易沉積在ILD結構10上。 上方氧化物光罩層18包括氧化矽(Si Oy)或富含氧之氣 氧化矽(SiNxOy,其中xcy)。氧化物光罩層18提供良好點 著表面,以供光或電子束敏感光阻層(未圖示)形成於其 上,且其避免在下方氮化物光罩層16中之氮化物被光阻污 染。較佳地,上方氧化物光罩層18之厚度介於約ι〇〇埃至 約1 0 0 0埃範圍間,且更佳地介於約3 0 0埃至約7 〇 〇埃範圍 間。上方氧化物光罩層1 8可藉由習知氮化物沉積製程(例 如物理氣相沉積(PVD)、化學氣相沉積(CVD)、電製辅助化 學氣相沉積(PECVD)與化學溶液沉積)而可輕易沉積在ILD 結構10上。 ILD結構1〇包含任何數目的ILD層,且具有或不具有 iLD覆蓋層。如第1圖繪示之特定實施例含有第一與第一 ILD層12A、12B,以及第一與第二IL〇覆蓋層14八、i4B。 然而’其可容易了解的是ILD層與ILD覆蓋層的特定數目 (如IL D結構1 〇所含有者)不以任何方式限制之。 第一與第二ILD層12A、12B可含有低k介電材料, 其介電常數介於約2.2至約3.5之間’或含有超低k介電 材料’其介電常數介於約1·5至約3.0之間。 在本發明之一較佳實施例中,第一與第二ild層 12A、12B各包含一或多個超低k介電材料,包括但不限 於為:無機介電材料、SiCOH系介電混合物、碳摻雜氧化^ 物、氟摻雜氧化物、氟化矽玻璃(FSG)、介電聚合物與有機 17 200811996 石夕酸材料。此種超低k介電材料可藉由電漿辅助化學氣相 沉積(PECVD)或旋轉塗佈方法來沉積。PECvd超低k介電 材料的特定實施例包括但不限於為:來自應用材料公司 (Applied Materials )之 Black Diamond™ 多孔
SiCOH(BDII、BDIII),來自 Novellus System 之 Coral TM ; 與來自ASM之Aurora TM ULK與ELK。旋轉塗佈超低k 介電材料層的特定實施例包括但不限於為··旋轉塗佈 S i C Ο Η 材料,例如甲基矽倍半氧烷 (methylsilsesquioxane)、矽氧烷與來自日本合成橡膠(JSR) 之 5109、5117、5525、5530。已知為來自 Tricon Technologies 之Orion 的材料與已知為來自Shipley Company之 ZireonTM的材料亦可用於形成第一與第二ild層 12A、 12B。已知為來自JSR之5109的旋轉塗佈有機石夕酸材料係 為特佳者。 可替換地,低k有機介電材料,如來自D〇w Chemical Co.的商業可得之多孔或非多孔有機聚合物系
(polymer-based)低.k介電材料,商標名為siLKTM或多 孔 SiLKTM、得自 Honeyell Electronic Materials 的 GX-3T 與GX-3p材料、聚芳香醚等,該些材料亦可用於形成第一 與第二 ILD 層 12A、12B。 第一與第二ILD層12A、12B的厚度可介於約6〇〇埃 至約5000埃之間。第一與第二ILD層i2A、12B的特定厚 度可根據特定應用需求而選自此寬廣範圍。較佳地,但非 必需’第一與第二ILD層12A、12B各具有介於約500埃 18 200811996 至約1 0 0 0埃之間的厚度,且更佳係介於約7 〇 〇埃至約9 0 〇 埃之間。
第一與第二ILD覆蓋層14Α、14Β可藉由一或多種材 料形成,以提供對於第一與第二ILD層12Α、12Β至少1〇:1 之蝕刻選擇性。適當材料包括但不限於為:有機矽倍半氧 院、氫合矽倍半氧烷、氫合有機矽倍半氧烷、矽氧烷、矽 倍半氧院、碳化石夕及其混合物與複合物。可用於形成ILD 覆蓋層14Α、14Β之商業可得材料包括HOSP、HOSPBESt、 AP6000、Ensemble、Etch Stop、Esemble Hard Mask 等。 較佳地’ ILD覆蓋層MA、MB包括一或多種材料, 其係選自由四甲基環四矽氧烷(TMCTS)、八曱基環四石夕氧 燒(OMCTS)、衍生環三矽氧烷、衍生環戊矽氧烷、乙基取 代環碎氧烧與衍生>5夕氧烧所組成之組群。更佳地,ILD覆 蓋層 14A、14B 包含 OMCTS。 第一與第二ILD覆蓋層14A、14B各自之厚度介於約 5 〇埃至約6 0 0埃之間,更佳介於約1 〇 〇埃至約5 〇 〇埃之間, 且最佳介於約250埃至約350埃之間。 注意ILD覆蓋層14A、14B於本發明之層間介電結構 中係為選用的。當ILD層12A、12B由可在CMP製程生存 且沒有顯者碟形化效應(dishing effect)之適當材料形成 時’本發明之層間介電結構可主要由ILD層組成,而不具 有覆蓋層。 由本發明提供之氧化物/氮化物硬質光罩堆疊與先前 技術TaN系或LTO/NFC系硬質光罩堆疊相比,為顯著地 19 200811996 較簡化結構。 第2至6圖繪示藉由使用第1圖所顯示之氧化物/氮 化物硬質光罩堆疊,而用於形成在層間介電結構中之單層 金屬内連線的單鑲嵌製程之例示步驟。 首先參考第2圖,顯示在氧化物光罩層is之上表面上 形成具有一或多個開口之圖案化光阻層20。圖案化光阻層 2〇之形成係首先在氧化物光罩層is之上表面上沉積光或 電子束敏感光阻材料之毯覆層(blanket lay er ),接著藉由 習知基於光或電子束的微影術與光阻顯影步驟形成。可用 於形成本發明之圖案化光阻層20之適當光或電子束敏感 光阻材料為在該技術領域中熟知者,因此在此不再詳細描 述之。光阻層20較佳地係經圖案化以形成光阻開口,其關 鍵尺寸(CDs)係小於在欲形成内連線圖案中之目標關鍵尺 寸,如第2圖所示。光阻開口縮小的CDs允許光阻層20 具有比習知光阻層增加約40%之厚度。較佳地,本發明之 光阻層2 0的厚度介於約5 0 0埃至約2 0 0 0埃之間,且更佳 地介於1 0 0 0埃至約1 6 〇 〇埃之間。 作為本發明之用於形成内連線圖案的較佳實施例係在 OMCT ILD結構中具有5〇奈米線與1 〇〇奈米間距(pitch ), 其典型地用於45奈米節點元件,氧化物與氮化物硬質光罩 層18與16各具有約5〇〇埃之厚度。低kOMCTILDlO具 有約1200埃的厚度,並位於氧化物與氮化物硬質光罩層下 方。電子束敏感光阻材料,較佳為KRS光阻材料(如縮酮 (ketal)光阻系統,其為低活化能化學放大光阻材料,並基 20 200811996 於縮銅保護聚羥基苯乙烯以及對深紫外線與電子束敏 感),其係施加在氧化物與氮化物硬質光罩層上以形成光阻 層20。調光阻材料對於電子束與深紫外線光兩者皆具有 敏感性,且可用於在單一光阻層中提供中度解析度(>ι〇〇 奈米)與細微解析度(<1〇〇奈米)兩種成像。 電子束接著用於圖案化KRS光阻層20以形成35奈米 線寬與100奈米間距之光阻圖案。KRS光阻2〇較佳地具 有厚度約1 600埃,其顯著地較習知用於在1〇〇奈米間距的 50奈米線之約1〇00埃的最大KRS光阻厚度還來得厚。習 知1 000埃KRS光阻不足以用於1〇〇〇埃(5〇〇埃氧化物與
5 00埃氮化物)硬質光罩層18、16以及1200埃〇MCT ILD 10之後續RIE圖案化。然而,當光阻微影CDs自5〇奈米 縮小至35奈米,如本發明般,KRS光阻厚度可增加至16〇〇 埃,以提供足夠厚度而用於後續硬質光罩層18、16以及 ILD 10的圖案化。 第一反應性離子蝕刻(RIE)步驟接著進行以形成穿過 氧化物光罩層18與氮化物光罩層16之一或多個硬質光罩 開口 2,藉此暴露出第一 ILD覆蓋層14A之上表面,如第 3圖所示。較佳地,但非必需的,第一 rie步驟係使用含 氧餘刻化學條件來進行。 如對於上述之特定實施例,500埃氧化物與5〇〇埃氣 化物硬質光罩層18、16可藉由第一反應性離子餘刻(Rie) 步驟來進行開口,其係使用LAM 4250 XL工具,並伴隨 200W最大功率與100W最低功率之處理條件以及了述製程 21 200811996 氣體流速: chf3: 2 0 seem cf4: 60 seem 〇2: 10 seem Ar: 剩餘部份 氧氣作用為擴展硬質光罩開口 2之CDs自35奈米至 50奈米,藉此恢復初始縮小的微影CDs至目標CDs。 接著,進行第二RIE步驟以形成穿過ILD結構10的 一或多個開口 4,也就是說,在第一 ILD層12A (如第4 圖所示)或在第一與第二ILD層12A、12B兩者内。較佳 地,第二RIE步驟係使用氮蝕刻化學條件來進行。 至於上述之特定實施例,1 200埃ILD/OMCT結構10 可藉由第二反應性離子蝕刻(RIE)步驟進行開口,其係使用 LAM 425 0 XL工具,並伴隨500W最大功率與400W最低 功率之處理條件以及下述製程氣體流速: c4f8: 3 seem chf3: 10 seem n2: 100 seem 〇2: 8 seem Ar: 剩餘部份 在第二RIE步驟中所添加的100 seem氮氣係增加RIE 對ILD結構10相對於光阻層20與硬質光罩層1 8、16之 22 RIE步驟期間部矣 消耗^相應地,不 KRS光阻層2〇。 RIE殘餘物。因此 200811996 選擇性。KRS光阻層2〇在第— 且在在第二RIE步驟期間完全 基於氧的光阻剝除步驟以移除 異丙醇(IPA)系溶劑係用於沖洗 對於ILD結構10之潛在氧損害 再者,僅有氧化物光罩層18的上部在第二 期間被移除,且足夠厚度之剩餘氧化物光罩層名 步驟之後仍存在。在此方式中,針對ild結構 嵌處理,可在剩餘氧化物光罩層上形成額外光阻 選擇性地,導電襯墊或阻障層(未圖示)可识 ILD層12A的開口 4之暴露表面上。此導電襯考 知沉積製程形成,例如,化學氣相沉積(CVD)、 沉積(PVD)、濺鍍、電鍍、蒸鍍或化學溶液沉卷 墊可為雙層耐火金屬氮化物,例如氮化纽(TaN), 金屬,例如:鈕(Ta)、TiN、WN、w、Re、ru, 類似金屬材料。選擇性地’ Si可加入以形成三元 襯墊,如TiSiN。 毯覆金屬材料層22接著沉積在整個結構上 圖所示,接著進行平坦化以移除過量的金屬材料 /氮化物硬質光罩層18、16,藉此在第一 ILD > 口中形成金屬内連線24 ’如第6圖所示。 藉由繪示於第2炱6圖之單一鑲嵌製程所形 内連線24可包括較寬之金屬線或是較窄的金屬3 内連線24可包括任何適當的導電材料,其係選自 地消耗, 需要額外 替代地, ,可避免 RIE步驟 第 一 RIE 0之雙鑲 罾。 積在第一 可使用習 物理氣相 。導電襯 以及耐火 亦可使用 金屬合金 ,如第 5 與氧化物 譽12A開 成之金屬 i孔。金屬 I由金屬、 23 200811996 金屬合金、金屬矽化物與金屬氮化物所組成之群組。較佳 地,金屬内連線24包括一或多種金屬成分,其包括但不限 於為·· W、Cu、Al、Ag、Au及其混和物與其合金。高度較 佳的内連線金屬為Cu。亦可使用Cu系合金,如Cu-Ti與 Cu-Sn合金。 覆蓋金屬層 22之平坦化與氧化物/氮化物光罩層 1 8、1 6可使用習知平坦化製程來進行,例如化學機械研磨 (CMP)製程。在平坦化之後,金屬内連線24之上表面與第 一層間覆蓋層14A近乎共平面,如第6圖所示。 擴散阻障/蝕刻終止層(未顯示)可接續地沉積在内連 線24的上表面上,以完成内連線層。擴散阻障刻終止 層可含有任何適當的絕緣材料,其可避免金屬離子自金屬 内連線24擴散至欲形成於其上之鄰近ILD層。較佳地, 但非必需的,擴散阻障/蝕刻終止層包含非晶形合金,其 係選自SiN、SiCN與SiC,以及此種材料之氫化型式。 在本發明之其他實施例中,包括金屬線與金屬通孔兩 者之金屬内連線係藉由雙鑲嵌製程而形成於不同ILD層 中,其繪示於第7至11圖。 特定地,在第4圖之第二RIE步驟之後,光或電子束 敏感光阻材料26之額外層係沉積在整個結構上,如第 7 圖所示。接著進行習知之光或電子束微影術與光阻顯影步 驟,以圖案化光阻材料26之額外層,並且於其中定義相對 較寬的線開口 6A,而其與藉由圖案化第一光阻層20所先 前定義之相對較窄通孔開口 6B不同,如第8圖所示。 24 下方之額外ILD層(未圖示)上。第三RIE步驟進一步 額外光阻材料26以及至少部分之氧化物光罩層18, 形成第1 0圖所示之結構。 200811996 第二RIE步驟較佳地進行以延伸線開口 6a與通 口 6B兩者。因此,、線開口 6A延伸向下穿過氧化物/ 物光罩層18、16、選擇性的第一 ILD覆蓋| “A與 ILD層12A’而通孔開口 6B則向下延伸穿過選擇性的 ILD覆蓋層l4B與第二ILD層l2B,並且終止於下方 覆盍層14C上方,如第9圖所示。# ILD結構10不 任何ILD覆蓋層,通孔開口 6β則終止於第二ild層 接著進行金屬沉積與平坦化步驟,如第1〇與u 示,以在第一 ILD層12A中形成較寬金屬線24a,與 二ILD層12B中形成較窄金屬通孔24β。金屬線 屬通孔24B可包含相同或不同的導電材料,其係選自 屬、金屬合金、金屬矽化物與金屬氮化物所組成之群 較佳地,金屬線24A與金屬通孔24B可包含一或多種 成分,其包括但不限於為:w、Cu、A1、Ag、Au及其 物/、其a金。兩度較佳的内連線金屬為Cu。亦可使月 系a金,如Cu-Ti與Cu-Sn合金。擴散阻障/蝕刻終止/ 圖不)亦可沉積在金屬線24 A之上表面上以完成内連袭 ¥第1〜11圖繪示根據本發明之特定實施例之數 厂、1*生硬質光罩結構與使用此等例示性硬質光罩結構之 性製程步驟,以在ILD層中形成内連線圖案。清楚的 熟習該項技術者可容易地改良此種硬質光罩結構與製 孔開 氮化 第一 第二 ILD 含有 12B 消耗 因而 圖所 在第 與金 由金 組。 金屬 混和 ]Cu I (未 良層。 個例 例示 是, 程步 25 200811996 驟以符合特定應用需求,此係與上方描述為一致。例如, 當單一氧化物光罩層與單一氮化物光罩層緣示在上述硬質 光罩堆疊中,則清楚的是,熟習該項技術者如需要則可容 易地改良此種硬質光罩堆疊以提供額外氧化物/氮化物光 罩層或其它材料層。因此需認定的是,本發明不限制於在 上所述之特定實施例,但卻整體地延伸至任何改良、變化、 應用與實施例,且因此所有此種其它改良、變化、麻用與 實施例均視為在本發明的精神與範圍中。 【圖式簡單說明】 第1圖顯示根據本發明之一實施例,位在層間介電結 構上之氧化物/氮化物硬質光罩堆疊的剖面圖。 第2至6圖繪示藉由使用第i圖所顯示之氧化物/氮 化物硬質光罩堆疊,用於在層間介電結構中形成單層金屬 内連線之單鑲嵌製程的例示性步驟。 第7至11圖繪示藉由使用第!圖所顯示之氧化物 化物硬質光罩堆疊,用於在層間介電姓構中之i # a ^ ^ ' j ;丨电、、Ό構宁之上層形成金 、、、,下層形成金屬通孔之雙鑲嵌製程的例示性步驟。 明 說 #口 符開 件軍 元光 要質 主硬 6A線開口 10 ILD(結構) 12B 第二 ILD 層 4開口 6B開口 12A 第 一 ILD 層 14A(第一)ILD覆蓋層 26 200811996 14B(第二)ILD覆蓋層 14C ILD覆蓋層 16光罩層 18光罩層 2 0光阻層 22毯覆金屬(材料)層 24金屬内連線 24A金屬線 24B通孔 2 6光阻材料 27

Claims (1)

  1. 200811996 十、申請專利範圍: 1. 一種用於在一層間介電結構中形成一具有一目標 尺寸的一内連線圖案之方法,該方法包含: 形成一層間介電結構,其包含一或多個層間介電 各個該些層間介電層之介電常數係介於約 1 . 5〜約 3 . 間; 在該層間介電結構上形成一硬質光罩堆疊,其中 質光罩堆疊包含一下方氮化物光罩層與一上方氧化物 層; 在該硬質光罩堆疊上形成一光阻層; 圖案化該光阻層以形成一或多個光阻開口 ,該些 開口之關鍵尺寸係小於該目標關鍵尺寸約 5奈米至矣 奈米, 透過該些光阻開口而圖案化該硬質光罩堆疊,以 一或多個硬質光罩開口,其中該些硬質光罩開口具有 標關鍵尺寸;以及 透過該些硬質光罩開口而圖案化該層間介電結構 形成一内連線圖案,該内連線圖案係與該些硬質光罩 對準且藉此亦具有該目標關鍵尺寸。 2. 如申請專利範圍第1項所述之方法,其中該硬質光 疊係由使用一含氧蝕刻化學條件之一第一反應性離子 (RIE)步驟來進行圖案化,且其中該層間介電結構係藉 關鍵 層, 5之 該硬 光罩 光阻 )30 形成 該目 ,以 開口 罩堆 蝕刻 由使 28 200811996 用一含氮姓刻化學條件之一第二反應性離子蝕刻步驟來、 行圖案化。 ^ 3 ·如申請專利範圍第2項所述之方法,其中經圖案化之·_ 光阻層在該第一反應性離子蝕刻步驟期間係部分地消耗, 且在該第二反應性離子#刻步驟期間則完全地消耗。 4·如申請專利範圍第3頊所述之方法,其中僅該硬質光罩 堆疊之該上方氧化物光罩層的一上部在該第二離子反應性 &刻步驟期間被移除。 5·如申請專利範圍第2項所述之方法,其中該含氧蝕刻化 學條件與該含氮蝕刻化學條件兩者皆包含製程氣體,該些 製程氣體係選自由CHF3、匕?8與CF4所組成之群組。 6·如申請專利範圍第5項所.述之方法,更包含藉由使用異 丙醇系(IPA-based)清潔與、冲洗來移除反應性離子蝕刻的殘 餘物D 7·如申請專利範圍第1項所述之方法,其中該光阻層之圖 案化係藉由使用電子束微影術來進行。 8 ·如申請專利範圍第1項所述之方法,其中該些光阻開口 29 200811996 之關鍵尺寸係小於該目標關鍵尺寸約1 0奈米至約2 0奈米。 9. 如申請專利範圍第1項所述之方法,其中該目標關鍵尺 寸係介於約20奈米至約60奈米之間。 10. 如申請專利範圍第1項所述之方法,其中該光阻層之 厚度係介於約500埃(A )〜約2000埃之間。 11. 如申請專利範圍第1項所述之方法,其中該下方氮化 物光罩層與該上方氧化物光罩層之厚度各介於約100埃〜 約1 0 0 0埃之間。 12.如申請專利範圍第1項所述之方法,其中該下方氮化 物光罩層與該上方氧化物光罩層之厚度各介於約300埃〜 約700埃之間。 1 3 ·如申請專利範圍第1項所述之方法,其中在該層間介 電結構中的該些一或多個層間介電層係各自包含有一或多 個材料,該些材料係選自由無機介電材料、碳摻雜氧化物、 氟摻雜氧化物、氟化矽玻璃(FSG)、介電聚合物、有機矽酸 材料、含SiCOH介電材料、旋轉塗佈介電材料、多孔介電 材料、非多孔介電材料及其混合物或複合物所組成之組群。 30 200811996 14.如申請專利範圍第1項所述之方法,其中該層間介電 結構更包含一或多個層間覆蓋層,各個該些層間覆蓋層係 位於該些一或多個層間介電層之一者上,且各個該些層間 覆蓋層具有對於該些層間介電層至少10:1之一蝕刻選擇 性0 1 5 ·如申請專利範圍第1 4項所述之方法,其中各個該些一 或多個層間覆蓋層包含一或多個材料,該些材料係選自由 有機矽倍半氧烧(organo silsesquixane)、氫合石夕倍半氧烧 (hydrido silsesquixane)、氫合有機石夕倍半氧燒、石夕氧烧、 矽倍半氧烷、碳化矽及其混和物或複合物所組成之組群。 16·如申請專利範圍第1項所述之方法,更包含以一金屬 材料填充在該層間介電結構中之該内連線圖案,並接著平 坦化以在該層間介電層結構中形成一金屬内連線。 17·如申請專利範圍第1項所述之方法,其中該層間介電 結構包含至少一第一層間介電層,該第一層間介電層係位 於一第二層間介電層上,且其中該層間介電結構之圖案化 包含一雙鑲嵌製程,該製程在該第一層間介電層中形成一 或多個較寬的線開口 ,並在該第二層間介電層中形成一或 多個較窄的通孔開口。 31 200811996 18.如申請專利範圍第17項所述之方法,其中該雙鑲 程包含: 經由該些硬質光罩開口以圖案化該第一層間介電 藉以形成一或多個較窄的通孔開口 ,該些通孔開口係 穿過該第一層間介電層; 在該硬質光罩堆疊與在該第一層間介電層中之該 或多個較窄的通孔開口上形成一額外光阻層; 圖案化該額外光阻層以形成一或多個較寬的線開 該些線開口係延伸穿過該額外光阻層;以及 藉由蝕刻而延伸該些較窄的通孔開口與該些較寬 開口兩者,其中該些較窄的通孔開口係向下延伸穿過 二層間介電層,且其中該些較寬的線開口係向下延伸 該硬質光罩堆疊與該第一層間介電層。 1 9.如申請專利範圍第1 7項所述之方法,更包含以一 材料填充在該第一層間介電層中之該些一或多個較寬 開口與在該第二層間介電層中之該些一或多個較窄的 開口,並接著平坦化以在該第一層間介電層中形成金 並且在該第二層間介電層中形成金屬通孔。 20. —種結構,該結構包含位於一層間介電結構上的 質光罩堆疊,其中該層間介電結構包含一或多個層間 層,且各個該些層間介電層之介電常數係介於約1.5 嵌製 層, 延伸 些«— 口 》 的線 該第 穿過 金屬 的線 通口 屬線 一硬 介電 〜約 32 200811996 3.5之間,其中該硬質光罩堆疊包括一下方氮化物光 與一上方氧化物光罩層。 21.如申請專利範圍第20項所述之結構,其中該下方 物光罩層與該上方氧化物光罩層各自的厚度係介於約 埃〜約1 000埃之間。 22 ·如申請專利範圍第2 0項所述之結構,其中該下方 物光罩層與該上方氧化物光罩層各自的厚度係介於約 埃〜約700埃之間。 2 3.如申請專利範圍第20項所述之結構,其中該硬質 堆疊包含一或多個硬質光罩開口於其中,且該些硬質 開口係延伸穿過該下方氮化物光罩層與該上方氧化物 層,又其中該些硬質光罩開口之關鍵尺寸係介於約20 〜60奈米之間。 24.如申請專利範圍第23項所述之結構,更包含一圖 的光阻層,該光阻層位於該硬質光罩堆疊上,其中該 化之光阻層的厚度係介於約500埃〜約2000埃之間, 中該圖案化之光阻層包含一或多個光阻開口,該些光 口之關鍵尺寸係小於該些硬質光罩開口之關鍵尺寸約 米〜約3 0奈米之間。 罩層 氮化 100 氮化 300 光罩 光罩 光罩 奈米 案化 圖案 且其 阻開 5奈 33 200811996 25 .如申請專利範圍第23項所述之結構,其中該層間 結構包含一内連線圖案,該内連線圖案係對齊該些硬 罩開口,藉此該内連線圖案之關鍵尺寸亦介於約2 0奈 約60奈米之間。 26.如申請專利範圍第25項所述之結構,其中該層間 層結構包含至少一第一層間介電層,該第一層間介電 位於一第二層間介電層上方,其中該第一層間介電層 一或多個較寬的線開口,該些線開口係延伸穿過其中 該些硬質光罩開口對齊,其中該第二層間介電層具有 多個較窄的通孔開口在其中。 2 7.如申請專利範圍第20項所述之結構,其中在該層 電結構中的該些一或多個層間介電層係各自包括一或 材料,該些材料係選自由無機介電材料、碳摻雜氧化 氟摻雜氧化物、氟化^夕玻璃(FSG)、介電聚合物、有機 材料、含SiCOH介電材料、旋轉塗佈介電材料、多孔 材料、非多孔介電材料及其混合物或複合物所組成之竞 2 8.如申請專利範圍第20項所述之結構,其中該層間 結構更包含一或多個層間覆蓋層,且各個該些一或多 間覆蓋層係位於該些一或多個層間介電層之一者上, 介電 質光 米〜 介電 層係 具有 且與 一或 間介 多個 物、 矽酸 介電 Μ且。 介電 個層 且各 34 200811996 個該些層間覆蓋層具有對於該些層間介電層至少1 0 :1之 一蝕刻選擇性。 2 9.如申請專利範圍第28項所述之結構,其中各個該些一 或多個層間覆蓋層包含一或多個材料,該些材料係選自由 有機矽倍半氧烷、氫合矽倍半氧烷、氫合有機矽倍半氧烷、 矽氧烷、矽倍半氧烷、碳化矽及其混和物或複合物所組成 之群組。 35
TW096115647A 2006-05-08 2007-05-02 Structures and methods for low-K or ultra low-K interlayer dieletric pattern transfer TW200811996A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/429,709 US7695897B2 (en) 2006-05-08 2006-05-08 Structures and methods for low-k or ultra low-k interlayer dielectric pattern transfer

Publications (1)

Publication Number Publication Date
TW200811996A true TW200811996A (en) 2008-03-01

Family

ID=38661569

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096115647A TW200811996A (en) 2006-05-08 2007-05-02 Structures and methods for low-K or ultra low-K interlayer dieletric pattern transfer

Country Status (5)

Country Link
US (2) US7695897B2 (zh)
EP (1) EP2024993A4 (zh)
CN (1) CN101553907B (zh)
TW (1) TW200811996A (zh)
WO (1) WO2007133472A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008028037A (ja) * 2006-07-19 2008-02-07 Nec Electronics Corp 半導体装置の製造方法
US8124516B2 (en) * 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
US20100308380A1 (en) * 2009-06-05 2010-12-09 International Business Machines Corporation Dual damascene processing for gate conductor and active area to first metal level interconnect structures
US20110076623A1 (en) * 2009-09-29 2011-03-31 Tokyo Electron Limited Method for reworking silicon-containing arc layers on a substrate
US8455364B2 (en) * 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
CN102881650B (zh) * 2012-10-22 2017-12-15 上海集成电路研发中心有限公司 一种双大马士革结构的制作方法
US9281238B2 (en) 2014-07-11 2016-03-08 United Microelectronics Corp. Method for fabricating interlayer dielectric layer
DE102014115253A1 (de) * 2014-10-20 2016-04-21 Osram Opto Semiconductors Gmbh Verfahren zur Strukturierung einer Schichtenfolge und Halbleiterlaser-Vorrichtung
US9524935B2 (en) * 2015-05-13 2016-12-20 Globalfoundries Inc. Filling cavities in an integrated circuit and resulting devices
US9653345B1 (en) * 2016-01-07 2017-05-16 United Microelectronics Corp. Method of fabricating semiconductor structure with improved critical dimension control
US9947590B1 (en) * 2016-10-14 2018-04-17 Globalfoundries Inc. Method, apparatus, and system for using a cover mask for enabling metal line jumping over MOL features in a standard cell
KR102551034B1 (ko) 2018-09-07 2023-07-05 삼성전자주식회사 반도체 패키지 및 그 제조방법
US10840441B2 (en) 2018-09-14 2020-11-17 International Business Machines Corporation Diamond-like carbon hardmask for MRAM
US10607922B1 (en) 2018-10-24 2020-03-31 International Business Machines Corporation Controlling via critical dimension during fabrication of a semiconductor wafer
US10943791B2 (en) * 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation method and method for manufacturing a semiconductor device
KR20210073604A (ko) * 2018-11-09 2021-06-18 쓰리엠 이노베이티브 프로퍼티즈 컴파니 나노구조화된 광학 필름 및 중간체
CN112635397A (zh) * 2020-12-18 2021-04-09 华虹半导体(无锡)有限公司 通孔的制造方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5980979A (en) 1997-06-20 1999-11-09 Advanced Micro Devices, Inc. Method for consistently forming low resistance contact structures involving the removal of adhesion layer particles blocking via openings
US6107177A (en) * 1999-08-25 2000-08-22 Siemens Aktienesellschaft Silylation method for reducing critical dimension loss and resist loss
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
US6720249B1 (en) * 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
US6287962B1 (en) * 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
US6724069B2 (en) 2001-04-05 2004-04-20 International Business Machines Corporation Spin-on cap layer, and semiconductor device containing same
US20020171147A1 (en) * 2001-05-15 2002-11-21 Tri-Rung Yew Structure of a dual damascene via
TW548736B (en) * 2001-07-12 2003-08-21 Nec Electronics Corp Semiconductor device fabricating method and treating liquid
US6555467B2 (en) * 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6726069B2 (en) * 2002-02-01 2004-04-27 Jonathan Machover Beverage bottle holder
CN1327507C (zh) * 2002-04-03 2007-07-18 日本电气株式会社 半导体器件及其制造方法
US6872666B2 (en) * 2002-11-06 2005-03-29 Intel Corporation Method for making a dual damascene interconnect using a dual hard mask
US6869542B2 (en) 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7071539B2 (en) 2003-07-28 2006-07-04 International Business Machines Corporation Chemical planarization performance for copper/low-k interconnect structures
US7057287B2 (en) 2003-08-21 2006-06-06 International Business Machines Corporation Dual damascene integration of ultra low dielectric constant porous materials
US20050064701A1 (en) 2003-09-19 2005-03-24 International Business Machines Corporation Formation of low resistance via contacts in interconnect structures
US7091612B2 (en) * 2003-10-14 2006-08-15 Infineon Technologies Ag Dual damascene structure and method
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
US7009280B2 (en) 2004-04-28 2006-03-07 International Business Machines Corporation Low-k interlevel dielectric layer (ILD)

Also Published As

Publication number Publication date
CN101553907B (zh) 2012-08-29
US20070259291A1 (en) 2007-11-08
US20100196806A1 (en) 2010-08-05
EP2024993A1 (en) 2009-02-18
EP2024993A4 (en) 2011-08-10
WO2007133472A1 (en) 2007-11-22
US7695897B2 (en) 2010-04-13
CN101553907A (zh) 2009-10-07

Similar Documents

Publication Publication Date Title
TW200811996A (en) Structures and methods for low-K or ultra low-K interlayer dieletric pattern transfer
US7064059B2 (en) Method of forming dual damascene metal interconnection employing sacrificial metal oxide layer
US7541276B2 (en) Methods for forming dual damascene wiring for semiconductor devices using protective via capping layer
US7285853B2 (en) Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
JP4169150B2 (ja) 犠牲ハードマスクを用いて金属パターンを形成する方法
US6458689B2 (en) Use of PE-SiON or PE-Oxide for contact or via photo and for defect reduction with oxide and w chemical-mechanical polish
US6406994B1 (en) Triple-layered low dielectric constant dielectric dual damascene approach
JP4230334B2 (ja) 半導体装置及びその製造方法
US20090075474A1 (en) Methods for forming dual damascene wiring using porogen containing sacrificial via filler material
CN1799138A (zh) 集成电路器件中的互连结构
TW201816845A (zh) 積體電路結構之製造方法
KR20030051720A (ko) 이중 상감 구조의 에칭 방법
US6620727B2 (en) Aluminum hardmask for dielectric etch
US8455348B2 (en) Manufacturing method of semiconductor device
JP2004319616A (ja) 半導体装置及びその製造方法
US11488825B2 (en) Multi-layer mask and method of forming same
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US6803314B2 (en) Double-layered low dielectric constant dielectric dual damascene method
CN1238892C (zh) 双重镶嵌结构的制造方法
TWI344676B (en) Poly silicon hard mask
US20060118955A1 (en) Robust copper interconnection structure and fabrication method thereof
JP4034115B2 (ja) 半導体装置の製造方法
JP2006216964A (ja) ビアキャッピング保護膜を使用する半導体素子のデュアルダマシン配線の製造方法
CN112951721A (zh) 用于光致抗蚀剂线粗糙度改善的沟槽蚀刻工艺
JP2003282704A (ja) デュアルダマシンによる半導体装置の製造方法