KR20030020852A - 화학 기계적 연마를 이용하여 반도체 장치를 제조하는 방법 - Google Patents

화학 기계적 연마를 이용하여 반도체 장치를 제조하는 방법 Download PDF

Info

Publication number
KR20030020852A
KR20030020852A KR1020020053189A KR20020053189A KR20030020852A KR 20030020852 A KR20030020852 A KR 20030020852A KR 1020020053189 A KR1020020053189 A KR 1020020053189A KR 20020053189 A KR20020053189 A KR 20020053189A KR 20030020852 A KR20030020852 A KR 20030020852A
Authority
KR
South Korea
Prior art keywords
film
conductive film
wiring
polishing
forming
Prior art date
Application number
KR1020020053189A
Other languages
English (en)
Inventor
쯔찌야야스아끼
Original Assignee
엔이씨 일렉트로닉스 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔이씨 일렉트로닉스 코포레이션 filed Critical 엔이씨 일렉트로닉스 코포레이션
Publication of KR20030020852A publication Critical patent/KR20030020852A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

반도체 장치를 제조하는 방법은 단계 (a) 내지 단계 (f) 를 포함한다. 단계 (a) 에서, 절연막을 반도체 기판 상에 형성한다. 단계 (b) 에서, 배선 트렌치를 도전막까지 연장되도록 형성한다. 단계 (c) 에서, 제 1 도전막을 형성하여 배선 트렌치의 내부 표면과 절연막을 피복한다. 단계 (d) 에서, 제 2 도전막을 형성하여 배선 트렌치를 충진하고 제 1 도전막을 피복한다. 단계 (e) 에서, 화학 기계적 연마 (CMP) 에 의해 제 1 도전막의 표면이 노출될 때까지 제 2 도전막을 제거한다. 단계 (f) 에서, 제 1 용액을 이용하여 제 2 도전막의 표면을 연마함으로써 제 2 도전막을 보호하는 제 1 보호막을 형성한다. 단계 (g) 에서, CMP에 의해 제 1 도전막과 제 2 도전막을 절연막의 표면이 노출될 때까지 제거한다.

Description

화학 기계적 연마를 이용하여 반도체 장치를 제조하는 방법{METHOD OF MANUFACTURING A SEMICONDUCTOR APPARATUS USING CHEMICAL MECHANICAL POLISHING}
본 발명은 반도체 장치를 제조하는 방법에 관한 것이다. 보다 상세하게는, 본 발명은 반도체 장치에서 구리 배선 패턴을 형성하는 방법에 관한 것이다.
칩 사이즈의 대형화 및 최소 가공 치수 (minimum machining dimension) 의 미세화는 배선으로부터의 기생 커패시턴스와 배선 저항을 급속도로 증가시키고, 그 결과, 배선 지연을 발생시킨다. 이를 해결하기 위해, 기생 용량을 감소시키는 저 유전 상수를 갖는 층간 절연막을 이용한다. 또한, 내부 저항을 감소시키기 위해 낮은 저항을 갖는 구리 배선을 이용한다. 즉, 저 유전 상수를 통한 커패시턴스 (C) 의 저하와 구리를 통한 저항 (R) 의 저하는 배선 지연을 감소시킬 수 있다 (∝RC).
배선 저항의 감소를 위해, 평균 배선 길이를 감소시키는 것 또한 중요하다. 평균 배선 길이는 배선층의 개수와 반비례하기 때문에, 배선을 다층 구조로 형성하는 다층 배선 기술이 중요하다. 이를 위해서, 하부층의 표면 상에 요철부를 형성하지 않고 다층 구조를 획득할 수 있는, 다마신 배선 구조 기술 및 화학 기계적 연마 (CMP) 가 중요하게 되었다.
CMP는, 반도체 장치를 제조하는 공정에서, 연마 재료를 이용하여 표면을 정밀하게 연마하는 단계이다. 하부층의 상부를 정밀하게 평탄화시켜 상부층 상에 요철 (凹凸) 부가 형성되지 않도록 한다. 이 제조 단계는 매우 정교한 단계이다. 즉, 연마 재료, 연마 조건, 연마 장치, 세정 방법 등에 대해 높은 기술이 필요하다.
이하, 통상의 기술의 CMP에서의 문제를 도 1a 내지 도 1d를 참조하여 설명한다.
도 1a 내지 1d는 다마신 배선을 형성하는 CMP 공정의 단계를 보여주는 단면도이다. 이는 기판 (101), 절연막 (102), 장벽막 (103), 배선막 (104) 및 배선 트렌치 (105) 를 도시한다.
기판 (101) 은 반도체 소자, 층간 절연막, 다마신 배선 등이 형성된 기판이다. 이는 실리콘으로 이루어진 반도체 기판, 또는 실리콘 디옥사이드 (silicon dioxide) 및 실리콘 니트라이드 (silicon nitride) 로 이루어진 절연막이 형성된 반도체 기판이어도 무방하다.
절연막 (102) 은 하이드로카본 (hydrocarbon) 의 폴리머와 같은 유기 재료또는 실리콘 디옥사이드와 같은 무기 재료를 이용한 절연막이다.
장벽막 (103) 은 금속 박막이다. 공정 중에 층간 절연막 (102) 이 플라즈마에 노출되는 것으로부터 보호하고, 또는, 배선막 (104) 이 절연막 (102) 으로 확산되는 것으로부터 보호한다. 이는 티타늄 니트라이드 및 탄탈륨 등으로 이루어진다.
배선막 (104) 은 전기 저항이 낮은 금속으로 이루어진 배선막이다. 이는 절연막 중의 배선 트렌치에 형성되고, 다마신 배선으로서 기능한다. 예를 들면, 구리로 이루어진다.
다음으로, 제조 단계를 도 1a 내지 1d를 참조하여 아래에 설명한다.
도 1a에서, 기판 (101) 상에 절연막 (102) 을 형성한다. 다음으로, 포토리소그래피 공정을 이용하여 배선 트렌치 (105) 를 형성한다. 그 다음으로, 장벽막 (103) 을 증착하여 배선 트렌치 (105) 의 내부 표면 및 절연막 (102) 을 피복한다. 그리고, 다음으로 장벽막 (103) 상에 배선막 (140) 을 형성한다.
도 1b에서, 장벽막 (103) 을 스토퍼 (stopper) 로 이용하는 CMP의 1차 연마 작업을 실시하여 배선막 (104) 을 연마한다. 동시에, 배선막 (104) 의 상부면을 장벽막 (103) 으로부터 제거하여, 장벽막(103) 의 표면을 노출시킨다.
도 1c에서, 장벽막 (103) 의 표면 및 배선 트렌치 (105) 중의 배선막 (104) 의 표면을 순수 (pure water) 를 이용하여 세정 (rinse) 한다. 이 세정 공정은 표면상에 남아있는 CMP 연마액을 세정한다.
도 1d에서, 절연막 (102) 을 스토퍼로 이용하는 CMP의 2차 연마 작업을 통해장벽막 (130) 을 연마한다. 그 결과, 상부면 상의 장벽막 (103) 및 배선막 (104) 이 절연막 (102) 으로부터 제거되어, 그 절연막 (102) 의 표면이 노출된다.
그러나, 도 1b의 단계에서는, CMP의 연마 작업은 배선막 (104) 의 표면 상에 약간의 피트 (106) 를 초래시킬 수 있다. 피트 (106) 에는 화학적 식각 작용에 의해 발생되고 식각된 피트의 경우 또는 연마 입자에 의해 초래되는 기계적인 국부 손상의 경우일 수 있다. 이러한 피트 (106) 는 순수 세정 단계 (도 1c) 후에도 제거되지 않고, 원 상태로 남는다 (피트 106′). 다음으로, CMP의 2차 연마 단계 (도 1d) 에서, 화학적인 연마 작용 또는 기계적 손상에 의해, 피트 (107) 가 더욱 커진다.
특히, CMP에 이용하는 연마액은 농도와 pH 등의 변화에 민감하게 반응하여 그 특성이 변화할 수 있다. 도 1b의 단계를 완료한 후, 도 1d의 단계를 시작할 때까지, 도 1c의 순수 세정 (pure water rinse) 단계를 포함하여 약 1분 정도가 소요된다. 반면에, 순수 세정 단계에서 연마 액을 완전하게 제거하지 않고 심지어 배선 표면에 적은 용액이라도 남아있으면, 순수의 효과를 포함한 화학적 특성은 크게 변화할 것이다. 이 경우, 배선 금속과 그 배선 금속 상에 CMP로형성된 보호막을 고 식각 속도로 식각하여 통상의 사용에서 나타나지 않도록 하여도 무방하다. 즉, 순수 세정 작업 및 보호막이 식각에 의한 피트 (106) 의 형성을 방지하지 못하는 경우가 있다.
예를 들면, 배선 트렌치 (105) 내의 배선막 (104) 을 좁은 부분에 형성하므로, 결정립 (grain) 크기가 매우 크지 않게 된다. 즉, 결정입계 (grainboundary) 부피에서의 속도가 높으므로, 결정입계 식각은 쉽게 발생되고, 피트 (106) 가 쉽게 발생하는 경향으로 이르게 된다. 따라서, 배선 트렌치 (105) 내의 배선막 (104) 의 표면에 식각 등에 의해 초래되는, 피트 (106) 의 발생을 억제할 수 있는 기술을 필요로 한다. CMP 의 배선막 (104) 의 순수 세정 작업의 단계는 다른 공정과의 관계, 생산량, 및 가격 등으로 인해 장시간동안 실시할 수 없다. 따라서, CMP의 중간 단계에서 단시간내에 배선 표면상의 식각을 억제하는 기술이 필요하다.
심지어, 도 1b에서 적은 피트 (106) 가 발생하고 도 1c에서 연마액을 완전하게 제거하지 않는다면, CMP (도 1d) 의 2차 연마 단계에 피트 (106) 의 증대를 억제하고 2차 연마 단계에서 피트 (106) 를 연마 및 제거할 수 있는 기술이 필요하다. 또한, 배선의 단면 영역이 위치에 상관없이 일정하고, 배선 저항이 낮고 안정된 다마신 배선을 형성하는 기술이 필요하다.
상기 언급된 문제와 관련하여, 일본 공개 특허 제 2001-89747호에서는 연마 성분 및 연마 방법을 개시하고 있다. 그 내용은 다음과 같다.
이 발명에서는, CMP를 실시할 때 연마 성분으로 벤조트리아졸 유도체를 함유하는 성분을 이용한다. 벤조트리아졸 유도체를 함유하는 성분을 이용하여 구리 CMP를 실시한다. 따라서, 구리 표면상에 보호막을 형성하므로, 연마 작업 후 부식이 회피된다. 또한, 이는 구리막 연마 속도를 억제하는 작용을 제공한다.
일본 특허 공개 제 2000-315666 은 반도체 집적 회로 장치를 개시하고 있다. 그 내용은 다음과 같다.
이 발명에서는, CMP를 실시할 때의 연마액으로 부식 방지제를 함유하는 연마액을 이용한다. 부식 방지제는 금속 표면에 내식성의 보호막을 형성하여 CMP에 의한 연마 진행을 억제하기 위한 화학 약품이다. 여기서, 벤조트리아졸을 이용한다. 구리 CMP를 실시하는 동안, 구리 표면에 보호막을 형성한다. 따라서, 연마 공정 후 부식을 방지한다. 또한, 구리막 연마 속도를 억제한다.
일본 특허 공개 제 2000-12543 호는 반도체 직접회로 장치를 제조하는 방법을 개시하고 있다. 그 내용은 다음과 같다.
이 발명에서는, CMP를 행할 때 연마 슬러리로서 벤조트리아졸을 함유하는 슬러리를 이용한다. 벤조트리아졸을 함유하는 슬러리를 이용함으로써 구리막의 표면을 보호할 수 있는 연마 작업이 가능해진다. 즉, 큰 생산량의 감소 없이 구리막의 부식과 디싱을 억제하는 구리막 연마가 가능하다.
일본 특허 공개 제 8-83780호에는 연마 재료와 연마 방법을 개시하고 있다. 그 내용은 다음과 같다.
이 발명에서는, CMP를 행할 때 연마 재료로서 벤조트리아졸을 함유하는 연마 재료를 이용한다. 벤조트리아졸을 함유하는 연마 재료의 이용은 구리막의 표면을 보호하고, 등방성의 화학 물리적 연마 작용을 억제한다. 즉, 연마된 막의 철 (凸) 표면을 기계적 연마 작업에 의해 제거할 수 있다. 따라서, 디싱이 적은 연마 작업이 가능하게 된다.
일본 특허 공개 제 8-64594호는 배선을 형성하는 방법을 개시하고 있다. 그 내용은 다음과 같다.
이 발명에서는, CMP를 행할 때 연마 입자 용액으로서 벤조트리아졸을 함유하는 연마 입자 용액을 이용한다. 이 벤조트리아졸을 함유하는 연마 재료를 이용함으로써 구리막의 표면을 보호하고 부식의 발생을 방지할 수 있다. 즉, 금속 배선 (연마 작업 중) 형성 중 및 형성 후에 표면 부식을 방지하여 품질 저하를 방지할 수 있다.
일본 특허 공개 제 5-315331 에는 반도체 장치를 제조하는 방법 및 그 세정 장치를 개시하고 있다. 그 내용은 다음과 같다.
이 발명에서는, 구리 배선 형성 후, 벤조트리아졸을 함유하는 수용액을 이용하여 구리 배선을 세정한다. 벤조트리아졸을 함유하는 수용액의 이용은 구리막 상에 보호막 (Cu-BTA) 막을 형성할 수 하고, 따라서, 구리 배선의 부식을 방지할 수 있다.
따라서, 본 발명의 목적은, 다마신 배선을 형성할 때, CMP 공정에서 다마신 배선 표면의 손상을 감소시키는 반도체 장치를 제조하는 방법을 제공하는데 있다.
본 발명의 다른 목적은, 다마신 배선을 형성할 때, CMP 공정에서 다마신 배선 재료의 결정 입계 식각을 억제 및 방지하는 반도체 장치를 제조하는 방법을 제공하는데 있다.
본 발명의 또 다른 목적은, 다마신 배선을 형성할 때, CMP 공정의 배선 재료의 불필요한 연마 작용을 억제하는 반도체 장치를 제조하는 방법을 제공하는데 있다.
본 발명의 또 다른 목적은, 다마신 배선을 형성할 때, CMP 공정의 물세정 작업 시의 순수 사용량 및 세정 시간이 감소시키는 반도체 장치를 제조하는 방법을 제공하는데 있다.
본 발명의 또 다른 목적은 배선 형성 시의 신뢰성을 향상하는 반도체 장치를 제조하는 방법을 제공하는데 있다.
도 1a 내지 도 1d는 종래 기술에서의 반도체 장치를 제조하는 단계를 도시하는 단면도.
도 2a 내지 도 2d는 본 발명에 따른 반도체 장치의 일 실시예에서, 반도체 장치를 제조하는 단계를 도시하는 단면도.
도 3은 본 발명에 따른 반도체 장치와 관련된 CMP 공정의 개념도.
도 4는 본 발명에 따른 반도체 장치와 관련된 CMP 공정을 도시하는 개략도.
*도면의 주요 부분에 대한 부호의 설명*
1, 101 : 기판
2, 102 : 절연막
3, 103 : 장벽막
4, 104 : 배선막
5, 105 : 배선 트렌치
6, 6′, 106 : 피트
7, 107 : 보호막
11, 111 : 반도체 장치
12 : 연마 헤드
13 : 연마 패드
14 : 패드 테이블
15 : 슬러리 공급 장치
16 : 슬러리
20 : CMP 장치
21 : 제 1 플래튼
22 : 제 2 플래튼
본 발명의 일 태양을 달성하기 위하여, 본 발명은 (a) 반도체 기판상에 절연막을 형성하는 단계; (b) 절연막내에 연장된 배선 트렌치를 형성하는 단계; (c) 배선 트렌치의 내부 표면을 피복하고 절연막을 피복하는 제 1 도전막을 형성하는 단계; (d) 배선 트렌치를 충진하고 제 1 도전막을 피복하는 제 2 도전막을 형성하는 단계; (e) 제 1 도전막의 표면이 노출될 때까지 제 2 도전막을 화학 기계적 연마 (CMP) 로 제거하는 단계; (f) 제 1 용액을 이용하여, 제 2 도전막의 표면을 연마함으로써, 제 2 도전막을 보호하는 제 1 보호막을 형성하는 단계; (g) 절연막의 표면이 노출될 때까지, 제 1 도전막 및 제 2 도전막을 CMP에 의해 제거하는 단계를 포함하는 반도체 장치를 제조하는 방법을 제공한다.
반도체 장치를 제조하는 방법은, (h) 도전막을 보호하기 위한 제 2 보호막을 형성하는 것과 같이 제 2 용액을 이용하여 제 2 도전막의 표면을 연마하는 단계를 더 포함한다.
반도체 장치를 제조하는 방법에서, 제 1 용액은 벤조트리아졸 또는 벤조트리아졸 유도체를 함유하는 수용액이다.
반도체 장치를 제조하는 방법에서, 제 2 용액은 벤조트리아졸 또는 벤조트리아졸 유도체를 함유하는 수용액이다.
반도체 장치를 제조하는 방법에서, 수용액중의 벤조트리아졸 또는 벤조트리아졸 유도체의 농도는 0.1 내지 0.01wt%이다.
반도체 장치를 제조하는 방법에서, 제 2 도전막은 구리를 함유한다.
본 발명의 다른 태양에 따른 반도체 장치를 제조하는 방법은, (i) CMP를 수행하는 플래튼이 구비된 제 1 플래튼 유닛에 반도체 기판을 제공하고, 반도체 기판은 절연막, 절연막내에 연장된 배선 트렌치, 배선 트렌치의 내부 표면을 피복하고, 절연막을 피복하도록 형성된 제 1 도전막, 및 배선 트렌치를 충진하고 제 1 도전막을 피복함으로서 형성된 제 2 도전막을 구비하는 단계; (j) 제 1 도전막의 표면이 노출될 때까지 제 2 도전막을 CMP에 의해 제거하는 단계; (k) 제 1 용액을 이용하여, 제 2 도전막의 표면을 연마하여, 제 2 도전막을 보호하는 제 1 보호막을 형성하는 단계; (l) 반도체 기판을 제 1 플래튼 유닛으로부터 제 2 플래튼 유닛까지 이동하는 단계; (m) 절연막의 표면이 노출될 때까지 제 1 도전막 및 제 2 도전막을 CMP에 의해 제거하는 단계를 포함한다.
반도체 장치를 제조하는 방법에서, 제 1 플래튼 유닛 및 제 2 플래튼 유닛은 동일한 장치 내에 설치된다.
반도체 장치를 제조하는 방법에서, (n) 도전막을 보호하기 위한 제 2 보호막을 형성하는 것과 같이 제 2 용액을 이용하여 제 2 도전막의 표면을 연마하는 단계를 더 포함한다.
반도체 장치를 제조하는 방법에서, 제 1 용액은 벤조트리아졸 또는 벤조트리아졸 유도체를 함유하는 수용액이다.
반도체 장치를 제조하는 방법에서, 제 2 용액은 벤조트리아졸 또는 벤조트리아졸 유도체를 함유하는 수용액이다.
반도체 장치를 제조하는 방법에서, 수용액중의 벤조트리아졸 또는 벤조트리아졸 유도체의 농도는 0.1 내지 0.01wt%이다.
반도체 장치를 제조하는 방법에서, 제 2 도전막은 구리를 함유한다.
반도체 장치를 제조하는 방법에서, (o) 반도체 기판상에 절연막을 형성하는 단계; (p) 절연막으로 배선 트렌치를 연장하여 형성하는 단계; (q) 배선 트렌치의 내부 표면을 피복하고 절연막을 피복하는 제 1 도전막을 형성하는 단계; (r) 배선 트렌치를 충진하고 제 1 도전막을 피복하는 제 2 도전막을 형성하는 단계; (s) 제 1 도전막의 표면이 노출될 때까지 제 1 조건하에서 화학 기계적 연마 (CMP) 로 제 2 도전막을 제거하는 단계; (t) 제 1 용액을 이용하여, 제 2 도전막의 표면을 연마하여, 제 2 도전막을 보호하는 제 1 보호막을 형성하는 단계; (u) 절연막의 표면이 노출될 때까지, 제 1 도전막 및 제 2 도전막을 CMP에 의해 제거하는 단계를 포함한다.
반도체 장치를 제조하는 방법에서, 제 1 조건은 제 2 조건과 다르다.
반도체 장치를 제조하는 방법에서, (v) 제 2 조건을 이용하여 도전막을 보호하기 위해 제 2 도전막의 표면상에 제 2 보호막을 형성하는 단계를 더 포함한다.
반도체 장치를 제조하는 방법에서, 제 1 용액은 벤조트리아졸 또는 벤조트리아졸 유도체를 함유하는 수용액이다.
반도체 장치를 제조하는 방법에서, 제 2 용액은 벤조트리아졸 또는 벤조트리아졸 유도체를 함유하는 수용액이다.
반도체 장치를 제조하는 방법에서, 수용액중의 벤조트리아졸 또는 벤조트리아졸 유도체의 농도는 0.1 내지 0.01wt%이다.
반도체 장치를 제조하는 방법에서, 제 2 도전막은 구리를 함유한다.
이하, 본 발명에 따른 반도체 장치를 제조하는 방법의 실시예를 첨부된 도면을 참조하여 설명한다.
일층 금속 배선을 갖는 반도체 장치를 예로 들어 본 실시예를 설명한다. 그러나, 본 발명은 다층 (multiple layer) 배선 구조를 갖는 반도체 장치뿐 아니라 각 층의 금속 배선에도 적용될 수 있다.
도 2a 내지 도 2d는 본 발명에 따른 반도체 장치를 제조하는 방법의 실시예를 보여주는 단면도이다. 도 2a 내지 도 2d는 반도체 장치 (11) 의 제조 단계를 연속적으로 도시한다.
도 2a 내지 도 2d의 반도체 장치 (11) 는 반도체 장치 (11) 의 단면을 선택적으로 나타낸 것이다. 이는 기판 (1), 절연막 (2), 장벽막 (3), 배선막 (4), 배선 트렌치 (5), 피치 (6, 6′), 및 보호막 (7) 을 구비한다.
본 발명에서는, 다마신 배선을 갖는 다층 (multiple layer) 배선 구조에 있어서, 배선 형성 공정에 필수적인 복수의 화학 기계적 연마 (CMP) 공정 사이에, 순수 세정 단계에 벤조트리아졸 처리를 추가함으로써 배선 형성의 신뢰성을 향상시킨다. 벤조트리아졸을 이용하는 처리에 의해, 배선 표면 상의 식각 작용을 감소시킬 수 있으므로, 어떠한 손상없는 배선을 형성할 수 있다.
이하, 도 2a 내지 도 2d의 내용을 설명한다.
반도체 기판으로 이용하는 기판 (1) 은, 반도체 소자, 및 배선 등이 형성된 기판이다. 실리콘으로 이루어진 반도체 기판, 또는 실리콘 디옥사이드, 및 실리콘 니트라이드와 같은 무기계 (inorganic system) 로 이루어진 절연막이 형성된 반도체 기판이어도 무방하다. 또는, 복수개의 배선 구조 및 소자 등이 매입된 절연막의 다층 구조가 구비된 반도체 기판이어도 무방하다. 본 실시예는, 실리콘 디옥사이드가 형성된 실리콘 기판이다.
절연막 (2) 은 기판 (1) 상에 스퍼터링법, CVD법, 및 스핀 코팅법 등으로 형성된 절연막이다. 무기 또는 유기의 저 유전율을 갖는 재료를 이용한다. 이는 배선들 사이, 배선과 소자, 및 소자간의 영역을 절연한다. 예를 들면, 실리콘 디옥사이드 및 실리콘 니트라이드와 같은 무기 재료를 이용한다. 또한, 유기 재료, 유기 그룹, 수소 (hydrogen), 하이드록실 그룹 (hydroxyl group), 및 불순물 등을 이용하여 도핑된 실리콘 디옥사이드를 이용해도 좋다. 이는 CMP를 통해 장벽막 (3, 후술) 을 연마할 때, 연마 스토퍼로서 기능한다. 이 막 두께는 약 500nm이다. 본 실시예에서는 2층 구조로 설계된다. 하부층은 하이드로 카본계의 폴리머로 이용되는 유기 재료를 이용하는 절연막이고, 상부층은 실리콘 디옥사이드와 같은 무기 절연막이다.
제 1 도전막으로서 장벽막 (3) 은 절연막 (2) 및 배선 트렌치 (5) 의 벽 표면 (내부 표면) 상에 스퍼터링법, 증착법, 도금법, 및 CVD법 등으로 형성된 금속 박막이다. 다마신 배선을 형성하기 위한 공정에서, 절연막 (2) 이 플라즈마 등에 의해 노출되는 것으로부터 보호한다. 또한, 배선막 (4) 이 절연막 (2) 으로 확산되는 것으로부터 보호한다. 이는 내화 금속 (고 용융점 금속) 또는 그 질화물로 이루어진다. 예를 들면, 탄탈륨, 탄탈륨 니트라이드, 티타늄 니트라이드, 및 그들로 구성된 다층막으로 이루어진다. 본 실시예에서, 이는 탄탈륨 니트라이드로 이루어지고, 막 두께는 약 30nm이다.
제 2 도전막으로서 배선막 (4) 은, 배선 트렌치 (5, 후술될 것임) 를 충진하여 장벽막 (3) 을 피복함으로써, 스퍼터링법, 증착법 및 도금법 등에 의해 형성된 금속막이다. 마지막으로, 배선 트렌치 (5) 에 형성된 부분은 다마신 배선이 된다. 배선은 전기 저항이 낮은 금속으로 이루어진다. 예를 들면, 구리, 알루미늄, 또는 텅스텐이 있다. 본 실시예에서는 구리를 이용한다. 통상, 배선 트렌치 (5) 의 형성 전 및 형성 직후의 막 두께는, 1300nm = 배선 트렌치 (5; 절연막 (2) 의 두께) 의 깊이에 대응하는 500nm + 배선 상의 800nm이다.
배선 트렌치 (5) 는 다마신 배선용 금속 배선이 형성된 트렌치이다. 포토 리소그래피 기술을 이용하여 절연막 (2) 내에 연장시킴으로써 형성한다. 본 실시예에서, 깊이는 500nm 이고 폭은 200nm이다.
피트 (6) 는 CMP 연마 작업의 1차 연마 작업 (배선막 (4) 을 장벽막 (3) 이 노출될 때까지 연마하는 작업) 에 의해 배선막 (4) 의 표면 상에 형성된 오목부이다. 피트 (6) 를 형성하는 이유는 다음과 같이 간주된다. 하나의 이유는,연마액중의 화학적 성분이 배선막 (4) 의 표면을 식각하기 때문이다. 다른 이유는, 연마액중의 화학적 성분이 결정 입계를 식각함으로써 결정립 입자들을 제거하기 때문이다. 또한, 연마 입자에 의한 손상 또한 피트 (6) 를 형성하는 것도 이유가 될 수 있다.
보호막 (7) 은 피트 (6) 가 형성된 배선막 (4) 의 표면 부분에 얇게 형성된 막이다. CMP 연마액의 식각 작용에 대한 내식성을 갖는다. 또한, 이는 CMP 연마 작업에 대한 확실한 저항을 갖는다. 이는 CMP 연마 공정에서 연마액의 식각 작용 또는 CMP 연마 공정에 의해 피트 (6) 가 더 크게 형성되는 것으로부터 보호하는 기능을 갖는다.
도 2c의 종래의 순수 세정 단계에, 그 순수 세정 단계에 더하여 보호막 (7) 형성 단계를 추가한다. 즉, 배선막 (4) 상에 순수를 공급하는 순수 세정 단계 후, 배선막 (4) 상에 보호막을 형성할 수 있는 보호 화학 용액을 공급하여 보호막 (7) 을 형성한다.
통상의 공정에서, CMP 연마액이 표면에 조금이라도 남아있으면, 순수는 순수 세정 공정에서 용액의 화학적 특성을 변화시킬 것이다. 이 경우에, 용액은 배선막 (14, 구리) 을 식각한다. 그러나, 본 발명의 공정에서는, 보호막 (7) 의 효과로 인해, 배선막 (14, 구리) 이 식각될 가능성을 차단할 수 있다. 동시에, 이는 존재하는 피트 (6) 를 보호할 수 있고, 또한 다음 CMP 연마 공정으로 식각이 진행되는 것으로부터 보호할 수 있다. 특히, 작은 결정립 입자와 많은 결정 입계를 포함하는 배선 트렌치 (5) 의 배선막 (4) 표면 부분에서, 결정 입계 식각을보호하는 효과는 크다.
보호 화학 용액으로서, 벤조트리아졸 또는 벤조트리아졸 유도체를 이용한다. 수용액에서, 벤조트리아졸 (BTA) 또는 벤조트리아졸 유도체의 농도는 0.01 내지 0.1wt%이다. 본 실시예에서는, 벤조트리아졸 0.04wt% 수용액을 이용한다. 이 때, 보호막 (7) 은 구리와 벤조트리아졸의 결합에 의해 형성되는 패시브 (passive) 상태막으로 간주된다.
도 3을 참조하여, 화학 기계적 연마 (CMP) 작업을 설명한다.
도 3은 CMP를 실시하기 위한 장치의 구성을 나타내는 단면도이다. 한 종류의 CMP 연마 작업을 위해, 도 3의 구성을 갖는 1세트의 장치를 구비한다. 이는 반도체 장치 (11), 연마 헤드 (12), 연마 패드 (13), 연마 테이블 (14), 슬러리 제공 장치 (15) 및 슬러리 (16) 를 포함한다.
반도체 장치 (11) 는 도 2a 또는 2c에 도시된 바와 같은 반도체 장치 (11) 이다 (기판 (1) + 절연막 (2) + 장벽막 (3) + 배선막 (4)). CMP를 실시하여 연마된 면은 연마 패드 (13) 측으로 배향하고, 반대면은 연마 헤드 (12) 에 의해 고정된다.
연마 헤드 (12) 는 반도체 장치 (11) 를 연마 패드 (13) 쪽으로 일정한 압력으로 밀어내면서 반도체 장치 (11) 를 고정한다. 또한, 장치 작업의 규칙성을 획득하기 위해, 연마 헤드 (12) 는 회전 운동 뿐 아니라 요동 (swing) 운동을 실시하는 경우도 무방하다.
패드 테이블 (14) 의 상부면에 연마 패드 (13) 를 배치하고, 후술할 슬러리(16) 를 유지하면서 반도체 장치 (11) 를 연마한다. 통상, 패드는 연신한 폴리우레탄 (polyurethane) 이다.
가능한한 온도에 의한 변형을 피하기 위해서, 패드 테이블 (14) 의 온도를 수분 냉각 시스템에 의해 조절한다. 또한, 강성이 강하고 선팽창 계수가 작은 재료를 이용한다. 예를 들면, 알루미나 세라믹이다.
슬러리 (16) 공급 장치 (15) 는 슬러리 (16) 의 연마 입자가 건조 또는 용매내에서 고형화되지 않도록 소망의 공급 속도를 유지하는 장치이다. 또한, 용매의 농도를 유지하는 장치이다.
도 3에 도시된 CMP 공정을 이용한 CMP 장치의 통상의 가동 조건은 다음과 같다.
반도체 장치 (11) 를 밀어내는 압력 : 4psi
연마 헤드 (12) 의 회전수 : 80rpm
연마 패드 (13) 의 회전수 (연마 테이블 (14)) : 80rpm
슬러리 공급 장치 (15) 로부터의 슬러리 유량 (15) : 200cc/min
본 발명에서는, 도 3에 나타난 CMP 장치로 CMP 장치를 한정하는 것은 아니다. 유사하게, 종래의 기술에서 이용하는 다른 장치를 이용할 수 있다. 또한, 본 발명에서는, 상술한 가동 조건으로 조건을 한정하지 않는다.
슬러리 (16) 는 배선막 (4) 과 장벽막 (3) 을 화학적 및/또는 기계적으로 연마하고 제거하는 연마 입자를 함유하는 화학 용액이다. CMP의 1차 연마 (절연막 (4) 을 연마) 의 경우와, 2차 연마 (장벽막 (3) 을 연마) 의 경우와 같이, 도전막의 CMP에 대해 알루미나 또는 망간 산화물과 같은 연마 입자를 갖는 슬러리를 이용한다. 그러나, 배선막 (4) 과 장벽막 (3) 에 대해 동일한 슬러리와 동일한 CMP 장치 조건을 이용할 필요는 없다. 또한, CMP에서 배선막 (4) 및 장벽막 (3) 을 연마 및 제거할 수 있으면, 슬러리를 그것들로 제한하지 않는다. 또한, 다른 슬러리 (예를 들면, 다른 연마 입자 또는 그 연마 입자를 함유하는 슬러리) 도 이용 가능하다.
이하, 도 4를 참조하여 CMP 장치 (20) 를 설명한다. 도 4는 CMP 장치 (20) 를 보여주는 개략도이다. CMP 장치 (20) 는 제 1 플래튼 유닛 (21) 과 제 2 플래튼 유닛 (22) 을 구성한다.
제 1 플래튼 유닛 (21) 및 제 2 플래튼 유닛 (22) 은 도 3에 도시된 CMP를 실시하기 위한 장치용으로 구비된다. 도 3에 도시된 기능을 포함하고, CMP 작업을 실행한다. 각 플래튼 유닛에 대해 CMP를 실시한다.
반도체 장치를 제조하기 위한 공정에서, 제 1 플래튼 유닛 (21) 은 이전 단계 (도시되지 않음) 로부터 운송 장치 (도시하지 않음) 를 통해, 반도체 장치 (11, 기판) 를 수용한다. 다음으로, CMP의 1차 연마 공정을 실시한다. 즉, 장벽막 (3) 이 노출될 때까지 배선막 (4) 을 연마한다. 다음으로, 통상의 순수 세정 공정과 함께, 벤조트리아졸을 이용하는 표면 처리 (연마, 보호막 (7) 형성) 를 제 1 플래튼 유닛 (21) 상에 실시한다. 다음으로, 제 2 플래튼 유닛 (22) 은 운송 장치 (도시하지 않음) 를 통해 반도체 장치를 수용한다. 다음으로, 2차 연마 공정을 실시한다. 2가지 CMP 공정을 완료한 후, 운송 장치 (도시하지 않음) 를 통해 반도체 장치 (11) 를 후단계 (도시하지 않음) 에 제공한다.
본 발명에서, 제 1 플래튼 유닛 (21) 은 1차 연마 작업을 통해 주로 배선막 (4) 을 연마하고, 그 표면에 보호막 (7) 을 형성한다. 다음으로, 제 2 플래튼 유닛 (22) 은 2차 연마 작업을 수행한다.
이하, 본 발명에 따른 반도체 장치를 제조하는 방법의 실시예에 대한 작업을 첨부된 도면을 참조하여 설명한다.
도 2a 내지 도 2d를 참조하면, 먼저, 기판 (1) 상에 절연막 (2) 을 스핀 코팅법으로 형성한다.
다음으로, 절연막 (2) 상에, 포토 리소그래피 공정에 의해 절연막 (2) 을 관통하고 기판 (1) 으로 연장되는 배선 트렌치 (5) 를 형성한다.
그 후, 배선 트렌치 (5) 의 내부 표면과 절연막 (2) 을 피복하도록, 스퍼터링법을 이용하여, 절연막 (2) 과 기판 (1) 상에 장벽막 (3) 을 형성한다.
계속하여, 배선막 (4) 을 형성하여 장벽막 (3) 을 피복하도록 도금법에 의해, 배선 트렌치 (5) 에 배선막 (4, 구리) 을 충진한다.
그 결과로서, 도 2a의 구조가 완성된다.
다음으로, 도 2a의 구조를 갖는 반도체 장치 (11) 에 대해, 기판 운송 장치 (도시하지 않음) 에 의해 반도체 장치 (11) 가 CMP 장치 (20) 의 제 1 플래튼 유닛 (21) 에 배치한다.
다음으로, 제 1 플래튼 유닛 (21) 상에 1차 연마 슬러리를 공급한다. 배선막 (4) 을 스토퍼로 이용하여, 배선막 (4, 구리) 의 CMP 의 1차 연마 작업을 제1 조건에 따라 실시한다. 즉, 배선막 (4, 구리) 내에 장벽막 (3) 으로부터 상부면 상의 필름을 제거하면, 절연막 (2) 상의 장벽막 (3) 이 노출된다.
1차 연마는 비교적 고속의 연마 속도에서 행한다. 즉, 연마액의 화학적 성능 (식각 등) 및 기계적 성능 (연마 입자등) 이 강하다. 따라서, 배선막 (4, 동) 의 표면에는, 식각에 의한 피트 (6) 가 형성되는 경우도 있을 수 있다. 경우에 따라, 입자가 손실되는 경우도 있을 수 있다. 이 상태를 도 2b에 도시한다.
다음으로, 도 2b의 구조에 대해, 제 1 플래튼 유닛 (21) 의 표면에 순수를 공급한다. 다음으로, 연마된 막 재료, 배선막 (4, 구리) 의 표면에 남아 있는 1차 연마액 및 장벽막 (3) 을 제거하여 세정한다.
다음으로, 제 1 플래튼 유닛 (21) 상에 보호 화학 용액으로서 벤조트리아졸 수용액을 공급한다. 다음으로, 제 1 용액 (보호 화학 용액, 벤조트리아졸 수용액) 을 이용하는 표면 처리 (연마, 보호막 (7) 을 형성) 를 수행하여, 피트 (6) 를 포함하는 배선막 (4, 구리) 의 표면 상에 보호막 (7) 을 형성한다.
그 다음, 다시 순수 세정 작업을 수행한다. 그 상태를 도 2c에 나타낸다.
도 2b에서 도 2c로 변화하는 순수 세정 (세정) 공정의 통상의 처리 조건은 다음과 같다.
(1) 순수 세정
반도체 장치 (11) 을 밀어내는 압력 : 1.5psi
연마 헤드 (12) 의 회전수 : 80rpm
연마 패드 (13, 패드 테이블 (14)) 의 회전수 : 80rpm
순수 유량 : 200cc/min
처리 시간 : 10초
(2) 보호막 (7) 형성
반도체 장치 (11) 를 밀어내는 압력 : 1.5psi
연마 헤드 (12) 의 회전수 : 80rpm
연마 패드 (13, 패드 테이블 (14)) 의 회전수 : 80rpm
벤조트리아졸 수용액 (0.04wt%) 유량 : 200cc/min
처리 시간 : 10초
도 2c에서, 보호 화학 용액 (벤조트리아졸 수용액) 을 이용하는 처리에 의해 보호막 (7) 을 형성한다. 보호막 (7) 은 배선막 (4) 의 구리의 결정 입계 및 구리/장벽막 (3) 계면을 보호하고 안정화시키는 효과를 갖는다. 즉, 결함을 감소시키고 전하 이동 저항을 향상시키는 효과를 갖는다.
다음으로, 도 2c의 구조에 대해, 기판 운송 장치 (도시하지 않음) 를 통해 반도체 장치 (11) 를 CMP 장치 (20) 의 제 2 플래튼 유닛 (22) 에 설치한다. 다음으로, 제 2 플래튼 유닛 (22) 상에 2차 연마 슬러리를 공급한다. 2차 조건 하에서, 절연막 (2) 을 스토퍼로서 이용하여 장벽막 (3) 과 배선막 (4) 의 CMP에의 2차 연마 작업을 실행한다. 즉, 배선 트렌치 (5) 의 내부를 제거한 절연막 (2) 상의 장벽막 (3) 과, 배선 트렌치 (5) 내의 절연막 (2) 의 두께 이상의 두께를 갖는 장벽막 (3) 및 배선막 (4) 을 제거한다. 절연막 (2) 의 표면이 노출된다. 이 상태를 도 2d에 나타낸다.
2차 조건 및 1차 조건은 전술한 CMP 장치의 CMP 공정의 통상 가공 조건과 거의 유사하다. 그러나, 2차 조건의 연마 속도는 1차 조건보다 느리다. 2차 연마 공정에서는 다마신 배선의 표면을 형성한다. 따라서, 정교한 표면을 획득하기 위해 2차 조건의 연마 속도는 느려야 한다.
도 2d에서, 1차 연마 작업에 의한 피트 (6) 는 보호막 (7) 막에 의해 식각으로부터 보호된다. 이 이유로, 1차 연마 작업 후 연마액이 남아있더라도, 2차 연마 공정으로 이동할 때까지 원 상태보다 크게 되지 않는다 (원 상태보다 크기가 크지 않도록 식각된다). 다음으로, 피트 (16) 의 깊이가 장벽막 (3) 이 두께 이하라면, 2차 연마 공정을 통해 배선막 (4) 의 표면층을 연마함으로써 피트 (6) 를 제거할 것이다.
2차 연마 공정이 완료된 후, 순수 세정 공정을 실행한다. 이 때, 순수 세정 작업 후, 제 2 용액 (전술한 보호 화학 용액 (벤조트리아졸 수용액 등)) 을 이용하여 표면 처리 (연마, 보호막 형성) 를 수행한다. 이 공정에 의해 보호막이 형성되기 때문에, 배선막 (4) 의 표면이 후속 공정 (도시하지 않음) 에서 손상되는 것으로부터 보호한다.
또한, 보호막 (7) 은 배선막 (4) 의 표면의 상태를 유지하고, 배선 트렌치 (5) 의 배선막 (4) 이 쉽게 연마되는 것으로부터 보호한다. 따라서, 2차 연마 공정의 배선막 (4) 의 표면에서 디싱 (dishing) 을 방지한다. 즉, 배선의 평탄한 표면 마무리를 획득할 수 있다. 따라서, 표면의 평탄성을 현저하게 향상시켜 다층화가 용이해지도록 한다. 다음으로, 배선 높이 (배선 저항) 의 분포가 작은 고정도의 배선을 형성할 수 있다.
몇몇 경우, 보호막 (7) 이 어느 정도 스크치된다. 심지어 완전하게 깎더라도, 2차 연마를 이용하여, BTA를 함유하는 슬러리를 보호막 (7) 에 형성한다. 즉, 2차 연마 공정동안, 심지어 2차 연마 공정 후에도 보호막 (7) 은 남아있다. 2차 연마 작업 후에도 보호막 (7) 이 남아있으면, 배선막 (4) 을 그 후에도 지속적으로 보호한다.
도 2a 내지 도 2d에 도시된 바와 같이, 1차 연마 작업, 순수 세정 작업, 벤조트리아졸 수용액 처리 (보호 화학 용액), 2차 연마 작업, 및 순수 세정 작업과 같은 지속적인 CMP 처리를 행한다. 또한, 필요하다면, 다른 벤조트리아졸 수용액 처리 (보호 화학 용액) 를 실시한다. 벤조트리아졸 수용액 처리를 위한 특별한 장치는 필요하지 않다. 다만, 순수 세정 작업 후 벤조트리아졸 용액만을 공급한다. 즉, CMP 장치에 특별한 개조를 할 필요가 없다. 제조 단계는 순조롭게 수행된다. 2가지 플래튼을 구비하고 연속 공정이 가능한 CMP 장치를 이용한다면, 하나의 장치만으로 일관성있는 CMP 처리를 수행할 것이다. 즉, 택트 시간 (tact time) 의 실질적인 증가가 없고, 생산량의 실질적인 저하가 없다. 따라서, 최소 가공 치수의 미세화와 함께 소자를 다층 구성으로 제조하는 경우에 효과적인 기술이 획득된다.
본 실시예에서, 순수 세정 작업 후 보호 화학 용액의 처리를 행한다. 그러나, 이들을 동시에 실시해도 무방하다.
본 발명에 따르면, 다마신 배선을 형성할 때, CMP 처리에서 다마신 배선의 표면상에의 결정 입계 식각을 피할 수 있다. 또한, 면 슬릿에서의 손상 및 피트 등을 피할 수 있으므로, 신뢰성을 향상시킨다. 즉, 배선의 단면 영역을 안정하게 할 수 있어 배선의 저항을 감소시킬 수 있으므로, 설계 상태를 만족하는 작은 값으로 배선의 저항을 감소시킬 수 있다.
보호막의 형성함으로써 다마신 배선을 형성할 때, CMP 공정에서의 배선 재료의 불필요한 연마 (디싱) 를 억제할 수 있으므로, 배선의 신뢰성을 향상할 수 있다. 따라서, 그 후의 공정에서, 배선막의 표면을 손상케하는 가능성을 감소시킴으로써 배선의 신뢰성을 향상시킨다.
또한, 배선의 생산량을 향상시켜, 반도체 장치 자체의 제조 생산량을 향상시키도록 한다. 즉, 신뢰성의 향상은 원가 절감을 가능케 한다.
또한, 다마신 배선을 형성할 때, 1차 연마 작업 후의 순수 세정 작업이 보호 화학 용액을 이용하는 공정으로 부분적으로 대체된다. 이 경우, 순수 및 세정 시간의 총 이용을 감소할 수 있다.
본 발명에 따르면, 다마신 배선을 형성할 때 다마신 배선의 표면 상의 결정 입계 식각을 피한다. 따라서, 측면 슬릿의 손상, 및 피트 등을 피함으로서 배선의 신뢰성을 향상시킨다.

Claims (20)

  1. 반도체 장치를 제조하는 방법에 있어서,
    (a) 반도체 기판상에 절연막을 형성하는 단계;
    (b) 상기 절연막으로 연장되는 배선 트렌치를 형성하는 단계;
    (c) 상기 배선 트렌치의 내부 표면을 피복하고 상기 절연막을 피복하도록 제 1 도전막을 형성하는 단계;
    (d) 상기 배선 트렌치를 충진하고 상기 제 1 도전막을 피복하도록 제 2 도전막을 형성하는 단계;
    (e) 상기 제 1 도전막의 표면이 노출될 때까지, 화학 기계적 연마 (CMP) 에 의해 상기 제 2 도전막을 제거하는 단계;
    (f) 제 1 용액을 이용하여, 상기 제 2 도전막의 표면을 연마함으로써 상기 제 2 도전막을 보호하는 제 1 보호막을 형성하는 단계; 및
    (g) 상기 절연막의 표면이 노출될 때까지, CMP에 의해 상기 제 1 도전막 및 상기 제 2 도전막을 제거하는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서, (h) 제 2 용액을 이용하여 상기 제 2 도전막의 상기 표면을 연마함으로써, 상기 도전막을 보호하기 위한 제 2 보호막의 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서, 상기 제 1 용액은 벤조트리아졸 또는 벤조트리아졸 유도체를 함유하는 수용액인 것을 특징으로 하는 방법.
  4. 제 2 항에 있어서, 상기 제 2 용액은 벤조트리아졸 또는 벤조트리아졸 유도체를 함유하는 수용액인 것을 특징으로 하는 방법.
  5. 제 3 항에 있어서, 상기 수용액중의 상기 벤조트리아졸 또는 상기 벤조트리아졸 유도체의 농도는 0.1 내지 0.01wt%인 것을 특징으로 하는 방법.
  6. 제 5 항에 있어서, 상기 제 2 도전막은 구리를 포함하는 것을 특징으로 하는 방법.
  7. 반도체 장치를 제조하는 방법에 있어서,
    (i) 절연막, 상기 절연막내에 연장된 배선 트렌치, 상기 배선 트렌치의 내부 표면을 피복하고 상기 절연막을 피복하도록 형성된 제 1 도전막, 및 상기 배선 트렌치를 충진하고 상기 제 1 도전막을 피복함으로서 형성된 제 2 도전막을 구비하는 반도체 기판을 CMP를 수행하는 플래튼을 구비하는 제 1 플래튼 유닛에 제공하는 단계;
    (j) 상기 제 1 도전막의 표면이 노출될 때까지, CMP에 의해 상기 제 2 도전막을 제거하는 단계;
    (k) 제 1 용액을 이용하여, 상기 제 2 도전막의 표면을 연마함으로써, 상기 제 2 도전막을 보호하는 제 1 보호막을 형성하는 단계;
    (l) 상기 반도체 기판을 상기 제 1 플래튼 유닛으로부터 상기 제 2 플래튼 유닛으로 이동하는 단계; 및
    (m) 상기 절연막의 표면이 노출될 때까지, CMP에 의해 상기 제 1 도전막 및 상기 제 2 도전막을 제거하는 단계를 포함하는 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서, 상기 제 1 플래튼 유닛 및 상기 제 2 플래튼 유닛은 동일한 장치 내에 설치된 것임을 특징으로 하는 방법.
  9. 제 8 항에 있어서, (n) 제 2 용액을 이용하여 상기 제 2 도전막의 상기 표면을 연마함으로써, 상기 도전막을 보호하기 위한 제 2 보호막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  10. 제 8 항에 있어서, 상기 제 1 용액은 벤조트리아졸 또는 벤조트리아졸 유도체를 함유하는 수용액인 것을 특징으로 하는 반도체 장치를 제조하는 방법.
  11. 제 9 항에 있어서, 상기 제 2 용액은 벤조트리아졸 또는 벤조트리아졸 유도체를 함유하는 수용액인 것을 특징으로 하는 방법.
  12. 제 10항에 있어서, 상기 수용액중의 상기 벤조트리아졸 또는 상기 벤조트리아졸 유도체의 농도가 0.1 내지 0.01wt%인 것을 특징으로 하는 방법.
  13. 제 12 항에 있어서, 상기 제 2 도전막은 구리를 포함하는 것을 특징으로 하는 방법.
  14. 반도체 장치를 제조하는 방법에 있어서,
    (o) 반도체 기판상에 절연막을 형성하는 단계;
    (p) 상기 절연막으로 연장되는 배선 트렌치를 형성하는 단계;
    (q) 상기 배선 트렌치의 내부 표면을 피복하고 상기 절연막을 피복하도록 제 1 도전막을 형성하는 단계;
    (r) 상기 배선 트렌치를 충진하고 상기 제 1 도전막을 피복하도록 제 2 도전막을 형성하는 단계;
    (s) 상기 제 1 도전막의 표면이 노출될 때까지 제 1 조건하에서 화학 기계적 연마 (CMP) 로 상기 제 2 도전막을 제거하는 단계;
    (t) 제 1 용액을 이용하여, 상기 제 2 도전막의 표면을 연마함으로써 상기 제 2 도전막을 보호하는 제 1 보호막을 형성하는 단계; 및
    (u) 상기 절연막의 표면이 노출될 때까지, 제 2 조건하에서 CMP에 의해 상기 제 1 도전막 및 상기 제 2 도전막을 제거하는 단계를 포함하는 것을 특징으로 하는 방법.
  15. 제 14 항에 있어서, 상기 제 1 조건은 상기 제 2 조건과 상이한 것을 특징으로 하는 방법.
  16. 제 15 항에 있어서, (v) 상기 도전막을 보호하기 위해 제 2 용액을 이용하여 상기 제 2 도전막의 상기 표면상에 제 2 보호막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  17. 제 15 항에 있어서, 상기 제 1 용액은 벤조트리아졸 또는 벤조트리아졸 유도체를 함유하는 수용액인 것을 특징으로 하는 방법.
  18. 제 16 항에 있어서, 상기 제 2 용액은 벤조트리아졸 또는 벤조트리아졸 유도체를 함유하는 수용액인 것을 특징으로 하는 방법.
  19. 제 17 항에 있어서, 상기 수용액중의 상기 벤조트리아졸 또는 상기 벤조트리아졸 유도체의 농도는 0.1 내지 0.01wt%인 것을 특징으로 하는 방법.
  20. 제 19 항에 있어서, 상기 제 2 도전막은 구리를 포함하는 것을 특징으로 하는 방법.
KR1020020053189A 2001-09-04 2002-09-04 화학 기계적 연마를 이용하여 반도체 장치를 제조하는 방법 KR20030020852A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2001-00268112 2001-09-04
JP2001268112A JP2003077921A (ja) 2001-09-04 2001-09-04 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
KR20030020852A true KR20030020852A (ko) 2003-03-10

Family

ID=19094144

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020053189A KR20030020852A (ko) 2001-09-04 2002-09-04 화학 기계적 연마를 이용하여 반도체 장치를 제조하는 방법

Country Status (4)

Country Link
US (1) US6831014B2 (ko)
JP (1) JP2003077921A (ko)
KR (1) KR20030020852A (ko)
TW (1) TW578199B (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1220259C (zh) 2001-12-27 2005-09-21 松下电器产业株式会社 布线结构的形成方法
CN1207773C (zh) 2001-12-27 2005-06-22 松下电器产业株式会社 布线结构的形成方法
CN1198331C (zh) 2001-12-27 2005-04-20 松下电器产业株式会社 布线结构的形成方法
JP2005209800A (ja) * 2004-01-21 2005-08-04 Fujitsu Ltd 半導体装置の製造方法
US7131740B2 (en) 2004-03-17 2006-11-07 Olympus Corporation Optical system and optical apparatus provided with the same
US7560416B2 (en) * 2005-09-21 2009-07-14 Riceco, Llc Synergistic composition and method of use
JP2008177180A (ja) * 2007-01-16 2008-07-31 Ebara Corp 配線基板研磨用前処理液、研磨方法、配線基板製造方法及び配線基板製造装置
JP2010140279A (ja) * 2008-12-11 2010-06-24 Panasonic Corp 電子システム設計手法
US8586481B2 (en) 2010-06-01 2013-11-19 Applied Materials, Inc. Chemical planarization of copper wafer polishing
US9054109B2 (en) 2012-05-29 2015-06-09 International Business Machines Corporation Corrosion/etching protection in integration circuit fabrications

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0864594A (ja) * 1994-08-18 1996-03-08 Sumitomo Metal Ind Ltd 配線の形成方法
US6169034B1 (en) * 1998-11-25 2001-01-02 Advanced Micro Devices, Inc. Chemically removable Cu CMP slurry abrasive
US6181013B1 (en) * 1999-06-25 2001-01-30 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3Ge or Cu5Si for passivation of damascene copper structures and device manufactured thereby
JP2001115146A (ja) * 1999-10-18 2001-04-24 Tokuyama Corp バリア膜用研磨剤
JP2001127019A (ja) * 1999-10-29 2001-05-11 Hitachi Chem Co Ltd 金属用研磨液及びそれを用いた基板の研磨方法
KR20010051992A (ko) * 1999-11-29 2001-06-25 조셉 제이. 스위니 결함 감소를 위해 평탄화처리된 구리 세정 방법

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3306598B2 (ja) 1992-05-12 2002-07-24 株式会社日立製作所 半導体装置の製造方法及び洗浄装置
JP3397501B2 (ja) 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
JP2000012543A (ja) 1998-06-23 2000-01-14 Hitachi Ltd 半導体集積回路装置の製造方法
JP4095731B2 (ja) * 1998-11-09 2008-06-04 株式会社ルネサステクノロジ 半導体装置の製造方法及び半導体装置
JP2000315666A (ja) 1999-04-28 2000-11-14 Hitachi Ltd 半導体集積回路装置の製造方法
JP4264781B2 (ja) 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド 研磨用組成物および研磨方法
US6368955B1 (en) * 1999-11-22 2002-04-09 Lucent Technologies, Inc. Method of polishing semiconductor structures using a two-step chemical mechanical planarization with slurry particles having different particle bulk densities
JP3869608B2 (ja) * 2000-01-25 2007-01-17 Necエレクトロニクス株式会社 防食剤

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0864594A (ja) * 1994-08-18 1996-03-08 Sumitomo Metal Ind Ltd 配線の形成方法
US6169034B1 (en) * 1998-11-25 2001-01-02 Advanced Micro Devices, Inc. Chemically removable Cu CMP slurry abrasive
US6181013B1 (en) * 1999-06-25 2001-01-30 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3Ge or Cu5Si for passivation of damascene copper structures and device manufactured thereby
JP2001115146A (ja) * 1999-10-18 2001-04-24 Tokuyama Corp バリア膜用研磨剤
JP2001127019A (ja) * 1999-10-29 2001-05-11 Hitachi Chem Co Ltd 金属用研磨液及びそれを用いた基板の研磨方法
KR20010051992A (ko) * 1999-11-29 2001-06-25 조셉 제이. 스위니 결함 감소를 위해 평탄화처리된 구리 세정 방법

Also Published As

Publication number Publication date
US20030049929A1 (en) 2003-03-13
TW578199B (en) 2004-03-01
US6831014B2 (en) 2004-12-14
JP2003077921A (ja) 2003-03-14

Similar Documents

Publication Publication Date Title
US6350694B1 (en) Reducing CMP scratch, dishing and erosion by post CMP etch back method for low-k materials
US6251786B1 (en) Method to create a copper dual damascene structure with less dishing and erosion
US5676587A (en) Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
KR20000035287A (ko) 반도체 장치의 제조 방법 및 반도체 장치
JP2001156029A (ja) 少ない欠陥のための後CuCMP
KR19990088399A (ko) 반도체기판을폴리싱하는장치와방법
JP2003077920A (ja) 金属配線の形成方法
KR20010050830A (ko) 반도체 장치의 제조 방법 및 반도체 장치
US20060172527A1 (en) Method for forming a defined recess in a damascene structure using a CMP process and a damascene structure
KR20030020852A (ko) 화학 기계적 연마를 이용하여 반도체 장치를 제조하는 방법
KR100390204B1 (ko) 연마방법 및 연마액
KR19980025155A (ko) 화학적 기계 연마법, 화학적 기계 연마법에 사용하는 연마제 및 반도체 장치의 제조 방법
US6723626B2 (en) Method of manufacturing semiconductor device
JP2005209800A (ja) 半導体装置の製造方法
US20050218008A1 (en) Method of manufacturing semiconductor device
US6919267B2 (en) Method for forming wiring structure
US6867142B2 (en) Method to prevent electrical shorts between tungsten interconnects
JP2003077919A (ja) 半導体装置の製造方法
US6294471B1 (en) Method of eliminating dishing effect in polishing of dielectric film
JPH09167768A (ja) 半導体装置の製造方法
WO2010082249A1 (ja) 半導体装置の製造方法
US6759322B2 (en) Method for forming wiring structure
US6858549B2 (en) Method for forming wiring structure
US6881660B2 (en) Method for forming wiring structure
KR20020093143A (ko) 금속 라인의 디싱을 최소화하는 화학적 기계적 폴리싱공정 및 그 공정에 의해 형성된 웨이퍼

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E601 Decision to refuse application