KR20010087293A - 기판처리장치 및 반도체 제조방법 - Google Patents

기판처리장치 및 반도체 제조방법 Download PDF

Info

Publication number
KR20010087293A
KR20010087293A KR1020010010881A KR20010010881A KR20010087293A KR 20010087293 A KR20010087293 A KR 20010087293A KR 1020010010881 A KR1020010010881 A KR 1020010010881A KR 20010010881 A KR20010010881 A KR 20010010881A KR 20010087293 A KR20010087293 A KR 20010087293A
Authority
KR
South Korea
Prior art keywords
chamber
substrate
heating
cooling
gas
Prior art date
Application number
KR1020010010881A
Other languages
English (en)
Inventor
사토히로 오카야마
카즈노리 스즈끼
사토르 이치무라
테르오 요시노
토쿠노부 아카오
야스노부 나카야마
카즈노리 츠쯔구찌
Original Assignee
엔도 마코토
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔도 마코토, 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 엔도 마코토
Publication of KR20010087293A publication Critical patent/KR20010087293A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 기판처리장치 및 반도체 제조방법에 관한 것으로, 로드록실(13)의 상단에 기판가열용 가열실(47), 하단에 기판냉각용 냉각실(48)을 설치하고, 가열실(47)의 상·하히터(51)(56)를 설치하였다. 상·하히터(51)(56) 사이에 샤워판(52)을 설치한다. 상히터(51)와 샤워판(52) 사이에 가스 가열공간(50)을 설치한다. 가스 가열공간(50)으로 N2가스 도입부(42)를 연통시키고, N2가스를 가스 가열공간(50)으로 도입시킨다. N2가스 도입부(42)에서 도입된 N2가스는 가스 가열공간(50)에서 가열되고, 샤워판(52)에서 샤워형태로 기판(W)에 공급된다. 기판(W)은 상히터(51)에 의해 방사전열을 받는 N2가스, 가열된 N2가스에 의해 대류전열 및 하히터(56)에 의한 열전도로 가열된다.
본 발명은 스루풋(Throughput, 작업처리량)을 향상시키고, 푸트 프린트(Foot Print, 점유면적)를 작게 할 수 있으며, 짧은 시간에 기판을 가열할 수 있는 것으로, 게다가 기판면내 온도편차가 작도록 한 것이다.

Description

기판처리장치 및 반도체 제조방법{Substrate Processing Apparatus and Semiconductor Manufacturing Method}
본 발명은 LCD 또는 반도체장치를 제조하는 CVD장치, 드라이 에칭장치, 아싱장치, 스퍼터장치 등의 기판처리장치 및 반도체 제조방법에 관한 것이다.
먼저, 종래의 클러스터형 LCD 기판처리장치의 구성과 기판의 동작에 있어서, 도 9를 이용하여 설명한다. LCD 기판처리장치는 중앙에 7각형에서 8각형의 진공반송실(25)이 있고, 그 주위에 기판의 예비 가열을 위한 예비 가열실(23), 기판을 대기로부터 진공 또는 진공에서 대기 분위기로 치환하여 로드록실(22), 기판에 성막을 수행하는 성막실(24)을 가지고 있다. 또한, 기판은 대기압 하에 있는 기판 카세트(21) 위로부터 대기반송 로봇(도시하지 않음)에 의해 장치내로 반송된다. 기판의 반송루트는 도면에 화살표로 나타나 있다. 이것을 설명한다.
기판은 대기 중의 기판 카세트(21)에서 로드록실(22)에 반송된다. 로드록실(22) 내는 대기압 조건하에서 고진공 조건으로 치환된다. 기판은 진공반송 로봇(26)에 의하여 진공반송실(25)을 거쳐 예비 가열실(23)로 반송된다. 예비 가열실(23) 내에는 기판을 비접촉으로 예비 가열하는 히터(도시하지 않음)가 설치되어있다. 이때 예비 가열실(23) 내와 진공반송실(25) 내는 고진공상태로 된다.
예비 가열실(23)에 반입된 기판은 예비 가열실(23) 내에 설치되어 있는 히터에서의 방사전열에 의하여 성막온도까지 가열된다. 성막온도까지 가열된 기판은 진공반송실(25)을 거쳐 성막실(24)에 반송된다. 기판은 성막실(24)에서 성막처리되고, 그 후에 진공반송실(25)을 거쳐 로드록실(22)에 반송된다. 고진공 조건하에서 대기압 조건하로 치환된다. 로드록실(22) 내는 대기압으로 되는 시점에서 로드록실(22)을 개방하여 자연냉각시킨 후에, 도시하지 않은 대기반송 로봇에 의하여 기판 카세트(21) 위로 돌아간다.
상기한 로드록실(22)은 도 10에 나타낸 바와 같이, 진공용기(30)로 구성된 내부에 챔버(41)를 갖는다. 진공용기(30)의 저부(低部)에 실내분위기를 배출하여 고진공상태가 되도록 하기 위하여 배기구(32)가 설치되어 있다. 배기구(32)에 배기밸브(33)가 취부되어 있다. 로드록실(22)의 좌측부에 대기측 게이트 밸브(31), 우측부에 고진공측 게이트 밸브(35)가 설치되어 있다. 로드록실(22)에 반입된 기판(W)은 복수의 기판 지지핀(34)에 의하여 지지되고, 불활성 가스를 공급하여 감압상태에서 대기압상태로 되돌릴 때에 자연 냉각하며, 대기측 게이트 밸브(31)를 개방한다.
그러나, 상기한 종래의 로드록장치에는 다음과 같은 문제가 있다. 기판에 성막처리를 수행하여 성막실 이외에 로드록실과 예비 가열실이 필요함으로써, 장치의 스루풋(Throughput, 작업처리량)이 저하되고, 장치 점유면적이 커서 제작비용이많이 소요되었다. 또한, 기판에 히터로 예비 가열하는 경우에 진공상태에서의 방사전열에 의하여 가열만 하므로 장시간 가열할 필요가 있고, 장치의 스루풋이 저하된다. 또한 히터만으로 가열하기 때문에 기판을 자연 냉각으로 냉각하여야 하므로 냉각시간이 많이 소요되고, 이러한 점에서 스루풋이 저하된다.
본 발명은 상기 종래기술의 문제점을 해소하기 위하여, 스루풋을 향상시킬 수 있는 기판처리장치 및 반도체 제조방법을 제공하기 위한 것이다.
도 1은 본 발명에 따른 기판처리장치의 구성을 나타낸 로드록(Load Lock)실(室)의 종단면도,
도 2는 본 발명에 따른 로드록(L/L)실의 상부구조를 나타낸 확대도,
도 3은 본 발명의 기판처리장치의 전체구성도.
도 4는 본 발명에 따른 기판처리장치가 모노라인(Mono Line) 시스템으로 구성된 것을 설명하기 위한 평면도,
도 5는 본 발명에 따른 변형예를 나타낸 L/L실의 개략적인 종단면도,
도 6은 도 5의 사절판(仕切板, 칸막이)의 평단면도,
도 7은 본 발명의 다른 실시예를 나타낸 L/L실의 개략적인 종단면도,
도 8은 도 7의 사절판의 평단면도,
도 9는 종래의 클러스터형 기판처리장치의 구성도,
도 10은 종래의 로드록실의 종단면도.
♣ 도면의 주요부분에 대한 부호의 설명 ♣
13: 로드록(Load Lock)실(室) 42: N2가스 도입부
47: 가열실(H실) 48: 냉각실(C실)
50: 가스 가열공간 51: 상 히터
52: 샤워판(샤워부) 56: 하 히터
A, B, C, W: 기판
제 1발명은 기판을 처리하는 기판처리실과, 처리전의 기판 또는 처리가 종료된 기판을 수용하는 전실(前室)과, 상기 처리실 또는 전실에 대한 기판을 반송하는 반송장치를 구비하고, 상기 전실에 기판을 가열하기 위한 가열용 불활성 가스, 또는 기판을 냉각하기 위한 냉각용 불활성 가스를 공급하는 불활성 가스 공급부를 포함하는 기판처리장치에 있다.
불활성 가스 공급부를 가열용 불활성 가스를 공급하기 위하여 설치한 경우에는 전실은 가열실이 된다. 전실에서 기판의 예비 가열을 효율적으로 수행하는 것에 의하여 처리실에서의 예비 가열시간을 삭제하거나 또는 삭제하지 않더라도 대폭적으로 저감시킬 수 있다. 또한, 냉각실은 전실과는 별도로 설치하거나 또는 전실을 이용하여 자연 냉각을 수행한다. 불활성 가스 공급부를 냉각용 불활성 가스를 공급하기 위하여 설치한 경우에는 전실은 냉각실이 된다. 전실에서 기판의 냉각을 효율적으로 수행하는 것에 의하여 냉각시간을 대폭 저감시킬 수 있다. 또 가열실은 냉각실과는 별도로 설치된다. 불활성 가스 공급부를 가열용 불활성 가스와 냉각용 불활성 가스의 모두를 공급하기 위하여 설치한 경우에는 전실은 가열실로도 냉각실로도 된다. 이때, 가열용 불활성 가스 또는 냉각용 불활성 가스를 다른 계통으로 공급하여도 좋고, 또는 동일한 계통에서 선택적으로 공급하는 것도 좋다.
전실은 예를 들어, 로드록실 또는 가열·냉각실 또는 로드록실 및 가열·냉각실을 공용으로 이용하는 실(室)이 된다. 반송장치는 기판처리실과 전실 이외에 독립된 반송실내에 설치하여도 좋고, 또는 독립된 반송실을 설치함으로써 전실내, 예를 들어, 로드록실내에 반송장치를 설치하여 로드록실과 반송실을 공통으로 하여도 좋다.
제 1발명에 의하면, 전실에 불활성 가스 공급부를 설치하여 대류전열에 의한 처리전의 기판을 직접 예비 가열 또는 처리가 종료된 기판을 직접 냉각함으로써 기판의 가열 또는 냉각을 촉진시킬 수 있다. 이 결과, 가열 또는 냉각시간을 단축할 때에 스루풋이 향상된다. 불활성 가스는 일반적으로 N2와 Ar가스가 좋다. 또한 기판은 글라스기판 또는 반도체기판 등을 이용한다.
상기 장치발명에 있어서, 상기 불활성 가스 공급부는 상기 불활성 가스를 샤워(噴霧) 형태로 기판으로 공급하도록 구성하는 것이 바람직하다. 불활성 가스를 샤워 형태로 기판으로 공급하고, 기판의 전면에 가열 또는 냉각을 수행할 때에 기판을 균등하게 가열 또는 냉각시킬 수 있다. 이것에 의해 기판 가열시간의 단축과 기판면내 온도편차를 줄여 면내 온도의 균일성을 향상시킨다.
상기 장치발명에 있어서, 상기 전실을 가열실과 냉각실로 구분하는 사절(칸막이)체와, 상기 가열실과 냉각실을 연통하는 연통부와, 상기 연통부를 통하여 전실을 배기하는 하나의 배기구를 포함하는 것이 바람직하다. 전실을 가열실과 냉각실로 구분하여 가열실과 냉각실 사이의 열의 출입을 저감시킬 수 있어 기판을 유효한 가열까지는 냉각시킨다. 또한, 가열실과 냉각실이 연통하는 연통부를 형성하고, 하나의 배기구를 설치하는 간단한 구성으로 전실내에 공급된 불활성 가스를 배기시킨다.
상기 장치발명에 있어서, 상기 전실이 로드록실인 것이 바람직하다. 전실이 로드록실로, 이 로드록실에 가열용 불활성 가스 또는 냉각용 불활성 가스를 공급 및 배기하는 것과, 기판 가열실 또는 기판 냉각실을 생략할 수 있고, 그리고 푸트 프린트(Foot Print, 점유면적)를 작게 하여 제작비용을 저감시킬 수 있다. 또한, 로드록실에서 가열 또는 냉각할 수 있도록 진공으로 유지한 채, 기판을 출입시킬 수 있어 스루풋을 향상시킬 수 있다.
상기 장치발명에 있어서, 상기 1대의 로드록실과, 1대의 반송장치와, 1대의 기판처리실이 직선 형태로 배치되도록 구성하는 것이 바람직하다. 기판처리장치는 복수의 처리실을 갖는 클러스터 시스템으로 구성하는 것이 가능하다. 그러나 상기 장치발명에 의하여 기판처리장치를 그것을 구성하는 각 요소가 1대씩 접속되고, 이른바 모노라인 시스템으로 구성되고, 푸트 프린트가 작게 마무리된다. 또한, 기판처리장치 1대당 장치의 값을 저감시킬 수 있다. 필요에 따라 대수 부분만 고객에게 제공할 수 있고, 필요한 처리실의 수를 고객이 유연하게 선정할 수 있는 이점이 있다. 또한, 모노라인 시스템으로 되고, 처리실마다 반송장치를 설치하는 것으로, 처리실의 가동률을 높일 수 있다. 이에 의하여 모노라인 시스템은 시스템 설계에 유연성을 갖고, 더욱이 가동효율이 향상되어 1실당 반도체장치와 LCD의 생산능력을 향상시킬 수 있다.
상기 장치발명에 있어서, 상기 반송장치는 기판을 반송할 수 있는 2개의 아암을 갖도록 구성하는 것이 바람직하다. 반송장치가 반송할 수 있는 2개의 아암을 갖고, 기판처리실과 전실 사이의 기판의 주고받는 것을 2개의 아암을 동시에 사용함으로 모노라인 시스템을 한층 효율적으로 가동시킬 수 있다.
제 2의 발명은 처리전의 기판 또는 처리가 종료된 기판을 수용하는 로드록실에서 가열용 불활성 가스에 의하여 처리전의 기판을 예비 가열하고, 또는 상기 처리가 종료된 기판을 냉각용 불활성 가스에 의하여 냉각하는 공정과, 기판을 반송하는 반송장치에 의하여 상기 예비 가열된 기판을 로드록실로부터 기판처리실로 반송하고, 또는 상기 처리가 종료된 기판을 기판처리실에서 로드록실로 반송하는 공정과, 상기 로드록실과 기판처리실 사이를 반송하는 기판이 직선 형태로 반송하는 반도체 제조방법에 있다.
제 2발명에 의하면, 진공으로 유지될 때까지 기판의 반송이 되도록 하는 로드록실에 있어서, 기판에 대한 대류전열을 이용하여 효율이 높은 가열까지는 냉각이 되도록 하여 스루풋을 향상시킨다. 또한, 로드록실과 기판처리실 사이를 기판이 직선 형태로 반송되도록 하여 직선상으로 반송되지 않는 것과 비교하여 장치의 가동율을 향상시킬 수 있다. 이 반도체 제조방법에는 반도체장치를 제조하는 방법뿐만 아니라 LCD(액정표시장치)도 포함된다.
상기 방법발명에 있어서, 상기 기판처리실에서 기판이 처리되는 사이에 상기 로드록실에 처리전의 기판을 반입하여 예비 가열을 완료하는 것이 바람직하다. 기판처리실에서 기판이 처리되는 사이에 상기 로드록실로 처리전의 기판을 반송하고, 예비 가열을 완료하며, 기판처리실에서의 기판처리가 끝난 후에 바로 다음의 기판처리가 이루어지기 때문에 스루풋이 향상된다.
상기 방법발명에 있어서, 상기 반송장치는 반송이 가능한 2개의 아암을 갖고, 한 쪽의 아암으로 상기 예비 가열이 완료된 처리전의 기판을 받아들임과 동시에, 다른 쪽의 아암에서 상기 기판처리실에서 처리가 종료된 기판을 받아들이고, 다음으로 상기 한 쪽의 아암에서 예비 가열을 종료한 기판을 상기 기판처리실로 반입함과 동시에, 상기 다른 쪽의 아암으로 처리가 종료된 기판을 냉각실로 반입하는 것이 바람직하다.
또한, 다른 아암에서 상기 기판처리실로부터 처리가 끝난 기판을 받아들여 처리실에서 빠져 나온 직후로부터 기판처리실은 비어 있게 되고, 다음의 처리전의 기판을 처리실로 반입할 수 있는 상태가 된다.
반송장치가 반송할 수 있는 2개의 아암을 갖고 있어 기판처리실과 전실 사이에 기판의 주고받는 것을 2개의 아암을 동시에 사용할 수 있는 것으로, 장치를 효율적으로 가동시킬 수 있다. 또한, 양쪽의 아암에 의하여 처리전 기판 및 처리가 종료된 기판을 받아들이는 타이밍과, 기판처리실 및 냉각실로의 반입의 타이밍을 일치시켜 연속적인 처리를 확보할 수 있도록 하여 스루풋의 향상을 더욱 도모할 수있다.
이하 본 발명의 실시형태를 설명한다.
먼저, 실시형태로 글라스기판을 낱장 처리하는 LCD 기판처리장치의 구성과 기판의 동작에 대하여 도 3 및 도 4를 이용하여 설명한다. 기판처리장치는 전실로 된 1대의 로드록실(이하, L/L실이라 함)과, 1대의 진공반송실(이하, T실이라 함)(18)과, 1대의 기판처리실(이하, R실이라 함)(20)이 직선 형태로 배치되고, 이른바 모노라인 시스템으로 구성된다. 모노라인 시스템을 구성하고, 반송실에 복수의 처리실이 접속된 클러스터 시스템과 다르며, 처리실마다에 진공반송실이 설치되어 있어 처리실의 가동율을 향상시킨다. 또한, L/L실(13)과 기판처리실(20) 사이에 기판을 직선 형태로 반송하는 것으로, 직선 형태로 반송되지 않는 것과 비교하여 스루풋이 향상된다.
기판처리장치는 중앙에 T실(18)을 갖고, 그 T실의 전실로서 기판의 예비 가열을 하면서 기판을 대기로부터 진공 또는 진공으로부터 대기의 분위기에 치환하는 L/L실(13)을 갖는다. 또한, T실(18)의 후실로서 기판에 성막을 하는 R실(20)을 갖는다. L/L실(13)은 내부가 상하 2단으로 구성되고, 상단은 예비 가열을 하는 가열실(이하, H실이라 함)(14), 하단은 냉각을 하는 냉각실(이하, C실이라 함)(15)로 되어 있다. 특히 LCD 기판처리장치에는 글라스기판을 취급하는 것으로, 처리온도까지 가열하는 것은 대단한 시간이 소요된다. 그러므로 스루풋을 향상하기 위하여 기판을 예비 가열하는 것은 대단히 중요하다.
상기 T실(18)에는 기판처리장치로서의 진공반송 로봇(27)이 설치된다. 진공반송 로봇(27)은 기판을 반송가능한 2개의 아암(더블 아암)(27a)(27b)을 갖는다. 진공반송 로봇(27)이 반송가능한 2개의 아암(27a)(27b)을 갖고, R실(20)과 L/L실(13) 사이의 기판의 주고받음을 2개의 아암을 이용하여 동시에 수행한다.
(10)은 L/L실(13)의 외측 대기하에 있는 카세트 스탠드 상의 기판 카세트이고, (11)은 기판 카세트(10)와 L/L(13)과의 사이에 배치된 대기반송 로봇이며, (12)는 L/L실(13)에 설치된 대기측 게이트 밸브이고, (16)은 H실(14)와 T실(18) 사이에 설치된 H실측 게이트 밸브이며, (17)은 C실(15)과 T실(18) 사이에 설치된 C실측 게이트 밸브이고, (19)는 T실(18)과 R실(20) 사이에 설치된 R실측 게이트 밸브이다. 기판의 반송 루트는 도면에 화살표로 나타나 있다.
즉 기판을 대기중의 기판 카세트(10)로부터 대기반송 로봇(11)에 의하여 L/L실(13)의 H실(14)로 반입시키고, 그 후에 L/L실(13) 내부를 고진공 상태까지 배기시킨다. 배기후에 기판을 L/L실(13)에 설치된 불활성 가스 공급부로부터의 가열용 불활성 가스에 의하여 성막온도까지 가열시킨다. L/L실(13)을 고진공 배기시킨 후에 가열된 기판을 T실(18)에 설치된 진공반송 로봇(27)에 의하여 R실(20)로 반송시킨다. 기판을 R실(20)에서 성막시킨 후에 이번에는 L/L실(13)내의 하단의 C실(15)에 반송시키고, 불활성 가스 공급부로부터 냉각용 불활성 가스 공급에 의하여 소정 온도까지 냉각시키면서 L/L실(13) 내부를 대기압까지 복귀시킨다. 대기압 복귀후에는 기판을 충분히 냉각시키고, 대기측 게이트 밸브(12)를 열어 대기반송 로봇(11)에 의하여 기판 카세트(10) 위로 반출시킨다. 또, 냉각시에는 불활성 가스에 의하여 강제 냉각되지만, 자연 냉각에 의하여 냉각되는 것도 좋다.
상기 진공반송 로봇(27)의 2개의 아암(27a)(27b)은 서로 독립된 기판을 반송하도록 구성되어 있다. 예를 들어, 한 쪽의 아암(27a)이 L/L실(13)로부터 기판(W)을 반출시킨 후에 다른 쪽의 아암(27b)은 성막실(20)로부터 기판을 반출시킨다. 한 쪽의 아암(27a)이 성막실(20)로 기판(W)을 반입한 후에 다른 쪽의 아암(27b)은 L/L실(13)로 기판(W)을 반입시킨다. 한 쪽의 아암(27a)이 반송실(18)에서 대기하고 있을 때에는 다른 쪽의 아암(27b)도 반송실(18)에 대기된다. 대기후에 상기 반송을 개시한 때에는 2개의 아암은 서로 교차하여 역방향으로 작동된다.
진공반송 로봇(27)이 반송가능한 2개의 아암을 가지고 있고, 기판처리장치(20)와 L/L실(13) 사이의 기판의 주고받음(반입, 반출)을 2개의 아암을 이용하여 동시에 이루어지므로 모노라인 시스템을 효율적으로 가동시킬 수 있다.
다음으로, 실시형태에 의한 L/L실(13)의 상세한 설명을 도 1 및 도 2를 참조하여 설명한다. 도 1에 도시된 바와 같이, 로드록실(13)은 진공용기(40)로 구성되고 내부에 챔버(41)를 갖는다. 진공용기(40)의 천정부 중앙에 챔버(41)내에 가열용 불활성 가스로서의 N2(질소)가스를 도입하는 N2가스 도입부(42)가 설치되어 있다. 용기의 저부에는 도입된 N2가스를 배출하는 하나의 배기구(43)가 배기밸브(59)을 거치도록 되어 있다. 용기(40)의 좌측부에 대기측 게이트 밸브(12), 우측부의 상방으로 H실측 게이트 밸브(16), 하방으로 L/L실측 게이트 밸브(17)이 설치된다. 또, 게이트 밸브(16, 17)는 하나로 공용하여도 좋다.
챔버(41) 내부에는 H실(47)과 C실(48)이 설치된다. H실(47) 및 C실(48)은 각각 1매의 글라스기판을 가열 또는 냉각하도록 되어 있다. H실(47) 및 C실(48)은 중앙에 배치된 단열재로 이루어진 사절판(38)에 의하여 상하 2단으로 나뉘어져 있다. 중앙에 배치된 사절판(38)에는 H실(47) 및 C실(48)이 연동하는 연통부(39)가 형성된다. 이 연통부(39)를 형성하는 것으로 상기 하나의 배기구(43)에서 L/L실(13)의 챔버(41)내를 배기시킬 수 있도록 되어 있다. 예를 들어, 연통부(39)는 챔버 외벽(40)을 따라 설치된다. 상단의 H실(47)에 대응하는 위치에 상기 H실측 게이트 밸브(16)가 설치되고, 하단의 C실(48)에 대응하는 위치에 상기 L/L실(13)측 게이트 밸브(17)가 설치된다.
H실(47)의 상부에 N2가스를 가열하는 가스 가열공간(50)이 설치된다. 가스 가열공간(50)은 상히터(51)와 샤워판(52)를 구획하여 형성하는 공간이다. 그 공간(50)은 상기 천정부의 N2가스 도입부(42)와 연동되어 있다. 상히터(51)에는 그것을 덮기 위하여 반사판과 동일한 기능을 갖는 단열재(49)가 설치된다. 가스 가열공간(50)이 열공간이기 때문에 샤워판(52)도 알루미늄 합금 등의 열전도가 양호한 부재로 구성되고, 히터(51)와 열적으로 연결하는 것이 바람직하다. 도 2에 도시된 바와 같이, 상히터(51)는 히터선(53)이 매입된 판상부재로 구성되며, 샤워판(52)에는 N2가스를 샤워상태로 확산하는 챔버(41)내의 기판(W)에 뿜어내기 위한 다수의 구멍(54)이 형성되어 있다.
H실(47)에 반입된 기판(W)은 복수의 기판 지지핀(55)에 의하여 지지된다. 상히터(51)와 대응하는 판형상의 하히터(56)는 하히터 승강로드(57)에 의하여 승강이 되도록 설치된다. 하히터(56)는 필요에 따라 상승하고, 기판 지지핀(55)에 대신하여 기판(W)을 면접촉으로 지지하여 가열한다. 따라서, H실(47)내의 기판(W)은 히터가열된 N2가스 도입부(42)로부터 가열용 불활성 가스에 의한 가열로 더해지고, 하히터(56)에서도 가열되도록 되어 있다. 또한, C실(48)에 반입된 기판(W)은 히터가열을 중지한 N2가스 도입부(42)에서의 냉각용 불활성 가스에 의하여 냉각되거나 또는 C실(48)내에서 자연 냉각되도록 되어 있다. 상기 N2가스 도입부(42)와 가스 가열공간(50) 및 샤워판(52)에 불활성 가스 공급부가 구성된다.
다음으로, 상기와 같이 더블 아암의 반송 로봇(27)을 갖는 모노라인 시스템에 있어서 기판의 처리 및 반송수신에 대하여 설명한다. 각 공정에서의 프로세서 조건은 다음의 표 1과 같다.
공정명 예비가열 성막처리 기판냉각
온도 200∼350℃사전 성막처리온도 200∼350℃예비 가열온도와 동일한 온도 100℃이하카세트 등의 내열온도이하
압력 10∼100Pa(반송압력 0.1∼10Pa) SiN막: 133∼400Paa-Si막: 66.7∼200Pan+a-Si막: 66.7∼200Pa 배기를 중지, 반송압력에서 대기압력까지 되돌림(반송압력0.1∼10Pa)
가스종류 N2, Ar 등의 불활성 가스 SiN막: SiH4NH8경우에 따라 H2도a-Si막: SiH4H2n+a-Si막: SiH4H2PH3 N2, Ar 등의 불활성 가스
가스유량 1∼2 l/min 1∼30 l/min 200∼400 l/min
1) L/L실(13)의 기판 카세트(10)측의 게이트 밸브(12)가 개방되고, 장치외측에 있는 카세트(10)에서 L/L실(13)에 기판(A)(이하, 기판 W를 대신하고, 처리순으로 기판 A, B, C…n-1, n 등이라 함)이 반송되며, H실(47)내의 기판 지지핀(55) 위에 세트되고, 게이트 밸브(12)가 닫힌다(이 사이에 소요되는 시간은 10∼13초).
또, 기판(A)을 하히터(56)에 접촉시킨 상태에서 가열하는 경우에는 게이트 밸브(12)가 닫힘과 동시에 하히터 구동부(57)에 의해 하히터(56)의 상면에 기판(A)이 올려진 위치까지 하히터(56)를 밀어낸다. 이 시점에서는 챔버(41)내에는 대기압 상태이다. 상히터(51) 및 하히터(56)에는 동시에 통전되어 히터가 온(On)된다.
2) 게이트 밸브(12)가 닫힌 것을 확인한 후에 배기밸브(59)를 열어 L/L실(13)을 소정 압력이 되도록 진공 배기시킨다. 진공 배기되면 배기밸브(59)가 닫힌다. 표 1의 프로세서 조건에 따라 소정 압력으로 감압된 상태에서챔버(41)의 상부에 있는 N2가스 도입부(42)의 N2가스용 밸브(58)가 열려짐에 따라 N2가스는 N2가스 도입부(42)를 통과하여 가스 가열공간(50)으로 들어간다(도 2참조). 가스 가열공간(50)은 상히터(51)의 하면에 접하고 있는 공간이므로 N2가스는 이 공간을 통과함으로써 고온으로 가열된다. 가스 가열공간(50)에 있어서 가열된 N2가스는 다공구조로 이루어진 가스 분배기능을 갖는 샤워판(52)을 통하여 확산되고, 기판(W)의 전면에 샤워 형상으로 뿌려진다. 기판(W)은 상히터(51)의 방사전열에 가해져 상히터(51)를 통과한 고온의 N2가스에 의해 상히터(51)의 대류전열을 가하게 된다. 이와 같이 기판 가열시간의 단축과 기판면내 가열 얼룩의 방지가 실현된다. 하히터(51)의 상면에 직접 기판(W)을 놓은 경우에는 하히터(56)로부터의 열전도에 따라 가열도 가해지므로, 더욱이 기판(W)의 가열시간을 단축하는 것이 가능하다. 이와 같이 가열용 불활성 가스를 공급하는 것으로 기판(A)이 예비 가열된다(예비 가열시간은 30∼60초).
3) 기판(A)을 표 1에 표시한 성막시 온도까지 예비 가열한 후에 배기밸브(59)를 개방시킨다. 배기구(43)로 챔버(41) 내의 N2가스를 배기하기 위하여 챔버(41)내를 감압시키고, 챔버(41)내를 고진공 상태로 한다. 고진공으로 된 것을 확인한 후에 하히터(56)가 상승되는 경우에는 하히터(56)를 강하시킨다. L/L실(13)의 처리실측 게이트 밸브(16, 17)와 처리실(20)의 게이트 밸브(19)가 열리고, 반송로봇(27)의 어느 것이든 한 쪽의 아암에 의하여 기판(Q)이 L/L실(13)로부터 처리실(20)로 반송된다. 반송이 종료된 각각의 게이트 밸브(16, 17, 19)를 닫는다(반송시간은 대략 20초정도).
또한, L/L실(13)로부터 처리실(20)로의 반송시의 반송압력은 표 1에 도시한 것을 참조하면, 예비 가열압력과 동일하거나, 예비 가열압력이 반송압력과 다른 경우에는 예비 가열후에 반송압력으로 조정되는 공정이 추가된다.
4) 처리실(20)에서는 소정의 기판처리가 이루어진다. 소정의 기판처리는 예를 들어, 상기 프로세서 조건의 어떤 것이든지 하나에 의한 처리, 또는 조합된 연속처리로 이루어진다(기판 처리시간은 100초∼3분).
5) 상기 L/L실(13)의 게이트 밸브(16)(17)가 닫히고, 상기 L/L실(13)은 대기압 복귀처리를 한다. 대기압으로 복귀하는 상기 1)의 공정과 동일한 형태의 L/L실(13)의 카세트측 게이트 밸브(12)가 열리고, 카세트(10)로부터 다음의 처리에 이용되는 기판(B)이 L/L실(13)으로 반송되고, 게이트 밸브(12)가 닫힌다(이 사이의 소요시간은 30∼60초).
6) L/L실(13)에서는 상기 2)의 공정과 동일한 조건이고, 소정 압력에 감압된 상태에서 가열용 불활성 가스를 공급하며, 기판(B)이 예비 가열된다(예비 가열시간은 30∼60초).
또한, 상기 처리실(20)에서의 소정의 기판처리 완료까지는 예비 가열을 완료할 수 있다.
7) 상기 기판처리실(20)에서 소정의 처리가 종료되고, 처리실(20)의 게이트 밸브(19)와 L/L실(13)의 처리실측 게이트 밸브(16)(17)가 열린다. 반송로봇(27)의 한 쪽 아암(27a)에서 예비 가열을 완료한 처리전의 기판(B)을 받아들임과 동시에 다른 쪽의 아암(27b)에서 기판처리실(20)에서 처리가 끝난 기판(A)을 받아들인다. 다음으로, 한 쪽의 아암(27a)에서 예비 가열을 완료한 기판(B)이 기판처리실(20)로 반입됨과 동시에 다른 쪽의 아암(27b)에서 처리가 끝난 기판(A)을 냉각실(15)(48)로 반입한다. 처리실(20)의 게이트 밸브(19)를 닫는다(이 공정의 합계시간은 15∼20초).
8) 다시 처리실(20)에서의 기판처리가 개시된다(기판처리시간은 100초∼3분).
또한, 처리실(20)에서 L/L실(13)로의 반송시의 반송압력은 표 1에 나타난 바와 같이 기판냉각압력과 동일하지만, 기판냉각압력이 반송압력과 다른 경우에는 반송후에 기판냉각압력으로 조정하는 공정이 추가된다.
9) 배기밸브(59)를 닫고, L/L실(13)에서 기판(A)이 불활성 가스에 의한 냉각되면서 대기압으로 복귀된다. 장치 외부에서 냉각용 불활성 가스 또는 상온의 냉각가스 N2가스를 가스도입부(42)에서 챔버(41)내로 공급하고, C실(48)내의 처리완료된 기판(A)을 저온까지 냉각시킨다(대기압 복귀 및 냉각시간은 20∼30초).
10) 기판(A)이 냉각되어 L/L실(13)이 대기압으로 복귀되고, L/L실(13)의 카세트측 게이트 밸브(12)가 열려 기판(A)을 L/L실(13)에서 카세트로 반송하며, 더욱이 카세트(10)에서 다음의 처리에 처리할 기판(C)을 L/L실(13)로 반송하고, L/L실(13)의 카세트측 게이트 밸브(12)를 닫는다(소요시간은 15∼30초).
또한, 기판의 냉각은 가스공급에 의하여 강제 냉각하지 않고, 가스공급이 없이 자연 냉각하는 것도 좋다. 이 경우에 C실(48)은 H실(47)과 사절판(38)으로 사절되어 있기 때문에 H실(47)에서의 영향은 받지 않는다.
11) 이하, 동일한 양상으로 상기 6)∼11)의 공정이 최종의 기판(n)에서 실시된다. 단, 최종의 기판(n)의 상기 8)의 공정중에는 상기 9)∼11)의 공정에서 기판(n-1)을 카세트(10)로 취출하지만, 최종적으로, 카세트(10)에서 다음의 처리에 사용되는 기판은 L/L실(13)로 반송되지 않는다.
12) 최종 기판(n)의 처리가 종료되고, 처리실(20)에서 처리가 끝난 기판(n)을 취출하여 L/L실(13)로 반송시킨다(반송시간은 대략 20초 정도).
13) L/L실(13)은 기판(n)을 냉각시키면서 대기압으로 복귀시킨다(대기압 복귀 및 냉각시간 20∼30초).
14) L/L실(13)의 기판(n)을 카세트로 취출한다(소요시간은 10∼15초).
상술한 바와 같이 로드록실과 기판 가열·냉각실을 공용화한 것으로, 장치의 제작비용의 절감 및 푸트 프린트의 소면적화가 실현된다. 또한 진공반송 로봇에 의한 반송회수가 감소되므로 장치의 스루풋이 향상된다. 또한 기판 가열시에는 상히터(51)에 가스 가열공간(50)을 설치하여 가스 가열공간(50)에서 가열된 가스를 H실(47)내의 기판으로 분사하고, 상히터(51)에서의 대류전열을 가함으로써 기판가열을 촉진할 수 있어 기판 가열시간의 단축화가 이루어진다. 더욱이 가스 가열공간(50)은 다수의 구멍(54)을 갖는 샤워판(52)으로 구성되어 H실(47)내의 기판의 전면으로 열이 골고루 미치고, 균등하게 분사하여 기판면내를 균일하게 가열할 수 있으며, 기판면내 온도편차의 축소가 가능하다. 특히 하히터(56)를 기판에 접속한 히터 직접 접촉 가열방식을 채용함으로서 기판 승온시간을 더욱 단축시키고, 장치의 스루풋을 일층 향상시킬 수 있다.
특히 스텝 6에 의하여 기판처리실(20)에서 기판(A)이 처리되고 있는 사이에 L/L실(13)에 처리전의 기판(B)을 반입하고, 예비 가열을 완료하는 것으로 스루풋을 향상시킨다.
또한 기판 냉각시는 상히터(51)가 오프되어 가스 가열공간(50)의 가열을 무효로 하고, 하히터(56)도 오프된다. 가스 가열공간(50)을 통하여 H실(47)에 공급된 냉각용 N2가스를 연통부(39)를 통해 C실(48)내의 기판으로 도입하고, 그 대류전열을 가함으로써 기판냉각을 하므로 기판 냉각시간의 단축화가 이루어진다.
처리실(20)에서의 처리시간은 결정되어 있고(100초∼3분), 통상적으로 단축할 수는 없다. 따라서, 스루풋을 향상시키기 위해서는 처리실에서의 기판처리중에 기판처리이외의 예비 가열, 냉각 등의 다른 공정을 처리하는 것이 유용하다. 더구나 연속적으로 처리를 하는 경우에 처리실에서 이루어지는 이번의 처리와 다음의 처리와의 사이(인터벌)에서 어떤 것을 하는가가 스루풋의 향상에 크게 기여하는 것이다. 이 점에서 실시의 형태에서는 이번의 처리와 다른 처리 사이의 인터벌을 갖는 스텝 7)에서 다른 쪽의 아암(27b)에 의하여 처리실(20)에서 처리가 끝난 기판(A)을 받아들임과 동시에 예비 가열이 완료된 처리전의 기판(B)을 한 쪽의 아암(27a)에 의하여 받아들임으로써 인터벌 시간을 유효하게 이용하여 스루풋의 일층향상을 도모할 수 있다.
또한, 한 쪽의 아암(27a)에서 예비 가열을 완료한 기판(B)을 처리실(20)로 반입함과 동시에 다른 쪽의 아암(27b)에서 처리가 끝난 기판(A)을 L/L실(13)로 반입함으로써 인터벌 시간을 유효하게 이용하여 스루풋의 일층 향상을 도모할 수 있다.
또한, 실시의 형태에서는 기판을 방사가열하기 위하여 L/L실(13)내에 설치된 상히터(51)에서 N2가스를 가열하고 있다. 다만 N2가스를 가열하는 것뿐이라면 L/L실(13)의 외부에 설치하는 것도 가능하다. 그러나, 실시의 형태에 의하면, 기판을 방사가열하기 위하여 L/L실(13) 내부에 설치된 상히터(51)를 이용하여 상기 가스를 가열한다면 히터의 유효한 이용이 가능하고, 구조의 간소화도 이룰 수 있다.
또한, 실시의 형태에서는 진공반송 로봇을 갖는 반송실을 L/L실과는 별개로 설치한 경우에 있어서 설명하였지만, L/L실내에 반송장치를 설치하여 1실을 생략하여도 좋다. 또한, 전실이 L/L실이든지, L/L실과는 별도로 로드록기능을 갖지 않는 가열·냉각실을 설치하여도 좋다. 로드록기능을 갖지 않는 가열·냉각실만으로도 가스에 의한 대류전열에 의하여 가열 또는 냉각을 하는 것으로써 방사전열과 자연 냉각하는 경우에 비하여 스루풋을 향상시킬 수 있다.
또한, L/L실내에 반송장치를 설치하여 L/L실을 반송실과 공통의 1실로 구성한 경우에 C실 또는 H실은 L/L실과는 별개로 설치하여도 좋다.
이상에서 설명한 바와 같이, 실시의 형태에서는 L/L실, 가열·냉각실을 공용하는 것으로 제작비용을 절감할 수 있다. 또한, 가열시간 또는 냉각시간을 단축할 수 있어 낱장으로 가열하여도 스루풋을 확보할 수 있다. 또한, 특히 가열시간에서는 가열용 가스가 기판에서 샤워형태로 직접 공급하는 것으로, 기판의 균일 가열이 가능하다. 또, 본 발명은 클러스터형 또는 인라인형의 어떠한 기판처리장치에도 적용할 수 있다.
그런데, 상술한 실시의 형태에서는 H실과 C실을 간단한 사절판으로 구분하여 C실이 H실에서 열적 영향을 받지 않도록 하고 있다. 또한, C실에서의 냉각은 H실을 경유한 강제 냉각 또는 자연 냉각이 있다. 이로써 기판, 특히 글라스기판의 냉각이 충분하게 이루어지지 않는 경우도 있다.
L/L실에서 충분히 냉각되지 않은 글라스 기판을 취출하는 경우에 기판이 고온이기 때문에 대기반송 로봇의 아암 상의 수지 패드 및 카세트(10)의 글라스기판에 닿아 수지부분이 녹게 되면 수지가 글라스기판에 부착될 가능성이 있다. 이 때문에 장치의 제품불량율이 증가하는 경우가 있다. 그래서 고온의 글라스기판을 L/L실로부터 취출할 때는 L/L실의 냉각실에서 완전히 냉각된 후에 이루어지도록 하고 있다. 따라서, L/L실에 있어서 냉각실의 냉각성능도 스루풋을 크게 좌우한다.
그렇지만, 도 1에 도시된 C실이 고온의 H실에 인접하여 양 실을 단순히 단열재로 된 사절판으로 구분된 구조일 경우에 H실의 온도상승으로 의하여 C실의 온도도 상승하므로 냉각효과가 저하된다. 따라서, 글라스기판을 냉각하기 위하여 시간이 많이 필요하므로 장치의 스루풋이 대폭 저하되는 경우가 있다.
그래서, 냉각효과의 저하를 방지하기 위하여 냉각 대기시간을 가능한 한 적게 하는 것이 요구되고 있다. 도 5∼도 8에서 설명하는 실시의 형태의 변형예는 사절판을 강제적으로 냉각함으로써 그 요구에 대응하고 있다. 또한, 도 5 및 도 8에 있어서, 편의상 H실(67)의 구조를 간략화하였다.
도 5, 도 6에 도시된 바와 같이, L/L실의 챔버(60)를 H실(67)과 C실(68)로 나뉘어진 사절판(69)으로 액체가 흐르는 액체유로(63)를 설치하였다. 액체유로(63)는 구불구불하게 형성하고, 사절판(69)의 전면에 액체의 냉열이 이루어지도록 하고 있다. 액체로는 물이 좋다. 수온은 상온으로도 상온보다 낮은 온도로 냉각하는 것이 좋다. L/L실 외부로부터 사절판(69)으로 항상 일정한 온도의 액체를 공급하고, 액체유로(63)에 액체를 흘려 배출한다. 이것에 의하여 사절판(69) 전체를 냉각시키고, H실(67)에서 C실(68)로의 열의 유입을 막는다. 때문에 H실(67)을 어떠한 온도까지 가열하여도 C실(68)의 온도는 상승하지 않고, C실(68)로 반입된 기판(B)에 대한 냉각효과의 저하도 발생하지 않는다.
이와 같이 사절판(69)을 내부에 액체를 흘려 수냉 자켓구조로 함으로써 간단한 사절판으로 H실(67)과 C실(68)을 간단하게 구분한 것과 비교하여 C실(68)로의 H실(67)로부터의 열의 유입을 유효하게 차단할 수 있다.
도 7, 도 8은 더욱 진보된 것으로, 액체유로(69)에 부가한 것으로, 더욱이 냉각용 불활성 가스를 흘려 기체유로 및 불활성 가스를 샤워형태로 공급하는 다수의 구멍(65)을 사절판(69)으로 설치한 것이다. 수냉 자켓구조의 사절판(69)으로 L/L실 외부로부터 N2가스를 공급하여 냉각한다. 냉각된 냉각용 불활성 가스는 다수의 구멍(65)에서 C실(68)내의 기판으로 샤워형태로 공급된다. 냉각용 불활성 가스를 H실(67)을 경유하여 C실(68)로 간접적으로 유입한 경우와 비교하여, 직접 기판에 분사함으로서 냉각효과가 크고, 보다 단시간으로 냉각시킬 수 있다. 또한, 대기개방하면서, N2가스를 분사하여 냉각하는 것이 가능하다.
상술한 바와 같이, 사절판에 액체를 흘리고, 필요에 대응하여 사절판으로부터 냉각가스를 샤워형태로 공급함으로써, L/L실의 H실 온도가 높아도 C실의 온도가 좌우되지 않는다. 따라서, 냉각효과가 유지되므로 스루풋의 저하가 방지되는 것이다. 더욱이 적극적으로 냉각된 기체로 기판을 냉각하면 일층 스루풋을 향상시킬 수 있다. 그 결과, 냉각시간을 최대한 단축할 수 있어 스루풋이 대폭적으로 향상된다.
또한, 도 5∼도 8의 변형예에 있어서는 H실(67)을 가열용 불활성 가스가 공급되어 기판을 가열하는 구조로 설명하였지만, 불활성 가스를 공급하지 않고, 상·하히터(61)(66) 사이에서 가열하는 방사전열형의 히터실로도 좋다.
본 발명에 의하면, 전실에 가열용 또는 냉각용의 불활성 가스를 공급하는 불활성 가스 공급부를 설치하여 스루풋을 향상시킨 것이다.

Claims (9)

  1. 기판을 처리하는 기판처리실과,
    처리전의 기판 또는 처리가 종료된 기판을 수용하는 전실(前室)과,
    상기 처리실 또는 전실에 대한 기판을 반송하는 반송장치를 구비하고,
    상기 전실에 기판을 가열하기 위한 가열용 불활성 가스, 또는 기판을 냉각하기 위한 냉각용 불활성 가스를 공급하는 불활성 가스 공급부를 포함하는 것을 특징으로 하는 기판처리장치.
  2. 제 1항에 있어서, 상기 불활성 가스 공급부는 불활성 가스를 샤워 형태로 기판으로 공급하는 것을 특징으로 하는 기판처리장치.
  3. 제 1항 또는 제 2항에 있어서, 상기 전실을 가열실과 냉각실로 나누는 사절체와,
    상기 가열실과 냉각실을 연통하는 연통부와,
    상기 연통부를 통하여 전실을 배기하는 하나의 배기구를 포함하는 것을 특징으로 하는 기판처리장치.
  4. 제 1항 내지 제 3항 중에서 어느 한 항에 있어서, 상기 전실이 로드록실인 것을 특징으로 하는 기판처리장치.
  5. 제 4항에 있어서, 상기 1대의 로드록실과, 1대의 반송장치와, 1대의 기판처리실이 직선 형태로 배치되어 구성된 것을 특징으로 하는 기판처리장치.
  6. 제 5항에 있어서, 상기 반송장치는 기판을 반송할 수 있는 2개의 아암을 갖는 것을 특징으로 하는 기판처리장치.
  7. 처리전의 기판 또는 처리가 종료된 기판을 수용하는 로드록실에서 가열용 불활성 가스에 의하여 처리전의 기판을 예비 가열하고, 또는 상기 처리가 종료된 기판을 냉각용 불활성 가스에 의하여 냉각하는 공정과,
    기판을 반송하는 반송장치에 의하여 상기 예비 가열된 기판을 로드록실로부터 기판처리실로 반송하고, 또는 상기 처리가 종료된 기판을 기판처리실에서 로드록실로 반송하는 공정과,
    상기 로드록실과 기판처리실 사이를 반송하는 기판이 직선 형태로 반송하는 것을 특징으로 하는 반도체 제조방법.
  8. 제 7항에 있어서, 상기 기판처리실에서 기판이 처리되는 사이에 상기 로드록실에 처리전의 기판을 반입하여 예비 가열을 완료하는 것을 특징으로 하는 반도체 제조방법.
  9. 제 8항에 있어서, 상기 반송장치는 반송이 가능한 2개의 아암을 갖고, 한 쪽의 아암으로 상기 예비 가열이 완료된 처리전의 기판을 받아들임과 동시에, 다른 쪽의 아암에서 상기 기판처리실에서 처리가 종료된 기판을 받아들이고, 다음으로 상기 한 쪽의 아암에서 예비 가열을 종료한 기판을 상기 기판처리실로 반입함과 동시에, 상기 다른 쪽의 아암으로 처리가 종료된 기판을 냉각실로 반입하는 것을 특징으로 하는 반도체 제조방법.
KR1020010010881A 2000-03-02 2001-03-02 기판처리장치 및 반도체 제조방법 KR20010087293A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2000057601 2000-03-02
JP2000-57601 2000-03-02
JP2001055012A JP2001319885A (ja) 2000-03-02 2001-02-28 基板処理装置及び半導体製造方法
JP2001-55012 2001-02-28

Publications (1)

Publication Number Publication Date
KR20010087293A true KR20010087293A (ko) 2001-09-15

Family

ID=26586635

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010010881A KR20010087293A (ko) 2000-03-02 2001-03-02 기판처리장치 및 반도체 제조방법

Country Status (4)

Country Link
US (1) US20010035124A1 (ko)
JP (1) JP2001319885A (ko)
KR (1) KR20010087293A (ko)
TW (1) TW497198B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100735935B1 (ko) * 2004-11-01 2007-07-06 동경 엘렉트론 주식회사 기판 처리 방법, 기판 처리 시스템 및 기판 처리 프로그램
KR101432375B1 (ko) * 2011-11-09 2014-08-20 도쿄엘렉트론가부시키가이샤 기판 적재 시스템, 기판 처리 장치, 정전 척 및 기판 냉각 방법

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6290491B1 (en) * 2000-06-29 2001-09-18 Motorola, Inc. Method for heating a semiconductor wafer in a process chamber by a shower head, and process chamber
JP4695297B2 (ja) * 2001-06-26 2011-06-08 キヤノンアネルバ株式会社 薄膜形成装置及びロードロックチャンバー
US6887803B2 (en) * 2001-11-08 2005-05-03 Wafermasters, Inc. Gas-assisted rapid thermal processing
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
KR20030053326A (ko) * 2001-12-22 2003-06-28 동부전자 주식회사 반도체 웨이퍼의 온도균일화장치
FR2847714B1 (fr) * 2002-11-27 2005-02-18 Soitec Silicon On Insulator Procede et dispositif de recuit de tranche de semiconducteur
JP2004200329A (ja) * 2002-12-17 2004-07-15 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
WO2004097913A1 (ja) * 2003-05-02 2004-11-11 Ishikawajima-Harima Heavy Industries Co., Ltd. 真空成膜装置及び真空成膜方法並びに太陽電池材料
CN100431102C (zh) * 2003-05-02 2008-11-05 石川岛播磨重工业株式会社 真空成膜装置和真空成膜方法以及太阳电池材料
US20060045667A1 (en) * 2004-07-14 2006-03-02 Savas Stephen E Substrate handling system and process for manufacturing large substrates
KR100666530B1 (ko) * 2004-11-26 2007-01-09 두산디앤디 주식회사 글라스 히팅장치 및 히팅방법
JP4907077B2 (ja) * 2004-11-30 2012-03-28 株式会社Sen ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
JP4619854B2 (ja) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
JP5011852B2 (ja) 2005-07-20 2012-08-29 富士通セミコンダクター株式会社 電子デバイスの製造方法
JP2007073564A (ja) * 2005-09-02 2007-03-22 Fujitsu Ltd アッシング装置
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US8272825B2 (en) 2007-05-18 2012-09-25 Brooks Automation, Inc. Load lock fast pump vent
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
TWI455861B (zh) * 2007-05-18 2014-10-11 Brooks Automation Inc 基板加工工具、半導體加工工具、及基板加工裝置
WO2009079845A1 (en) * 2007-12-20 2009-07-02 Applied Materials, Inc. Staggered dual proess chambers using one single facet on a transfer module
US20090165714A1 (en) * 2008-01-01 2009-07-02 Dongguan Anwell Digital Machinery Ltd. Method and system for processing substrates in chambers
US20110070370A1 (en) 2008-05-28 2011-03-24 Aixtron Ag Thermal gradient enhanced chemical vapour deposition (tge-cvd)
EP2294244B1 (en) * 2008-05-28 2016-10-05 Aixtron SE Thermal gradient enhanced chemical vapour deposition.
KR100994097B1 (ko) * 2008-09-05 2010-11-12 주식회사 테스 기판처리장치
JP5083193B2 (ja) * 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JPWO2010113941A1 (ja) * 2009-03-30 2012-10-11 東京エレクトロン株式会社 被処理体の冷却方法および被処理体処理装置
US10655219B1 (en) * 2009-04-14 2020-05-19 Goodrich Corporation Containment structure for creating composite structures
EP2360291A1 (de) * 2010-02-24 2011-08-24 Singulus Technologies AG Verfahren und Vorrichtung zum schnellen Heizen und Kühlen eines Substrates und sofort anschließender Beschichtung desselben unter Vakuum
TW201222622A (en) * 2010-04-30 2012-06-01 Tera Semicon Corp Apparatus for processing a substrate
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101223489B1 (ko) * 2010-06-30 2013-01-17 삼성디스플레이 주식회사 기판 가공 장치
JP2012089591A (ja) * 2010-10-18 2012-05-10 Hitachi High-Technologies Corp 真空処理装置及び真空処理方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
KR101288129B1 (ko) * 2011-07-13 2013-07-19 삼성디스플레이 주식회사 기상 증착 장치, 기상 증착 방법 및 유기 발광 표시 장치 제조 방법
JP5518015B2 (ja) * 2011-08-22 2014-06-11 キヤノン株式会社 ロードロック装置、露光装置、および、デバイス製造方法
JP5854741B2 (ja) * 2011-10-04 2016-02-09 株式会社アルバック 基板処理装置
WO2013130191A1 (en) * 2012-02-29 2013-09-06 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6016584B2 (ja) * 2012-11-08 2016-10-26 東京エレクトロン株式会社 ロードロック装置
CN103839875B (zh) * 2012-11-21 2017-08-22 北京北方微电子基地设备工艺研究中心有限责任公司 一种衬底处理系统
WO2014103728A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 成膜装置
WO2014103727A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 SiC膜成膜装置およびSiC膜の製造方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6731805B2 (ja) * 2016-07-12 2020-07-29 東京エレクトロン株式会社 接合システム
CA2974387A1 (en) 2016-08-30 2018-02-28 Rolls-Royce Corporation Swirled flow chemical vapor deposition
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6951923B2 (ja) * 2017-09-27 2021-10-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及びコンピュータ記憶媒体
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102325772B1 (ko) * 2019-10-28 2021-11-12 세메스 주식회사 기판처리장치
KR102418530B1 (ko) * 2021-10-12 2022-07-07 주식회사 바코솔루션 반도체 기판 처리 장치
KR102424853B1 (ko) * 2021-10-12 2022-07-25 주식회사 바코솔루션 반도체 기판 처리 장치
KR102418534B1 (ko) * 2021-10-12 2022-07-07 주식회사 바코솔루션 반도체 기판의 처리를 위한 클러스터 툴 및 그 제어 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960043020A (ko) * 1995-05-25 1996-12-21 시바타 쇼타로 반도체 제조장치 및 로드록 실 산소 농도의 제어방법과 자연 산화막의 생성방법
KR970700935A (ko) * 1994-01-27 1997-02-12 반도체 가공 개량 방법(methods for improving semiconductor processing)
KR19990038724U (ko) * 1998-03-31 1999-10-25 김영환 반도체 웨이퍼 냉각장치
WO1999060609A2 (en) * 1998-05-20 1999-11-25 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
KR20010084625A (ko) * 2000-02-28 2001-09-06 황 철 주 기판 냉각장치를 가지는 반도체 소자 제조용 클러스터 장비

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970700935A (ko) * 1994-01-27 1997-02-12 반도체 가공 개량 방법(methods for improving semiconductor processing)
KR960043020A (ko) * 1995-05-25 1996-12-21 시바타 쇼타로 반도체 제조장치 및 로드록 실 산소 농도의 제어방법과 자연 산화막의 생성방법
KR19990038724U (ko) * 1998-03-31 1999-10-25 김영환 반도체 웨이퍼 냉각장치
WO1999060609A2 (en) * 1998-05-20 1999-11-25 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
KR20010084625A (ko) * 2000-02-28 2001-09-06 황 철 주 기판 냉각장치를 가지는 반도체 소자 제조용 클러스터 장비

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100735935B1 (ko) * 2004-11-01 2007-07-06 동경 엘렉트론 주식회사 기판 처리 방법, 기판 처리 시스템 및 기판 처리 프로그램
KR101432375B1 (ko) * 2011-11-09 2014-08-20 도쿄엘렉트론가부시키가이샤 기판 적재 시스템, 기판 처리 장치, 정전 척 및 기판 냉각 방법

Also Published As

Publication number Publication date
TW497198B (en) 2002-08-01
JP2001319885A (ja) 2001-11-16
US20010035124A1 (en) 2001-11-01

Similar Documents

Publication Publication Date Title
KR20010087293A (ko) 기판처리장치 및 반도체 제조방법
US6949143B1 (en) Dual substrate loadlock process equipment
US6613685B1 (en) Method for supporting a semiconductor wafer during processing
US6402508B2 (en) Heat and cooling treatment apparatus and substrate processing system
JP2575285B2 (ja) 改良されたスループットを有する真空処理装置
EP0910868B1 (en) Method and apparatus for contactless treatment of a semiconductor substrate in wafer form
US7313931B2 (en) Method and device for heat treatment
JP2002222806A (ja) 基板処理装置
KR20040010620A (ko) 처리 장치 및 처리 방법
KR20020020847A (ko) 기판의 열처리 방법 및 기판의 열처리 장치
CN1748285B (zh) 用于均匀加热基片的腔室
TW476982B (en) Substrate processing method and substrate processing apparatus
JP2003037107A (ja) 処理装置及び処理方法
JP2004018215A (ja) フラット・パネル・ディスプレイ用熱処理装置及び熱処理方法
JP2002083859A (ja) 基板処理装置及び基板処理方法
JP3916040B2 (ja) 反応管及び熱処理装置
JP2006190968A (ja) 半導体素子製造装置
JP2003037147A (ja) 基板搬送装置及び熱処理方法
JP2004048068A (ja) 減圧cvd装置、および薄膜装置の製造方法
JP2003037109A (ja) 熱処理装置
JP2002213882A (ja) 熱処理装置および熱処理方法
JP2000161858A (ja) ガラス基板の熱処理方法および熱処理装置
JP2001102374A (ja) 膜形成システム
JPH11274064A (ja) 処理装置
US20050199187A1 (en) Heat treatment apparatus and substrate processing apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application