KR20010062624A - 다중-툴 제어 시스템, 방법 및 매개물 - Google Patents

다중-툴 제어 시스템, 방법 및 매개물 Download PDF

Info

Publication number
KR20010062624A
KR20010062624A KR1020000080197A KR20000080197A KR20010062624A KR 20010062624 A KR20010062624 A KR 20010062624A KR 1020000080197 A KR1020000080197 A KR 1020000080197A KR 20000080197 A KR20000080197 A KR 20000080197A KR 20010062624 A KR20010062624 A KR 20010062624A
Authority
KR
South Korea
Prior art keywords
tool
tools
semiconductor
wafer
output
Prior art date
Application number
KR1020000080197A
Other languages
English (en)
Other versions
KR100683453B1 (ko
Inventor
사순 소메크
하워드이. 그루네스
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010062624A publication Critical patent/KR20010062624A/ko
Application granted granted Critical
Publication of KR100683453B1 publication Critical patent/KR100683453B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Factory Administration (AREA)
  • Multi-Process Working Machines And Systems (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

반도체(예컨대, 웨이퍼) 공정 설비내의 툴들 사이의 통신을 활용하기 위한 시스템, 방법 및 매개물이 개시되어 있다. 특히, 본 발명은 최종 반도체 제품의 품질 및/또는 양에 대해 툴들의 그룹들의 전체 반도체 제품 출력의 보다 큰 제어를 제공한다.

Description

다중-툴 제어 시스템, 방법 및 매개물 {MULTI-TOOL CONTROL SYSTEM, METHOD AND MEDIUM}
본 발명은 다중-툴 반도체 공정 환경에서 툴들의 제어 및 툴들 사이의 통신에 관한 것이다. 특히, 본 발명의 실시예들은 웨이퍼 공정 환경에서 웨이퍼 공정 툴들의 제어 및 그들 사이의 통신을 위한 시스템, 방법 및 매개물에 관한 것이다.
오늘날의 반도체 제조 환경에서, 반도체 제품들(예컨데, 웨이퍼 같은)의 생산을 위한 설비는 전형적으로 그 각각이 하나 이상의 다양한 기능들을 이행하기 위한 다중 툴들을 포함해야 한다. 따라서, 웨이퍼가 로직(예컨데, 중앙 처리 장치들) 또는 메모리(예컨데, DRAM들)와 같은 품목들로 가공되는 곳에서 각각의 툴은 상기웨이퍼상에서 몇몇 특정 기능을 이행하며 이어, 그 상부에서 다음의 툴로 이송된다. (최종적인 제품 출력 즉, 최종 상태의 웨이퍼는, 본 예에서, 최종적으로는 개별적 칩들 예컨대, 중앙 처리 장치들, 및 DRAM들 등으로 절단된다)
도 1을 참조하여 통상의 반도체 제조 설비의 예를 지금부터 설명한다. 도 1을 참조하면, 호스트 컴퓨터(104)는 다양한 관점에서의 반도체 제조 설비의 통신 및 제어하고 있는 것으로서 도시되어 있다. 특히, 호스트 컴퓨터(104)는 반도체 제품들을 처리(또는 검사)하는데에 사용되는 툴들 1-3(각각, 112-116)과 통신한다. 따라서 예컨대, 툴 2(114)가 CMP(Chemical Mechanical Polishing) 툴이 될 수 있는 반면, 툴 1(112)은 디포지션(Deposition) 툴일 수 있다.
도 1에 도시된 각각의 툴을 위한 관련된 국(Station) 제어기(106-110)가 있다. 이러한 국 제어기들은 툴들(112-116) 및 호스트 컴퓨터(104) 사이의 통신을 용이하게 하는데에 사용된다. 상기 툴들은 종종 상이한 프로토콜들을 가지므로, 상기 툴들이 상기 반도체 공정 설비에 공통인 프로토콜을 사용하여 통신하고, 그에 따라 상기 호스트 컴퓨터(104)와 통신하도록 상기 국 제어기들(112-116)을 구현할 필요가 있다. 상기 호스트 컴퓨터(104)와의 최종적인 통신에 사용될 수 있는 공통 프로토콜들에는 SECS/GEM 및 HSMS가 포함된다.
또한, 호스트 컴퓨터(104)는 외부 재료 이송 시스템(118)을 제어하는 재료 이송 제어 장치(104)와 또한 통신한다. 상기 외부 재료 이송 시스템(118)은 반도체 제품들을 (그들의 다양한 생산 단계들에서) 한 툴에서 다른 툴로 물리적으로 이송시키는 것이다. (일반적으로, 반도체 제품들은 25 단위의 카세트들, 박스들 또는포드들(Pods)에 수용된다.) 따라서, 반도체 툴은 주어진 반도체 제품(예컨대, 웨이퍼) 상에 주어진 기능 또는 기능들을 이행하는 디바이스로서 정의할 수 있으며, 그 결과 몇몇의 외부 재료 이송 시스템에게는 상기 반도체 제품의 상기 툴로 및 툴로부터의(그리고, 그에 따라, 그 밖의 툴들로부터 및 툴들로의) 이송이 요구된다.
위에서 설명한 바와 같이, 통상의 반도체 공장 기기를 사용에는 다양한 결점들이 존재한다는 것을 발견하였다. 전형적으로, 이러한 결점들은 툴들의 통신 및 제어와 연관된 문제들과 관련되며, 최종적(및 중간의) 반도체 제품들의 양 및 질에 영향을 줄 수 있다. 이러한 결점들의 몇몇을 아래에서 설명한다.
통상의 반도체 공정 설비들은 그 개별적인 출력(양 및/또는 질이라는 관점에서)을 조절할 수 있는 툴들을 보유하며, 주어진 툴에 대한 어떤 양/명세로 설정될 수 있다. 그러나, 각 툴은 단지 전체 웨이퍼 생산 공정의 일부분일 뿐이다. 더욱이, 주어진 툴의 출력은 대개 웨이퍼로부터 웨이퍼로의 적어도 약간의 변동으로 귀착된다. 따라서, 다중의 툴들의 작업 결과인 최종 출력의 질 및 양을 정확히 제어하기 위해서는, 예컨대, 툴들 사이 및 툴들로의 개선된 통신을 활용함으로써, 다중 툴들의 작용들을 효과적으로 조화시키는 것이 바람직할 것이다. 다음 예컨대, 1) 이전 툴의 출력(질 및/또는 양에 대해)에서의 변동들에 대해 보상하기 위해 툴로 하여금 정보를 제2 툴로 전송하게 하는 것 및/또는 2) 이전 툴의 변동을 통보하게 하여 이전 툴이 다음으로 처리된 제품들을 위해 그 과정을 변경함으로써 이전의 툴이 보상할 수 있게 하는 것을 보다 용이하게 할 것이다. 그러나, 툴들 사이의 통신을 용이하게 할 수 있게 하는 프로토콜들(현재 호스트 중심적인)이 현재에는 존재하지 않는다. 따라서, 툴들의 조합으로부터의 최종적 제품 출력이 보다 정확히 제어, 조절, 및 예견할 수 있도록, 두 개 이상의 툴들 사이의 통신을 보다 용이하게 할 수 있게 하는 기구가 필요하다.
통상의 반도체 공정 설비의 또 다른 문제는 그 반도체 공정 설비에서 처리하는 특정 반도체 제품들의 제조 방법의 변경과 관련된다. (제조 방법은 하나 이상의 반도체 제품들을 주어진 툴 및/또는 일련의 툴들로 통과하도록 지시하는 일련의 단계들이다.) 통상적으로, 만일 특정 목적(예컨대, 특별히 취급해야될 하나 이상의 개별적인 반도체 제품들의 필요성)을 위해 제조 방법을 변경해야 한다면, 전체 제조 방법은 와해될 것이다(예컨대, 그 제조 방법은 변경될 것이고 또한, 개별적인 반도체 제품들의 제조 방법에 행해진 변경을 트랙킹(tracking) 또는 기록할 수 없다). 따라서, 전체 제조 방법을 와해시키지 않고, 특정의 개별적인 반도체 제품들(예컨대, 반도체 웨이퍼들과 같은)의 초기 제조 방법에 행해진 변경들을 시스템적으로 수행, 트랙킹 및 기록하기 위한 기구가 필요하다.
통상의 기구들의 다른 결점은 반도체 공정 설비가 요구하는 (예컨대, 호스트 컴퓨터(104)가 요구하는) 특정 반도체 제품을 생산하기 위해, 그 각각이 다수의 다른 제품들(예컨대, 특정 형태의 중앙 처리 장치들과 같은)을 생산할 수 있거나 또는 많은 다른 단계들을 이행할 수 있는 툴 또는 툴들의 세트를 준비할 수 있는지를 판단하는 것과 관련된다. 재료들은 반도체 공정 설비내의 다양한 적절한 툴들에 제공하기 이전에 적절한 계획을 새우기 위해서는 그러한 정보에 대한 지식은 분명 중요하다. 따라서, 특정 반도체 제품의 생산 및/또는 요구되는/요구받은 단계들을 이행을 위해 툴 또는 일련의 툴들이 준비되었는지를 판단하기 위한 기구가 필요하다. 툴 또는 툴들이 어떤 형태의 보수를 (예컨대, 예방적인 보수와 같은) 받게 될 때와 같은 관련된 정보에 대한 지식을, 주어진 반도체 제품을 생산하기 위해 하나 이상의 툴들이 준비되어 있는지 그 여부와 관련지어 얻는 것이 또한 바람직하다.
통상의 기구들의 또 다른 문제는 하나 이상의 반도체 제품들이 공정 또는 검사를 위해 특정 툴들로 이동할 때, 과거의(그리고 관련된) 특히 상기 반도체 제품(들)에 관한 정보를 반도체 공정 설비내의 그러한 툴들로 옮기는 것과 관련된다. 통상의 기구들은 반도체 제품(들)에 대한 공정 및 검사 정보를 호스트 컴퓨터(104; 어떤 다른 방법들에 사용하기 위해)로 옮길 수 있지만, 이들 기구들은 반도체 제품이 반도체 공정 설비를 통과할 때 상기 반도체 제품에 대한 정보를 그 반도체 제품과 관련짓거나 또는 이러한 정보가 공정 및 검사 툴들에서 실질적 및 자동적으로 이용할 수는 없다. 따라서, 반도체 제품이 반도체 공정 설비를 통과할(및 처리될) 때 과거(그리고 관련된)의 정보를 그 반도체 제품과 관련시키기 위한 기구가 필요하다.
위에서 언급한 결점들 때문에, 그 밖의 경우에 있어서 보다도 빈번히 보수를 위해 툴들을 정지시킬 필요가 있다. 특히, 어떤 반도체 제품이 어떤 툴에 의해 처리될 때, 그 결과물인 반도체 제품은 대개 최적의 원하는 바와는 약간의 편차(예컨대, 결정 구조 및/또는 물리적 명세에 대해서)를 갖는다. 이러한 편차는 1) 풀의 부품들의 마모 및/또는 2) 그 툴이 비교적 짧은 시간에 걸쳐 많은 다른 제품들의 생산에 참여하게 되는(및 예컨대, 한 제품으로부터 다른 제품으로의 전환이 툴의어떤 상태를 완전히 재편성하지 않는) 주물 환경에 있는 경우를 포함한 많은 요인들로 인해 발생될 수 있다. 어떤 점에서, 편차가 너무 크게 되면(예컨대, 툴의 제어 장치를 조절하려는 노력에도 불구하고), 그 결과물인 반도체 제품은 받아들일 수 없을 것이고, 편차를 발생시키는 툴은 수리를 위해 정지시킬 필요가 있을 것이다. 그러나, 만일 편차 정보(예컨대, 과거의 관련 정보)를 다음 툴로의 전이가 있고, 수용할 없는 편차를 상기 다음의 툴로 보상할 수 있다면, 보수를 위해 정지시킬 필요없이 상기 편차를 발생시키는 툴을 계속하여 가동시킬 수 있다. 수리없이 보다 긴 시간동안 상기 편차를 발생시키는 툴을 가동시키는 것은 가격 및 수율의 관점에서 분명히 이점이 있을 것이다.
본 발명은 반도체(예컨대, 웨이퍼) 공정 설비의 툴들 사이의 통신을 용이하게 하기 위한 시스템, 방법 및 매체를 제공함으로써, 위에서 언급한 종래 기기들의 결점들을 완화시킨다. 특히, 본 발명은 최종 반도체 제품의 품질 및/또는 수량에 있어서 툴들 그룹들의 전체 반도체 제품 출력의 보다 개선된 제어를 제공한다. 본 발명의 실시예들은 "모듈(Module)"를 형성하는 툴들의 그룹 사이의 개선된 통신을 제공함으로써 구현된다는 점을 고려한 것이다(여기서, 상기 모듈은 어떤 지정된 기능 또는 기능들을 제공하기 위해 고려된다). 개별적인 툴들 그 자체에 상주하는 분리된 "모듈 제어기" 및/또는 컴퓨터/통신 설비들인 모듈 제어 메카니즘을 통해 이러한 통신을 용이하게 할 수 있다. 이러한 개선된 통신은 특정 반도체 제품에서 발견되는 결점들이 적절한 보상 메카니즘들을 효과적으로 및 자동적으로 야기시킬 수있도록 보다 효과적인 피드백(Feedback) 및 피드포워드(Feed forward) 능력들을 고려한다.
특히, 본 발명은 방법의 변형이 전체 방법에 영향(예컨대, 오염)없이 하나 이상의 반도체 제품들에 상기 방법을 변형시킴으로써, 상기 언급된 개념들을 구현하는 것을 고려한다. 이러한 변형(로부터 어쩌면 개별적으로)의 일부로서, 본 발명은 "이동 정보(Traveling Information)" 파일을 하나 이상의 웨이퍼들과 관련시키고, 반도체 공정 설비를 하나이상의 웨이퍼들과 함께 이동시키는 것을 또한 고려한다.
또한, 본 발명은 상기 툴들이 특정 반도체 제품의 생산에 대한 준비가 되었는지(및 상기 툴들의 보수 사이클에서 어떤 형태의 보수가 발생되도록 계획할 때) 및/또는 적절한 행위가 이행되도록 요청된/요구된 단계들의 실시가 준비되었는지 또는 아닌지를 결정하도록 하나 이상의 툴들에 질문하는 설비들을 또한 제공한다.
도 1은 통상의 반도체 공정 설비를 나타낸 블록 구성도이다.
도 2는 본 발명의 실시예들에 의해 고려된 바와 같이, 툴들의 예시적인 모듈 구성을 도시한 블록 구성도이다.
도 3은 본 발명의 실시예들에 의해 고려된 바와 같이, 다양한 툴 관련 통신 기구들을 실행하기 위한 작용 방법을 도시한 흐름도이다.
도 4a는 툴 상태 요청에 응답한 툴의 3개의 가능 상태들을 나타낸 도면이다.
도 4b는 본 발명의 실시예들에 의해 고려된 바와 같이, 툴에게 질문하기(및 정보를 수신하기) 위한 예시적인 단계들을 도시한 도면이다.
도 5는 본 발명의 실시예들에 의해 고려된 바와 같이, 하나 이상의 웨이퍼들과 관련된 이송 정보 파일을 도시한 블록도이다.
도 6은 이송 정보 파일의 예시적인 포맷을 도시한 도면이다.
도 7은 ID들의 예시적인 계층을 도시한 도면이다.
도 8a 및 8b는 반도체 공정 설비를 통한 물질 전달을 수반하는 통신들에 예시적인 시나리오를 도시한 도면들이다.
도 9는 카세트내의 웨이퍼들에 관한 정보의 예시적인 형태를 도시한 도면이다.
도 10은 호스트 컴퓨터, 툴들 및 모둘 제어기들을 포함하여, 다양한 엔터티들로서 존재(또는 부분이 되는)할 수 있는 예시적인 연산 장치를 도시한 도면이다.
〈도면의 주요부분에 대한 부호의 설명〉
102: 재료 전달 제어 104, 202: 호스트 컴퓨터
106, 108, 110: 제어기 112, 204, 502: 툴 1
114, 206, 504: 툴 2 116: 툴 3
118: 외부 재료 전달 시스템 506: 웨이퍼 X 파일
1004: CPU 1006: 메모리/저장
1008: 디스플레이 1010: I/O
본 발명의 다양한 목적들, 특징들 및 부수의 장점들은 이하 첨부된 도면들을 참조한 다음의 본 발명의 상세한 설명을 참조로 보다 나은 이해로서 그와 같은 것들을 보다 명확히 인식될 수 있을 것이다.
본 발명은 다중-툴 반도체 공정 환경에서 툴들 사이의 통신 및 툴들의 제어에 관한 것이다. 특히, 본 발명의 실시예들은 웨이퍼 공정 환경에서 웨이퍼 공정 툴들의 제어 및 그들 사이의 통신을 위한 시스템, 방법 및 매체에 관한 것이다.
본 발명의 관점들을 다양한 형태의 반도체 제품들과 관련될 수 있는 것으로이해할 수 있지만, 예 및 설명을 위해 참고한 특정 형태의 반도체 제품이 웨이퍼가 되도록 전형적으로 계획한다.
본 발명의 관점들(및 그 실시예들)은 툴들의 합성된 최종 출력의 품질 및/또는 양의 보다 나은 정도의 제어(예컨대, 프리-세트(pre-set) 또는 사용자-상세 방식에서)를 시너지적으로 성취할 목적을 위해 웨이퍼 공정 설비에서 두 개 이상의 툴들 사이의 통신이 용이하게 하는 것과 관련된다. 되도록 및 제어이하, 도면을 참조하여 본 발명의 실시예를 통해 본 발명을 보다 상세히 설명한다. 다양한 실시예들에서, 이러한 툴들(그 통신이 용이한)은 어떤 특정 기능들을 이행하기 위한 모듈들로 함께 그룹화된다. 상기 특정 기능들을 용이하게 하기 위해 상기 툴-대-툴 통신을 용이하게 이행하기 위해, 본 발명의 실시예들은 호스트 컴퓨터와의 분리될 것이 예상되는 모듈 제어기의 사용을 숙고한다. 본 발명의 실시예들은 상기 모듈 제어기가 분리된 엔터티(entity)가 될 수 있다라는 것 및/또는 그 기능의 몇몇 또는 모두가 툴들 그 자체에서 발생될 수 있다라는 것을 예견한다.
상기 모듈 개념을 도 2를 참고하여 보다 상세히 설명한다. 도 2를 참조하면, 툴 1(204)은 및 툴 2(206)가 모듈(218)의 부분으로 도시되어 있다(모듈(218)내의 툴들의 집합이 하나 이상의 특정 전체 기능들을 이행한다는 것이 예견된다). 적어도 본 발명의 몇몇 실시예들은 분리된 모듈 제어기(216)의 이용없이 툴들(204 및 206) 각각이 상호 직접적으로 통신(예컨대, 통신 링크(220)를 통해)할 수 있게 하는 통신 제어(각각, 210 및 214)를 포함하는 툴들 1 및 2(각각, 204 및 206)를 고려한다. 그러한 상황에서, 상기 툴들의 충분한 지능 보유가 고려된다(예컨대, 상기툴들에 의해 처리되는 웨이퍼들과 관련된 정보를 처리 및 통신하기 위한 통신 제어(210 및/또는 214)내의 내장 컴퓨터 메카니즘을 상기 툴들은 갖는다). 이러한 지능으로 상기 툴들은 여기서 설명하는 바와 같이 다양한 프로토콜들과 기술들중 적어도 몇몇을 이용하여 상호 직접 통신하게 된다. 또한, 이러한 지능이 어느 한 툴에 상주하거나 또는 상기 툴들의 상기 다양한 통신 제어들(예컨대, 210 및 214)중 어떤 방식으로 분포될 수 있다라는 것을 본 발명의 실시예들은 고려했다. 또한, 본 시나리오에서는, 개별적인 모듈 제어기(216)가 사용되지 않기 때문에, 툴들(1 및 2)은 (예컨대, 호스트 통신(208 및 212) 및/또는 이하에서 설명하게 되는 바와 같은 이동 정보 파일을 통해) 상기 호스트 컴퓨터로부터 직접 수신되는 다양한 정보에 의존하게 될 것이다.
본 발명의 다른 실시예들은 툴들 사이의 몇몇 또는 모든 통신 상황들이 상기 툴들(204 및 206)로부터 분리된 실체로써 존재하는 모듈 제어기(216)를 통해 발송되게 계획된다. 이러한 시나리오에서, 상기 호스트 컴퓨터(202)가 상기 모듈 제어기(216)와 통신하고, 상기 모듈 제어기가 상기 툴들(204 및 206)과 통신하는 것을 고려한다.
위에서 언급된 시나리오들 예컨대, 개별적인 모듈의 사용, 일부 사용 또는 미사용 중 어느 하나에서, 상기 호스트 컴퓨터(202)는 상기 웨이퍼 공정 설비(상기 모듈(218)이 적어도 부품인)의 전체 기능 제어에 사용되는 것으로서 일반적으로 고려되며, 예컨대 생산 방식에 관한 명령들을 수신하거나 또는 툴 상태를 변경하는 모듈(218)의 부품과 통신한다. 따라서, 호스트(202)는 툴들내에 다른 상태로 존재할 수도 있는 상기 툴 대 툴의 통신 기능 및 상기 모듈 제어기(216)와는 개별적으로 존재 및 작용한다. 또한, 각 시나리오에서, 앞서 설명한 바와 같이, 상기 웨이퍼 공정 설비의 다양한 성분들 사이의 단일 프로토콜이 국 제어기들을 이용해야할 필요성을 완화시킬 수 있다는 것이 고려된다.
상기 호스트 컴퓨터(202)의 목적의 적어도 일부는 상기 툴들을 위한 초기 방법을 이송 또는 선택하는 것이고, 그리고 또한 상기 툴들에게 질문하고 상기 툴들을 이용하여 요청된 제품의 생산을 초기화해야 한다는 것을 본 발명의 실시예들은 고려한다. 또한, 상기 호스트 컴퓨터(202)는 사용중일 수 있는 어떤 외부의 물질 전달 시스템에 관한 적어도 어떤 제어를 갖는다는 것이 또한 고려된다.
도 2의 점선들은 사용중인 개별적 모듈 제어기(216)가 있는지 또는 없는지에 특히 의존하여 존재하거나 또는 존재하지 않을 수 있는 연결들 및 장치들을 가리킨다(즉, 고려된 특정 실시예에 의존하여).
모듈은 프리-세트된 기능을 실행할 수 있도록 일종의 개별적인 팩키지에 함께 놓인 세트, 물리적 엔터티(예컨대, 3개의 툴들 및 모듈 제어기)일 수 있으며, 그리고/또는 모듈은 다중-툴 반도체 공정 환경에서 공장내에 3개의 존재하는 툴들에서 정의 될 수 있으며(예컨대, 어떤 주어진 기능을 이행할 수 있도록 선택 및 그 기능의 이행을 용이하게 하기 위해 통신할 수 있게 할 수 있다) 또는, 3개의 툴들이 공장내에서 산재하여 있을 수 있고 알려진 전체 결과로 이끄는 일련의 앞서 입증된 단계들을 용이하게 이행할 수 있도록 웨이퍼가 그것을 통해 경유할 수 있다라는 것을 본 발명의 실시예들에서 고려한다는 것을 이해할 수 있을 것이다. 또한,상기 위의 가능성들 중 어느 하나는 개별적인 모듈 제어기(216)를 사용 또는 사용하지 않는 실시예들을 고려한다는 것을 이해할 수 있을 것이다.
많은 다른 형태의 툴들이 위에서 설명한 다양한 모듈 기구들 중 어떠한 것을 이용하여 사용될 수 있다(또는 여기서 고려된 다른 비모듈 세팅에서)라는 것을 본 발명의 실시예들은 제시하고 있다. 본 발명의 실시예들에 의해 고려된 모듈의 특정 예는 예컨대, 웨이퍼상에서 앞서 디포지션되고 에칭된 절연층을 통해 확장된 바이어스, 트렌치 및/또는 접속들과 같은 특징들을 채우기 위해 웨이퍼의 생산시의 구리의 사용을 제시하고 있다. 이러한 모듈에 사용될 수 있는 예시적인 툴들은 1) 구리의 또 다른 디포지션을 용이하게 하기 위해 웨이퍼상의 선형 층 및 시드(seed) 층 및 웨이퍼상의 막(film) 층내의 상기 특징들을 디포지션하기 위한 스퍼터링(sputtering) 툴, 2) 상기 특징들을 채우기 위해 상기 웨이퍼상에 구리를 디포지션하기 위한 전기 도금 툴, 및 3) 상기 웨이퍼의 또 다른 처리를 용이하게 하기 위해 상기 전기 도금 공정을 완료한 다음 여분의 물질을 제거하기 위한 CMP(Chemical Mechanical Polishing) 툴을 포함한다. 따라서, 이러한 모듈에서, 웨이퍼가 위에서 언급한 이들 툴들을 차례로 통과되는 것을 고려한다. 본 발명의 몇몇 실시예들은 상기 CMP 툴에 의해 얼마나 많은 연마(polishing)가 행해질 것인지를 결정하기 위해 상기 구리의 두께를 측정하기 위한 개별적인 계측기의 사용을 고려한다. (선택적으로, 상기 계측기 기능은 예컨대, 계측 국의 형태 그 자체로, 상기 CMP 또는 전기 도금 툴과 같은 상기 앞서 언급된 툴들의 하나와 또한 협조될 수 있다.) 따라서, 막(본 예의 경우, 구리 막)의 두께 및/또는 균일성의 측정, 그리고이어서 필요한 연마(예컨대, 만일 있다면, 초기 방식에 의해 명시된 연마량으로부터 얼마나 벗어나야 하는지)를 결정하기 위한 그 측정 정보의 이용은 본 발명의 실시예들에 의해 고려된 특징들이다.
또한, 제1 기능 유닛에 의한 다중-기능(예컨대, 클러스터(cluster)) 툴내의 막의 두께 및/또는 균일성 측정 및 동일 툴내의 제2 기능 유닛(예컨대, 연마 유닛)을 조절하기 위한 그 측정 정보의 이용은 또한 본 발명의 다양한 실시예들에 의해 고려된 관점이다. 그러한 예에 있어서, 여기서 설명한 많은 특성들 및 특징들(예컨대, 기능 유니트들 사이의 통신을 실현하기 위한 모듈 제어기의 사용)은 이러한 다중-기능 툴 실시예에 적용 가능하다.
모듈의 다른 예는 디포지션/에칭 기능을 이행하는 툴들의 세트를 이용하는 것이다. 이러한 모듈을 위해, 예시적인 툴들은 1) 유전막 디포지션을 위한 디포지션 툴, 2) 사진 인쇄 툴, 3) 에칭 툴, 및 4) 에칭 결과를 검사하기 위한 검사 툴을 포함한다. 본 예에서 고려된 바와 같이, 만일 상기 검사 툴에 의한 웨이퍼의 검사가 앞서 언급한 툴들 중 어떤 것이 예상된 바와 같은 기능을 하지 못했다고 가리킨다면, 공정을 진행하려는 연속적인 웨이퍼들에 대해 보다 바람직한 결과를 발생시키기 위해 상기 툴들을 재조정할 수 있도록 귀환을 그들 툴들에 주어질 수 있다. 이러한 방법에 있어서, 개선된 통신(상기 툴들내의 모듈 제어기(216) 또는 지능에 의해 조장되던지)은 상기 웨이퍼들의 개선된 품질을 조장한다.
위에서 언급한 예들에 있어서, 상기 툴들은 캘리포니아 산타 클라라의 어플라이드 머털리얼(Applied Materials of Santa Clara, California) 또는 일본 도쿄의 니콘 회사(Nikon Corporation of Tokyo, Japan)와 같은 다수의 회사들에 의해 제조될 수 있다. 따라서, 위에서 언급한 다양한 실시예들(예컨대, 상기 모듈 제어기(216) 또는 통신 제어기(210, 214)내에서 실시된 개선된 통신)은 그러한 툴들의 다양한 제품들을 사용하여 실시될 수 있다. 위에서 언급한 디포지션/에칭 예에 사용될 수 있는 어플라이드 멀터리얼사에 의해 제조된 툴들의 몇몇 예들이 다음과 같다: 상기 유전체 디포지션 툴은 어플라이드 프로듀서(Applied Producer) 툴, 상기 에칭 툴은 센튜라 에치(Centura Etch), 및 상기 검사 툴은 어플라이드 CD 셈(Applied CD Sem) 툴이 될 수 있다.
물론, 본 발명은 TCP/IP, DCOM, SECS/GEM, CORBA 및/또는 HSMS, 및 NT(Microsoft Corporation of Redmond, Washington로부터의)와 같은 어떤 현재의 또는 미래-인식가능한 프로토콜들을 이용하여 인터페이스(상호 및 호스트 컴퓨터와)할 수 있는 한, 그 밖의 어떤 다수의 다른 툴들이 또한 사용될 수 있다라는 것을 고려한다라는 것을 이해해야 할 것이다.
툴들 1 및 2내의 모듈 제어기(216) 및/또는 호스트 컴퓨터(202)내의 모듈 제어기(216) 및/또는 통신 제어기(210 및 214)는 (캘리포니아, 산타 클라라의 인텔사로부터)팬티엄 프로세서들과 같은 (PC 호환 프로세서들에서 발견되는 그러한 것들과 같은)표준 컴퓨터 성분들을 포함할 수 있다라는 것을 본 발명의 실시예들은 제시하고 있다. (도 10을 참조하여, 이러한 것에 대해 아래에서 또한 보다 자세히 언급할 것이다.)
도 2를 참조하여 위에서 설명한 통신 기기들(및 다른 제시된 관점들)을 활용할 때 도움을 주기 위한 다양한 실시예들의 이용을 본 발명은 고려한다. 그러나, 위에서 지적된 바와 같이 모듈과 관련될 수 있는(그리고 상기 모듈 기기에 적용할 수 없는 어떤 예들에서) 어떠한 용도와는 별개의 이용을 위해 이들 다양한 실시예들 그 자체가 또한 고려된다는 것을 이해해야 할 것이다. 이러한 다양한 실시예들 지금부터 이하에서 설명한다.
도 3을 참조하여, 위에서 언급한 바와 같이 통신 기기들을 활용을 돕는 다양한 실시예들의 몇몇을 이행하기 위한 실행하는 방법을 지금부터 설명한다. 도 3을 참조하면, 블록(302)에 의해 지적된 바와 같이, 단계 1에 의해 웨이퍼들을 배치된다(즉, 생산이 위탁된). 따라서, 이 단계에 있어서, 반도체 공정 설비(또는 그것의 어떤 부분)가 어떤 최종(또는 적어도 중간) 제품으로 웨이퍼들(어떤 초기 또는 중간 상태의)을 처리될 수 있게 웨이퍼들을 배치하는 것이 고려된다.
다음 단계는 블록(304)에 의해 지적된 바와 같이, 특정 제품 생산에 대한 요청이 상기 웨이퍼 공정 설비의 툴(들)에 제공된다. (예컨대, 이러한 요청을 수신한 상기 툴들은 모듈 부분이 될 수 있다.) 본 발명에 의해 고려된 실시예들에 있어서, 그러한 요청은 예컨대, 호스트 컴퓨터에 의해 제공될 수 있다.
상기 툴(들)이 판단 블록(306)에 의해 지적된 바와 같이, 결정 구조, 리플럭티버티(refluctivity), 평탄화, 기타 등등에 대한 특정 특성 및 특징들을 갖는 특정 막 층과 같은, 특정 제품을 생산할 준비가 되어 있는지를 다음 단계에서 판단하게 된다. (하나 이상의 툴들이 어떤 특별히 요청된 또는 요구되는 단계 또는 단계들을 실시할 준비가 되어 있는지에 대한 판단을 본 발명의 실시예들은 또한 고려한다.) 또한, 아래에서 언급하게 되는 바와 같이, 툴이 단지 현재 전체적으로 다른 제품들을 생산할 준비가 되어 있는지 (여기서 상기 툴은 다중의 제품들을 생산할 수 있다) 또는 보수 중이기 때문에 상기 툴이 오프-라인(off-line)인지를 포함하여, 여러 요인들 때문에 상기 툴은 제품을 생산할 준비가 안되어 있을 수 있다. 따라서, 툴이 요청된 제품을 생산할 준비가 되어 있지 않은 경우, 상기 툴의 상태를 사용자에게 알리고 그리고/또는 어떤 계획된 조치를 취하게 할 수 있게 하는 어떤 특정 프로그램을 구동시키는 것 및/또는 상기 툴(또는 툴들)이 특정 제품을 생산할 준비가 될 때까지를 대기를 포함하는 여러 조치들이 취해질 수 있다. 이러한 동작을 블록(308)이 지적하고 있다.
필요로 하는 툴(들)이 특정 제품을 생산할 준비되었다면, 그 때 하나 이상의 처리 방법들이 적합한 툴들에 의해 엑세스(예컨대, 요청된) 또는 호스트 컴퓨터에 의해 툴들에 제공될 수 있으며, 그에 따라 명령한 바와 같이 상기 툴들은 상기 웨이퍼들을 처리하게 될 것이다. 블록(310)이 이러한 동작을 지적하고 있다. 이어, 블록(312)에 의해 지적된 바와 같이, 하나 이상의 방법들에 따라 다음 단계는 웨이퍼를 처리하기 시작할 것이다.
상기 방법에 따라 상기 웨이퍼들이 처리되는 과정동안, 하나 이상의 웨이퍼들이 초기 방법과는 다소 다르게 처리되어야 할 필요가 있을 수 있다. 예컨대, 만일 웨이퍼가 상기 공정의 한 단계에서 에칭된다면, 원하는 목적과는 일관되지 않은 에칭 공정에서의 변동들을 보상하기 위해 다소 다르게 그 웨이퍼를 치리하기 위해 다음 단계에서 바랄 수 있다. 따라서, 블록(314)에서 가리키는 바와 같이, 어떤 웨이퍼 또는 웨이퍼들을 상기 초기 방법(들)과는 다르게 다뤄야 하는지에 대해 판단할 것을 제시한다. 만일 그 대답이 예라면, 블록(318)에서 가리키는 바와 같이, 특별한 처리를 필요로 하는 특정 웨이퍼(들)에 대해서만 단지 상기 방법의 해당 단계들을 변형한다. 초기 방법 단계들에 따라 그 나머지 웨이퍼들은 처리된다. 웨이퍼들에 행해진 특정 변형들은 특별히 변형된 웨이퍼들의 과거를 알기 위해 다음의 가능 독출을 위해 기록된다(예컨대, 다음의 툴 또는 상기 호스트에 의해). 이러한 방식으로, 상기 초기 방법이 특별한 변형을 필요치 않는 나머지 웨이퍼들에 대해 보수되는 한편, 변형들이 이행 및 추적된다.
이어, 초기 방법(들)과 다른 취급을 요하지 않는 웨이퍼들에 대해서는 블록(316)에 나타낸 바와 같이, 초기 방법(들)에 따라 처리된다.
도 3에 도시 및 참조한 바와 같이, 상기 단계들(및 그 시퀀스)은 단지 예시적인 것이고, 본 발명은 언급된 단계들의 다양한 변형들뿐 만 아니라 부가적인 단계들의 사용을 고려한다라는 것을 이해해야 할 것이다.
위에서 지적한 바와 같이, 많은 다른 제품들의 제조에 잠재적으로 참여할 수 있는 툴들의 이용을 본 발명의 실시예는 고려한다. 주어진 제품을 생산하기 위한 노력을 조화시키기 위해, 생산 공정과 관련된 툴들은 호스트 컴퓨터와 같은 어떤 중앙 명령/구동 컴퓨터에 어떤 형태의 명령들의 수신 및 상태(예컨대, 유효성) 정보를 전송할 수 있다라는 것을 본 발명의 실시예들은 고려한다. 이러한 예로서, 하나, 몇몇, 또는 툴들의 전체 공장이 현재 특정 제품의 생산에 대한 준비가 되어 있는지에 관한 상태 질문을 할 수 있다라는 것을 본 발명의 실시예들은 고려한다.
상기 웨이퍼 공정 설비 의해 제조될 수 있는 어떤 주어진 제품(그리고 그에 따라 툴이 그것의 제조에 참여할 수 있는)은 그것과 관련된 특정 제품 ID를 갖는다. 따라서, 주어진 제품을 생산하기를 바라는 경우에는, 툴( 및/또는 그 공정에 관련된 모든 툴들)이 상기 원하는 제품의 제조에 참여할 준비가 되었는지를 결정하기 위해 상태 요청이 (예컨대, 호스트 컴퓨터에 의해) 전송된다. 이러한 상태 요청에 응답하여, 주어진 요청에 대한 특정 툴의 상태를 나타내는 툴 상태가 각 툴에 대해 답변된다.
본 발명의 실시예에 의해 고려된 바와 같이, 툴에 의해 답변된 툴 상태의 예시적인 형태가 도 4a에 도시되어 있다. 도 4a를 참조하면, 상기 예에는 어떤 주어진 툴(특정 툴 ID를 갖는)이 가질 수 있는 3개의 다른 가능 상태들이 도시되어 있다(실제 사용에서는, 이들 상태들 중 단지 하나가 실질적으로 상기 툴에 의해 답변된다). 한 상태에서, 요청되었던 제품의 생산에 참여할 준비가 되었다는 것을 나타낸다. 이러한 상태가 답변될 때, 예컨대, 어떤 형태의 보수(예컨대, 예방적인 보수[pm])를 받았다는 사실과 그 툴이 보수하기 이전에 처리한 웨이퍼들의 개수로 인해 상기 툴이 불활성될 때까지의 시간을 포함하여, 어떤 다른 항목들의 정보에 제공된다. 본 발명의 실시예들에 있어서, 이러한 정보는 상기 툴이 특정 제품을 생산할 준비가 되었다고 나타낼 때조차, 짧은 시간의 보수를 계획할 수 있으므로 중요할 수 있다. 그러한 경우, 상기 제어 엔터티(예컨대, 호스트 컴퓨터)는 상기 원하는 제품의 생산을 연기하고, 상기 툴에게 즉시 보수할 것을 명령할 수도 있다(그 결과, 원하는 제품의 생산을 보다 빨리 시작할 수 있다).
답변될 수 있는(본 예의 도 4a에 도시된 바와 같이) 제2 가능 상태는 상기 툴이 보수를 위해 현재 정지중인 경우이다. 그러한 경우, 본 발명의 실시예들에 의해 고려된 바와 같이, 그 상태에 의해 답변된 항목 정보는 상기 툴이 제품 생산에 복구될 때까지의 남은 시간을 포함한다.
답변될 수 있는 제3 가능 상태는 본 발명의 실시예들에 의해 고려된 바와 같이, 현재 어떤 다른 작업(예컨대, 어떤 다른 제품의 생산에 대한)을 실행하고 있다라는 것이다. 그러한 상태에서는, 시간 및 보수까지의 웨이퍼들 수 뿐만 아니라, 현재 실행하고 있는 작업이 완료되기 전까지의 웨이퍼들의 수가 답변되는 것이 고려된다. 또한, 요청된 특정 제품이 현재 실행하고 있는 제품과 동일하지 않아, 상기 요청된 제품을 생산에 참여하기 위한 상기 툴의 재설정에 시간이 필요하게 되는 경우, 그 때에는 그 시간이 답변될 것이라는 것을 본 발명의 실시예들은 또한 고려한다.
본 발명은 많은 다른 상태들의 이용 및 다른 항목들의 정보를 제공 및 처리할 수 있는 능력을 고려하고 있다라는 것을 이해할 수 있을 것이다. 또한, 본 발명의 실시예들은 어떤 툴 상태에서 답변된 정보가 주어진 제품의 생산에 참여시 툴이 이행해야할 가능 다중 단계들중 어떤 것들이 준비되어 있는지를 고려한다. 따라서, 예컨대, 특정 툴은 특정 제품의 생산에 참여하는 동안, 상기 툴이 3개의 다른 단계들중 단지 두 단계들을 실행할 준비가 된 어떤 주어진 시점에서, 상기 3개의 다른 단계들을 실행할 수 있다. 또한, 툴이 일반적으로 이행할 수 있는 어떤 특정 단계를 이행할 준비가 되어 있는지에 대해 어떤 중앙 명령(예컨대, 호스트) 컴퓨터가상기 툴에게 직접 폴링(poll)할 수 있다라는 것이 또한 고려된다.
위에서 언급된 원리들에 따라 특정 제품의 제조를 요구하기 위한 일련의 예시적인 단계들을 도 4b를 참조하여 지금부터 설명한다. 도 4b를 참조하면, 제어 엔터티(예컨대, 호스트 컴퓨터)는 단계 1에 의해 나타낸 바와 같이, 툴 상태 요청을 출력한다. 이것은 웨이퍼 공정 설비내의 단일 툴, 교차하는 두 개 이상의 툴들, 또는 모든 툴들에 전송된 하나 이상의 제품 ID=s의 리스트 형태이다.
단계 2는 중앙 엔터티(예컨대, 호스트)에 상기 툴에 의해 툴 상태가 전송되는 것을 나타낸다(예컨대, 위의 도 4a를 참고하여 언급한 바와 같이).
한번, 필요한 툴들이 요청된 제품을 생산할 준비되었다라는 지적이 전송되면, 단계 3에서, 서비스 요청이 발생되어(관련된 제품 ID 및/또는 툴 ID=s를 포함하는) 상기 제품의 제조가 시작되거나 또는 어떤 툴 서비스(예컨대, 보수)가 이행된다. 단계 2의 툴 상태 수신 시점 및 상기 툴 서비스 요청의 발생 시점(예컨대, 툴은 정지되었을 수 있다) 사이에 다양한 사건들이 발생될 수 있으므로, 단계 4로 나타낸 바와 같이, 이 때 상기 호스트 컴퓨터가 상기 툴 서비스 요청이 허여되었는지 또는 거절되었는지에 대한 지적이 수신되기를 대기하는 것을 본 발명의 실시예들은 고려한다. 만일, 서비스가 허여된다면, 단계 5로 나타낸 바와 같이, 상기 툴 서비스는 시작하게 될 것이다. (이와는 달리, 서비스가 거절되거나 또는, 툴 서비스 요청에 대한 응답을 받지 못한다면 (그리고 시간 초과가 발생되면), 그 때 상기 툴 서비스는 시작되지 않을 것이다.)
만일 툴 서비스가 시작되고 이어, 완료되는 경우, 상기 툴은 단계 6에 의해지적된 바와 같이, 예컨대, 상기 호스트 컴퓨터에 툴 서비스 완료 메시지를 전송한다.
도 4a의 다양한 상태들 및 파라미터들 및 도 4b의 단계들은 본 발명에 의해 고려된 예들이며, 본 발명은 다른 많은 형태의 파라미터들, 단계들, 및 기타 등등이 여기서 고려된 특징들을 실행하기 위해 또한 사용될 수 있다라는 것을 제시하고 있다라는 것을 이해할 수 있을 것이다.
웨이퍼들의 그룹들(예컨대, 카세트들) 또는 단일 웨이퍼에 속하는 과거의 정보는 기록되며, 상기 웨이퍼 공정 설비를 통과하는 여행의 끝까지 상기 웨이퍼들을 따라 발생된다라는 것을 본 발명의 실시예들은 고려한다. 이러한 방식에서, 만일 웨이퍼가 어떤 주어진 툴에 의해 처리되어 원치 않는 편차가 발생되었다면, 이것에 대한 기록된 정보는 편차에 대해 적절한 보상될 수 있도록 다음의 툴에까지 상기 웨이퍼를 쫓아갈 것이다. 따라서 예컨대, 주어진 웨이퍼와 관련된 정보가 어떤 툴내에서의 적정 온도 미만으로 가열되었다라는 것을 지적한다면, 그 웨이퍼를 받은 다음 툴은 감소된 온도의 영향을 보상하기 위해 이러한 기록된 정보를 활용할 수 있을 것이다.
위에서 설명한 웨이퍼 정보 기록을 위한 기구가 도 5에 도시되어 있다. 도 5를 참조하면, 웨이퍼 X가 외부의 전달 시스템(510)을 통해 툴 1(502)로부터 툴 2(504)로 이송되고 있는 것으로서 도시되어 있다. 상기 이송은 수동 또는 자동일 수 있다. 웨이퍼 X 그 자체뿐만 아니라 이동 정보 파일(본 예에서는 웨이퍼 X 파일이라 함)이 또한 통신 링크(508)를 통해 툴 1(502)에서 툴 2(504)로 이송된다. (툴2가 웨이퍼 X 파일을 자동적으로 이송시킬 수 있거나 또는, 웨이퍼 X 수령시 상기 웨이퍼 X 파일을 요청할 수 있다라는 것을 본 발명의 실시예들은 고려한다. 후자의 경우, 그러한 요청은 툴 1 및/또는 어떤 모듈 제어 메커니즘에 의해 직접적으로 이행될 수 있다. 어떤 경우에서도, 웨이퍼 X 파일이 툴 2에 전송되게 제어된다.)
위에서 언급된 상기 웨이퍼 X 파일(506)은 웨이퍼 처리 설비에서 적절한 툴들에 의해 처리됨으로서, 웨이퍼의 처리(원하는 제품의 생산을 위해)와 관련될 수 있는 많은 다른 항목들의 정보를 포함할 수 있다. 웨이퍼 X 파일(506)에 의해 지적된 바와 같이, 그러한 정보는 초기 방법들에 의한 지적과는 다르게 어떻게 웨이퍼 X가 처리되어야하는지를 나타내는 정보를 포함할 수 있다라는 것을 의미하는 순방향 공급 정보일 수 있다. 웨이퍼 이력(즉, 웨이퍼 X 파일에)에 기록된 바와 같이, 상기 변동에 의존하여, 초기 방법(들)과의 편차들은 단일 툴상에서의 한 단계 또는, 여러 툴들에 걸친 다중 단계들에서의 차이일 수 있다. 일반적으로, 상기 변동에 대한 보상을 위해 취해야 할 필요가 있는 어떠한 교정 측정일지라도 이행된다라는 것을 제시한다.
도 5의 웨이퍼 X 파일(506)과 같은 이송 정보 파일의 사용의 특정 응용 및 그 환경의 예로서, 툴 2(504)는 CMP 장치일 수 있으며, 툴 1(502)은 웨이퍼에 대한 정보를 발생시키고 상기 이송 정보 파일에 그 정보를 저장할 수 있는 계측 장치일 수 있다. 두께 또는 균일성 프로파일(예컨대, 웨이퍼상의 위치 함수로서 상기 웨이퍼의 두께 또는 균일성 지적)을 상기 이송 정보 파일내의 순방향 공급 정보로부터 도출시킬 수 있다라고 가정하면, 이 때 상기 CMP 장치는 연마의 균일성의 개선 및이전 툴들에서 발생되었던 변동들에 대한 보상을 위해 그 정보를 사용할 수 있다. 따라서, 상기 일 방사상의 영역이 다른 영역보다 두껍다면, 상기 CMP 장치는 상기 웨이퍼의 다른 방사상의 영역들에 적용할 다수의 압력들을 결정하기 위해 상기 순방향 공급 정보를 이용할 수 있다. 두꺼운 영역에 보다 큰 압력을 가함으로써, 상기 두꺼운 영역으로부터 물질이 선택적으로 제거되어, 웨이퍼의 평탄서이 개선되고 이전 툴에서의 변동을 보상할 수 있게 된다. 웨이퍼에 선택적인 압력을 적용할 수 있는 CMP 시스템의 예가 1999년 7월 19일자로 출원된 임시(provisional) 미국 출원 번호 60/143,219에 기술되어 있으며, 그 전체 공개 내용이 참조로서 협조된다.
위의 도 5의 설명이 단일 웨이퍼에 대해서지만, 적어도 어떤 예들에서는 대략적으로 동일하게 취급되는 범위까지 웨이퍼들의 그룹의 이력(예컨대, 카세트 또는 다량의 웨이퍼들)은 이송 정보 파일에 또한 기록되고 웨이퍼 공정 설비 끝까지 그 그룹을 추적한다라는 것을 본 발명에서 고려하고 있다는 것을 이해할 수 있을 것이다.
상기 이송 정보 파일뿐 만 아니라 또한 연계하여, 귀환 정보를 활용할 수 있다라는 것을 본 발명은 고려한다. 따라서, 예컨대, 툴 2에서 수신한 툴 1로부터의 하나 이상의 이송 정보 파일이 보상해야 할 필요가 있는 툴 1에 의한 변동을 지적한다면, 툴 1의 어떤 특성들을 조절할 필요가 있다라는 것을 지적하는 귀환 정보(512)가 툴 2로부터 툴 1로 전송될 수 있다. (이러한 궤환은 이송 정보 파일(506)의 복사본이 효과적일 수 있다라는 것을 본 발명의 실시예들은 고려한다.) 이러한 방법으로, 툴 1이 이들 조절들을 이행하게 되면, 다음의 웨이퍼들은 원하는 형태로 처리될 수 있다.
여기서 설명된 특히 도 5를 참조한 개념들은 본 발명과 관련된 어떤 특별한 이점들을 낳는다. 예컨대, 위에서 설명한 바와 같은 순방향 공급 개념의 실시는 주어진 툴로하여금 주어진 반도체 제품을 생산하는 과정에서 받아들일 수 있는 것보다 큰 변동(예컨대, 결정 구조 및/또는 물리적인 치수에서의)을 가지고 웨이퍼들을 제조할 수 있게 할 수 있다. 이것은 다음의 툴이 이러한 변동을 보상할 수 있기 때문이다. 이것의 결과는 수리할 목적(툴이 제공하는 제품이 다음(또는 앞의) 공정 보상을 필요로 하지 않는 범위내에 있도록 하기 위해)으로 개별적인 툴을 중단(또는 그 생산 속도의 완화)시킬 수 필요성을 줄일 수 있다는 것이다. 상기 공구들이 상기 시간 보다 적은 시간 동안 유지하지 위하여 아래로 내려가므로, 수율이 증가하고 상기 공구들을 유지하기 위한 가격은 감소한다. 또한 상기한 피드백 개념을 이행함으로써 유사한 장점이 생길 수 있다. 순방향 및 피드백 공급 개념이 적용할 수 있도록 고려되는 경우의 상황들은 상기 툴의 어느 부분들이 과도한 시간 동안 생성 결과의 변화를 증가시키고, 그렇지 않으면 상기 결과로 얻어진 생성물이 좁은 지정 제한 내에 있는 및/또는 주조 환경에 있는 것을 확실하게 하기 위한 그들의 수명 끝 전으로 대체되는 것을 포함한다. 상기 툴이 이전에 참여하였던 툴과는 다른 반도체 생성물의 생성 과정에 참여하는 경우, 상기 툴에 의해 제공된 상기 프로세스는 상기 제2 반도체 생성물의 제작을 위하여 변경되어야 한다.
또한, 본 발명의 실시예는 여기에서 논의되는 다양한 개념들과 특히, 상기 도 5에 관계된 것들은 다기능 툴(예를 들어, 클러스터) 내에서, 두께의 특정 그리고/또는 필름의 등질성에 관해서는 역시 적용 가능하다하다. 따라서, 클러스터 툴 내의 제1 기능부가 웨이퍼의 두께 그리고/또는 등질성에 관한 측정 정보를 획득할 수 있고, 상기 클러스터 툴 내의 제2 기능부에 상기 정보를 전달할 수 있다. 상기 제2 기능부는 (필요하거나 요망되는 경우에) 수신된 측정 정보에 따라서 그것의 작동(예를 들면, 오염의 양)을 조정한다.
단일 웨이퍼에 대한 정보를 포함하고 있는 진행 정보 파일용의 전형적인 형식(특히, 여기서 본래의 컴퓨터 정보는 상기 서술된 대로, 상기 툴들 안에 존재한다)이 도 6에 관해서 설명되고, 도시되어 있다. 도 6에 관하여, (예를 들어, 방법 단계들 같은) 동작들의 세트(set)가 취해지기 위하여 주어진 툴을 툴 ID가 지시하고 있다. 동작들의 세트는 바라는 제품을 생산하기 위하여 (특별한 웨이퍼 ID를 갖는) 각 웨이퍼를 프로세싱하는 각 툴에 대한 진행 정보 파일에 존재한다. 도 6에 도시되었듯이, 프로세스와 관련된 n 단계들이 있으며, 각각은 방법과 관련되어 있다. 따라서, 본 예시에서 (상기에 제시하였듯이, 호스트 컴퓨터에서 실현된 본 발명의 실시예에 의한) 초기 방법은 보이는 대로 툴 ID를 갖는 툴에 의하여 실시되어진다.
상기 방법에 관련하여, 상기 웨이퍼와 관련된 파라미터들을 또한 기록한다. 상기 파라미터들은 상기 툴에 의하여 실시되어진 초기 방법으로부터 변화한 특별한 면들을 표현한다. 예를 들면, 특별한 툴 ID가 폴리싱(polishing) 툴을 표현하고, 유출물에서 상기 웨이퍼가 관련된 방법에 의하여 달리 묘사된 것을 초과하여 다른 추가적인 10초의 폴리싱이 필요한 경우, 초과된 10초를 위해 필요한 것은 상기 방법과 관련된 파라미터들을 기록하는 것이다. 따라서, 상기 파라미터들은 (예를 들어, 상기 툴들 중 하나에 의하여)계산되어지고, 상기 웨이퍼 프로세싱 설비를 통하여, 상기 웨이퍼 진행의 과정을 기록한다.
상기 웨이퍼의 히스토리, 웨이퍼의 두께, 균질성 등등에서 일정한 시점에서의 상기 웨이퍼의 온도 같은 웨이퍼에 적합한 데이터의 항목들을 포함하여 데이터 리스트를 계획한다. 예를 들어서, 상기 웨이퍼가 초기 방법에 의하여 기술되어진 것으로부터의 처리 차이를 착수할 필요가 있는지 여부를 결정하는데 사용되어지는 상기 데이터 리스트 안에 정보가 있음이 계획되어진다(따라서, 추가적인 정보를 상기 파라미터들에 등록하게 된다).
상기 기술된 바에 따라, 도 6에 의하여 기술된 형식은 실시예이며, 다른 많은 형식들 또한 심사숙고 되어야 한다.
일반적으로, 웨이퍼 프로세싱 설비에서 툴은 다양한 크기로 분류된 그룹들로 웨이퍼들을 수용하고 있다. 종종, 웨이퍼들은 (일반적으로 25개의 웨이퍼들로 구성되는)하나 이상의 카세트들의 그룹에서 툴로 보내진다. 각각 카세트는 그것이 관련된 그 자신의 재료(Material) ID(카세트 ID)를 가질 수 있다. (다수의 웨이퍼들로 구성된) 로트(lot)는 일반적으로 다수의 카세트들(또는 카세트의 부분들)로 구성되고, 그들 자신에 관련된 로트 ID 을 가진다. 마지막으로, 웨이퍼는 그 자체로, 그 자신의 개별적인 웨이퍼 ID를 가진다. 하나의 표본적인 계층구조는 도 7에 도시되어 있다.
툴 또는 툴들의 그룹들이 주어진 물품의 생산할 준비가 되었는지 여부를 판단하는 것과 프로세싱 동안, 특별한 웨이퍼들 진행 상태에 대한 정보를 전달하는 것외에, 본 발명의 실시예는 도 1 및 도 2에서 묘사하고 있는 타입 같은 사용, 환경 내에서의 작동, 재료 수송 시스템을 또한 고려한다. 상기 시스템의 실행에 있어서, 도 8a는 재료 수송 시스템(여기에서 전달된 정보 그리고/또는 재료들은 호스트 컴퓨터/수송 시스템 그리고/또는 다른 툴로부터 나온다)에서 툴로 재료의 전송(예: 웨이퍼들의 카세트들)을 포함하는 단계들에 대한 표본적인 개요를 도시하는 반면, 도 8b는 툴로부터 재료들의 검색을 포함하는 단계들에 대한 표본적인 개요를 도시한다. 도 8a와 도 8b에서 보여지듯이, 상기 카세트 레벨의 웨이퍼들(즉, 웨이퍼들의 모든 카세트들로 각각 카세트 ID를 갖는)은 전송되어지고 질문되어지는 것이다. 그러나, 많은 다른 타입의 개요들, 단계들 그리고 웨이퍼들의 그룹은 또한 본 발명의 배경면에서, 그리고 사용면에서 심사숙고 되어야 한다.
도 9에는 (특정 카세트 ID)을 갖는 웨이퍼의 카세트에 관한 정보가 도시되어 있다. 상기 정보는 툴에 운반되어 웨이퍼 ID를 갖는 특정 웨이퍼와 결합하고 주어진 웨이퍼 ID를 갖는 특정 웨이퍼의 카세트에서의 어느 물리적인 슬롯이 위치되는 지를 확인한다. 상기 방법에 있어서, 툴이 그렇지 않으면 주어진 방법으로 지시되는 상기 방법과는 다른 방법으로 하나 이상의 단계를 이행(또는 변경)할 필요가 있는 경우, 상기 툴은 상기 카세트가 상기 툴로부터 배달 또는 이동될 때 적절한 웨이퍼가 어느 슬롯에 있는 지를 알 것이다.
본 발명의 실시예들은 상기 툴을 넣는 카세트와는 다른 카세트에 주어진 웨이터를 배치할 수 있는 툴들과 잠재적으로 동작하는 것을 고려한다. 하지만, 상기경우에 있어서, 본 발명은 상기 발생이 예측되고 진로가 추적되고, 주어진 웨이퍼에 대응하는 적합한 정보가 상기 웨이퍼에 계속해서 연관된다는 것을 고려한다.
본 발명의 실시예는 상기 호스트 컴퓨터, 툴들 및/또는 모듈 제어기들과 같은 여러 가지 장치로서 또는 상기 여러 가지 장치의 일부로서 다양한 컴퓨터들 및 컴퓨터 부품들, 및/또는 상기 컴퓨터들 및 컴퓨터 부품들을 갖는 환경의 이용을 고려한다. 본 발명의 실시예들에 이용될 수 있는 그러한 컴퓨터 장치의 전형적인 예가 도 10에 도시되어 있다. 도 10을 참조하면, CPU(1004)는 버스(1002)를 통하여 메모리/저장 장치(1006)와 통신한다. CPU(1004)는 일리노이에 위치하는 모토로라사 또는 인텔사에 의해 제작된 것을 포함하는 여러 형식의 프로세서일 수 있다. 상기 메모리/저장 장치(1006)는 D램 및 S램과 같은 여러 가지 형식의 메모리 장치, 및 자기 매체 및 광학 매체를 갖는 여러 가지 형식의 저장 장치일 수 있고, 상기 메모리/저장 장치(1006)는 통신 전송의 형식을 가질 수 있다.
디스플레이 장치(1008)는 가시 및/또는 오디오 정보를 사용자에게 전달하는 다수의 장치일 수 있다. 입력/출력 인터페이스 장치(1010)는 버스(1002)와 통신하고, 어느 장치가 상기 컴퓨터 장치(1000)(또는 상기 컴퓨터의 일부)를 대표하느냐에 따라 상기 컴퓨터 장치(1000)가 호스트 컴퓨터들, 툴들 또는 모듈 제어기들과 같은 다른 장치들과 인터페이싱 하도록 한다.
컴퓨터 장치(1000)는 개인용 컴퓨터(예를 들면, 인텔-기본 장치)와 같은 오프-더-셀프 장치이거나 랙 상의 부품일 수 있다. 마이크로소프사가 제작한 NT와 같은 다수의 동작 시스템이 이용될 수 있다. 또한 컴퓨터 장치(1000)(및/또는 상기컴퓨터 장치의 여러 가지 부품들)는 예를 들면, 상기한 바와 같은 통신 메카니즘, 망 메카니즘, DCOM과 같은 프로토콜들, SECS/GEM에 의해 이용된 HSMS 프로토콜 표준안, UT의 프로보에 위치하는 노벨사에 의해 제작된 NT 또는 노벨과 같은 망 동작 시스템을 이용하여 입력/출력부(1010)를 경유하여 연결된다.
물론, 상기한 부품들이 예로서 설명되지만, 본 발명에 의하면, 다수의 형식의 부품들 및 구성 요소가 이용될 수 있다.
일반적으로, 본 발명의 실시예에 따른 다양한 부품들은 하드웨어, 소프트웨어, 또는 상기 하드웨어와 소프트웨어의 결합에 의해 이행될 수 있다는 강조되고 있다. 그러한 실시예들에 의하면, 다양한 부품들 및 단계들은 하드웨어 및/또는 소프트웨어에 의해 이행되어 본 발명의 기능들을 수행한다. 곧 유용하거나 미래에 개발될 컴퓨터 소프트웨어 언어 및/또는 하드웨어 부품들이 본 발명의 실시예에 이용될 수 있다. 예를 들면, 상기한 바와 같은 기능들 중의 적어도 일부는 C, C++, 또는 사용될 프로세서들에 의한 어느 어셈블리 언어에 의해 수행될 수 있다. 그것을 또한 자바와 같은 해석 환경에 라이트된다.
본 발명은 이상과 같이 기재된 실시예에 대하여만 상세히 설명되었지만, 본 발명의 사상과 범위 내에서 변경이나 변형할 수 있음은 본 발명이 속하는 분야의 당업자에게는 명백한 것이며, 이러한 변경이나 변형은 첨부된 특허청구범위에 의하여 제한되어야 한다
본 발명은 최종 반도체 제품의 품질 및/또는 양에 대해 툴들의 그룹들의 전체 반도체 제품 출력의 보다 큰 제어를 제공하는 효과를 가진다.

Claims (18)

  1. 모듈의 개별 툴로부터의 제품 출력을 상호 작용으로 모니터링 및 조절하기 위한 시스템에 있어서,
    상기 출력은 상기 모듈을 구성하는 2개 이상의 반도체 준비 툴들의 공동 작용의 결과이며, 상기 시스템은,
    반도체 제품에 제1 공정을 이행하여 제1 출력을 생산할 수 있는 상기 2개 이상의 반도체 툴들 중의 제1 툴;
    상기 제1 툴로부터의 상기 제1 출력을 입력으로서 받으며, 상기 반도체 제품에 제2 공정을 이행하여 제2 출력을 생산할 수 있는 상기 2개 이상의 반도체 툴들 중의 제2 툴;
    여기서, 상기 제1 툴은 막의 두께 및 균일성에 관한 측정 데이터를 측정 및 얻으며, 상기 측정 데이터는 상기 제2 툴의 동작을 변형시킬 때 이용하기 위해 상기 제2 툴에 전송되며; 및
    상기 모듈이 원하는 반도체 제품 출력을 산출할 수 있도록 상기 제1 툴 및 상기 제2 툴 사이의 정보 교환을 활용할 수 있는 모듈 제어 메커니즘을 포함하며,
    여기서, 상기 반도체 제품 출력은 상기 제2 출력으로부터의 결과이거나 또는 상기 제2 결과인 것을 특징으로 하는 다중-툴 제어 시스템.
  2. 제1 항에 있어서, 상기 제1 툴은 계측 국을 포함하며, 상기 제2 툴은 CMP 장치를 포함하는 것을 특징으로 하는 다중-툴 제어 시스템.
  3. 제2 항에 있어서, 상기 제2 툴의 동작을 변형시키는 것은 상기 반도체 제품이 연마 표면에 가압됨에 따라 상기 반도체 제품의 다른 영역들에 적용하기 위한 다수의 압력들을 결정하는 것을 포함하는 것을 특징으로 하는 다중-툴 제어 시스템.
  4. 제1 항에 있어서, 상기 모듈 제어 메커니즘은 상기 제1 툴의 부품이거나 또는 상기 제1 및 제2 툴들 사이에 배치되는 것을 특징으로 하는 다중-툴 제어 시스템.
  5. 최종 반도체 출력이 멀티-기능 툴을 구성하는 2개 이상의 기능 유닛들의 공동 작용의 결과이고, 상기 멀티-기능 툴로부터의 상기 최종 반도체 제품 출력의 품질 및/또는 양을 제어하기 위한 시스템에 있어서,
    반도체 제품에 제1 공정을 이행할 수 있고 제1 출력을 생산할 수 있는 상기 2개 이상의 기능 유닛들 중의 제1 기능 유닛,
    여기서, 상기 제1 기능 유닛은 막의 두께 및 균일성에 관한 측정 데이터를 측정 및 얻으며;
    상기 제1 기능 유닛로부터의 상기 제1 출력을 입력으로서 받으며, 상기 반도체 제품에 제2 공정을 이행하여 제2 출력을 생산할 수 있는 상기 2개 이상의 기능유닛들중의 제2 기능 유닛,
    여기서, 상기 제1 기능 유닛으로부터의 상기 측정 데이터는 상기 제2 기능 유닛의 동작을 변형시킬 때 이용하기 위해 상기 제2 기능 유닛으로 이송되며;
    상기 다중-기능 툴이 프리-세트 또는 사용자-명시 최종 반도체 제품 출력을 산출할 수 있도록 상기 제1 기능 유닛 및 상기 제2 기능 유닛 사이의 정보 교환을 활용할 수 있는 모듈 제어 메커니즘을 포함하며,
    여기서, 상기 반도체 제품 출력은 상기 제2 출력으로부터의 결과이거나 또는 상기 제2 결과인 것을 특징으로 하는 다중-툴 제어 시스템.
  6. 제5 항에 있어서, 상기 제1 기능 유닛은 계측 국을 포함하며, 상기 제2 기능 유닛은 CMP 장치를 포함하는 것을 특징으로 하는 다중-툴 제어 시스템.
  7. 제6 항에 있어서, 상기 제2 기능 유닛의 동작을 변형시키는 것은 상기 반도체 제품의 다른 영역들에 적용하기 위한 다수의 압력들을 결정하는 것을 포함하는 것을 특징으로 하는 다중-툴 제어 시스템.
  8. 그 각각이 별개의 기능을 제공하기 위한 적어도 두 개의 웨이퍼 공정 툴들을 포함하는 웨이퍼 공정 설비에서 웨이퍼들을 처리하는 방법에 있어서,
    (1) 상기 웨이퍼 공정 설비로 개별적인 다수의 웨이퍼들이 처리될 수 있도록 배치시키는 단계;
    (2) 상기 개별적인 다수의 웨이퍼들 각각으로부터 특정 제품을 생산할 것을 요구하는 요청을 상기 적어도 두 개의 웨이퍼 공정 툴들에 전송하고, 상기 적어도 두 개의 웨이퍼 공정 툴들로부터 상기 요청이 받아들여 질 수 있는지를 판단하는 단계;
    (3) 상기 특정 제품이 생산될 수 있다라는 것이 상기 단계 (2)에서 판단하자마자, 초기 방법을 엑세스하는 단계,
    상기 초기 방법은 상기 특정 제품을 생산하기 위해, 상기 적어도 두 개의 웨이퍼 공정 툴들을 이용하는 다수의 공정 단계들을 통해 상기 개별적인 다수의 웨이퍼들을 감독하며;
    (4) 상기 개별적인 다수의 웨이퍼들 중 적어도 하나의 특성에 대한 정보를 수신하자마자:
    (i) 상기 개별적인 다수의 웨이퍼들 중 적어도 하나를 위한 상기 초기 방법의 상기 공정 단계들 중 적어도 하나를 요구되는 곳에서 변형하는 단계;
    (ii) 상기 개별적인 다수의 웨이퍼들에서 단계 (i)에 의해 변형되지 않은 것들을 위한 상기 초기 방법의 활용을 계속하는 단계; 및
    (5) 잠재된 미래의 용도를 위해, 상기 개별적인 다수의 웨이퍼들 중 상기 하나를 위한 상기 초기 방법에 행해진 변형을 저장하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 공정 설비에서 웨이퍼들을 처리하는 방법.
  9. 반도체 제조 환경에서 제1 반도체 제품을 생산하는 시스템에 있어서,
    상기 제1 반도체 제품의 생산에 대한 요청을 전송하는 구동 컴퓨터;
    적어도 두 개의 개별적인 반도체 제품들의 생산에 참여할 수 있는 멀티-제품 툴,
    여기서, 상기 제1 반도체 제품의 생산시의 참여는 제2 반도체 제품의 생산시의 참여보다는 적어도 하나의 다른 기능 및/또는 비가공 재료의 사용을 요구하며,
    상기 툴은 상기 구동 컴퓨터로부터의 생산에 대한 상기 요청을 수신 및 상기 제1 반도체 제품의 생산 참여를 위해 상기 툴이 현재 사용가능한지를 판단하며;
    상기 툴이 상기 제1 제품의 생산에 참여할 준비가 된 경우에 제1 반도체 제품 준비 지적을 그리고 상기 툴이 상기 제1 제품의 생산에 참여할 준비가 되지 않은 경우에는 제1 반도체 제품 비준비 지적을 상기 구동 컴퓨터로부터 상기 툴에 제공하기 위한 상태 평가기; 및
    상기 제1 제품 준비 지적의 상기 구동 컴퓨터에 의한 수신하자마자, 상기 툴에 의한 상기 제1 반도체 제품의 생산 참여를 구동하기 위한 생산 구동기를 포함하는 것을 특징으로 하는 반도체 제조 환경에서 제1 반도체 제품을 생산하는 시스템.
  10. 제9 항에 있어서, 상기 상태 평가기는 상기 툴이 비활성화될 때까지의 시간과 관련된 정지 정보를 상기 구동 컴퓨터에 이송시키며, 상기 구동 컴퓨터는 상기 툴이 비활성화될 때까지의 시간을 지적하는 상기 정보 정보가 나타낸 지정된 문턱 레벨내에 있는 경우 상기 제1 반도체 제품의 생산을 구동시키지 않는 것을 특징으로 하는 반도체 제조 환경에서 제1 반도체 제품을 생산하는 시스템.
  11. 제10 항에 있어서, 상기 툴은 보수로 인해 비활성화되는 것을 특징으로 하는 반도체 제조 환경에서 제1 반도체 제품을 생산하는 시스템.
  12. 제9 항에 있어서, 상기 툴은 상기 제1 반도체 제품의 생산에 참여할 준비가 되지 않았으며, 상기 상태 평가기는 상기 툴이 상기 제1 반도체 제품의 생산에 참여할 준비가 될 때까지의 시간을 지적하는 정보를 전송시키는 것을 특징으로 하는 반도체 제조 환경에서 제1 반도체 제품을 생산하는 시스템.
  13. 반도체 공정 설비에서 정보를 웨이퍼와 관련시키는 방법에 있어서,
    (1) 제1 웨이퍼 공정 툴에서 웨이퍼를 처리하고, 이송 정보 파일에 상기 웨이퍼에 속하는 정보를 저장하는 단계,
    여기서 상기 이송 정보 파일은 상기 웨이퍼의 상태에 속하는 정보를 포함하며;
    (1) 상기 웨이퍼를 제2 웨이퍼 공정 툴에 이송시키는 단계;
    (2) 상기 제2 웨이퍼 공정 툴에 상기 웨이퍼와 함께 상기 이송 정보 파일을 이송시키는 단계;
    (3) 상기 제2 웨이퍼 공정 툴에 의해 상기 이송 정보 파일이 수신되는 단계; 및
    (4) 상기 이송 정보 파일내의 상기 제1 정보를 사용하여 상기 제2 공정 툴에서 상기 웨이퍼를 처리하고, 상기 웨이퍼에 속하는 제2 정보를 상기 이송 정보 파일에 저장하는 단계를 포함하는 것을 특징으로 하는 반도체 공정 설비에서 정보를 웨이퍼와 관련시키는 방법.
  14. 제13 항에 있어서, 이송 정보 파일은 방법을 포함하며, 상기 제1 웨이퍼 공정 툴은 상기 웨이퍼를 처리하기 위해 상기 이송 정보 파일을 이용하는 단계를 포함하는 것을 특징으로 하는 반도체 공정 설비에서 정보를 웨이퍼와 관련시키는 방법.
  15. 모듈로부터의 최종 반도체 제품 출력의 품질 및/또는 양을 제어하기 위한 시스템에 있어서, 상기 최종 반도체 출력은 상기 모듈을 구성하는 두 개 이상의 반도체 준비 툴들이 협동한 노력의 결과이며, 상기 시스템은:
    반도체 제품에 제1 공정을 이행하여 제1 출력을 생산할 수 있는 상기 2개 이상의 반도체 툴들 중의 제1 툴;
    상기 제1 툴로부터의 상기 제1 출력을 입력으로서 받으며, 상기 반도체 제품에 제2 공정을 이행하여 제2 출력을 생산할 수 있는 상기 2개 이상의 반도체 툴들 중의 제2 툴;
    여기서, 상기 제1 툴은 막의 두께 및 균일성에 관한 측정 데이터를 측정 및 얻으며, 상기 측정 데이터는 상기 제2 툴의 동작을 변형시킬 때 이용하기 위해 상기 제2 툴에 전송되며; 및
    상기 모듈이 사전에 설정된 또는 사용자 명시 최종 반도체 제품 출력을 산출할 수 있도록 상기 제1 툴 및 상기 제2 툴 사이의 정보 교환을 활용할 수 있는 모듈 제어 메커니즘을 포함하며,
    여기서, 상기 최종 반도체 제품 출력은 상기 제2 출력으로부터의 결과이거나 또는 상기 제2 결과인 것을 특징으로 모듈로부터의 최종 반도체 제품 출력의 품질 및/또는 양을 제어하기 위한 시스템.
  16. 제15 항에 있어서, 상기 제1 툴은 계측 국을 포함하며, 상기 제2 툴은 CMP 장치를 포함하는 것을 특징으로 하는 모듈로부터의 최종 반도체 제품 출력의 품질 및/또는 양을 제어하기 위한 시스템.
  17. 제16 항에 있어서, 상기 제2 툴의 동작을 변형시키는 것은 상기 반도체 제품이 연마 표면에 가압됨에 따라 상기 반도체 제품의 다른 영역들에 적용하기 위한 다수의 압력들을 결정하는 것을 포함하는 것을 특징으로 하는 모듈로부터의 최종 반도체 제품 출력의 품질 및/또는 양을 제어하기 위한 시스템.
  18. 제15 항에 있어서, 상기 모듈 제어 메커니즘은 상기 제1 툴의 부품이거나 또는 상기 제1 및 제2 툴들 사이에 배치되는 것을 특징으로 하는 모듈로부터의 최종 반도체 제품 출력의 품질 및/또는 양을 제어하기 위한 시스템.
KR1020000080197A 1999-12-22 2000-12-22 멀티-툴 제어 시스템, 방법 및 매개물 KR100683453B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/469,227 1999-12-22
US09/469,227 US6640151B1 (en) 1999-12-22 1999-12-22 Multi-tool control system, method and medium

Publications (2)

Publication Number Publication Date
KR20010062624A true KR20010062624A (ko) 2001-07-07
KR100683453B1 KR100683453B1 (ko) 2007-02-20

Family

ID=23862973

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000080197A KR100683453B1 (ko) 1999-12-22 2000-12-22 멀티-툴 제어 시스템, 방법 및 매개물

Country Status (5)

Country Link
US (2) US6640151B1 (ko)
EP (1) EP1111657A3 (ko)
JP (1) JP2001244161A (ko)
KR (1) KR100683453B1 (ko)
TW (1) TW546681B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101287169B1 (ko) * 2005-10-14 2013-07-16 글로벌파운드리즈 인크. 프로세스 제어를 위한 제품 관련 피드백

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8028049B1 (en) * 2000-02-01 2011-09-27 Peer Intellectual Property Inc. Apparatus and method for web-based tool management
US9785140B2 (en) * 2000-02-01 2017-10-10 Peer Intellectual Property Inc. Multi-protocol multi-client equipment server
US7403984B2 (en) * 2000-02-01 2008-07-22 Asyst Technologies, Inc. Automated tool management in a multi-protocol environment
US7873428B2 (en) * 2005-04-15 2011-01-18 PEER Intellectual Property, Inc. Automated job management
US7672747B2 (en) * 2000-03-30 2010-03-02 Lam Research Corporation Recipe-and-component control module and methods thereof
MXPA02010342A (es) * 2000-04-20 2004-09-06 Cogiscan Inc Sistema automatizado de control de manufactura.
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
GB2373607B (en) * 2001-03-23 2003-02-12 Sun Microsystems Inc A computer system
US6999164B2 (en) * 2001-04-26 2006-02-14 Tokyo Electron Limited Measurement system cluster
US7089075B2 (en) * 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7756963B2 (en) * 2001-07-05 2010-07-13 PEER Intellectual Property, Inc. Automated tool management in a multi-protocol environment
DE10294520B4 (de) * 2001-07-31 2007-05-16 Asahi Chemical Micro Syst Steuerungssystem für eine Halbleiterherstellungsvorrichtung
US6950716B2 (en) 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US20030037090A1 (en) * 2001-08-14 2003-02-20 Koh Horne L. Tool services layer for providing tool service functions in conjunction with tool functions
US6984198B2 (en) * 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US6842659B2 (en) * 2001-08-24 2005-01-11 Applied Materials Inc. Method and apparatus for providing intra-tool monitoring and control
US7380213B2 (en) * 2001-12-28 2008-05-27 Kimberly-Clark Worldwide, Inc. User interface for reporting event-based production information in product manufacturing
US7035877B2 (en) * 2001-12-28 2006-04-25 Kimberly-Clark Worldwide, Inc. Quality management and intelligent manufacturing with labels and smart tags in event-based product manufacturing
US7032816B2 (en) 2001-12-28 2006-04-25 Kimberly-Clark Worldwide, Inc. Communication between machines and feed-forward control in event-based product manufacturing
US8799113B2 (en) * 2001-12-28 2014-08-05 Binforma Group Limited Liability Company Quality management by validating a bill of materials in event-based product manufacturing
US6968250B2 (en) * 2001-12-28 2005-11-22 Kimberly-Clark Worldwide, Inc. Intelligent agent system and method for evaluating data integrity in process information databases
US7357298B2 (en) * 2001-12-28 2008-04-15 Kimberly-Clark Worldwide, Inc. Integrating event-based production information with financial and purchasing systems in product manufacturing
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US7668702B2 (en) * 2002-07-19 2010-02-23 Applied Materials, Inc. Method, system and medium for controlling manufacturing process using adaptive models based on empirical data
CN1720490B (zh) 2002-11-15 2010-12-08 应用材料有限公司 用于控制具有多变量输入参数的制造工艺的方法和系统
US6830941B1 (en) * 2002-12-17 2004-12-14 Advanced Micro Devices, Inc. Method and apparatus for identifying individual die during failure analysis
US7220034B2 (en) * 2003-07-11 2007-05-22 Rudolph Technologies, Inc. Fiber optic darkfield ring light
US6947588B2 (en) * 2003-07-14 2005-09-20 August Technology Corp. Edge normal process
US7197178B2 (en) * 2003-07-14 2007-03-27 Rudolph Technologies, Inc. Photoresist edge bead removal measurement
US8698327B2 (en) 2003-07-14 2014-04-15 Rudolph Technologies, Inc. Substrate handler
US8045788B2 (en) * 2003-07-14 2011-10-25 August Technology Corp. Product setup sharing for multiple inspection systems
US7589783B2 (en) * 2003-07-14 2009-09-15 Rudolph Technologies, Inc. Camera and illumination matching for inspection system
US7316938B2 (en) * 2003-07-14 2008-01-08 Rudolph Technologies, Inc. Adjustable film frame aligner
WO2005008737A2 (en) * 2003-07-14 2005-01-27 August Technology Corporation Inspection and metrology module cluster tool with multi-tool manager
US7340087B2 (en) * 2003-07-14 2008-03-04 Rudolph Technologies, Inc. Edge inspection
JP4880888B2 (ja) * 2003-09-09 2012-02-22 セイコーインスツル株式会社 半導体装置の製造方法
JP4880889B2 (ja) * 2003-09-09 2012-02-22 セイコーインスツル株式会社 半導体装置の製造方法
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
SG132670A1 (en) * 2003-11-10 2007-06-28 Blueshift Technologies Inc Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US20060025880A1 (en) * 2004-07-29 2006-02-02 International Business Machines Corporation Host control for a variety of tools in semiconductor fabs
US7206652B2 (en) * 2004-08-20 2007-04-17 International Business Machines Corporation Method and system for intelligent automated reticle management
US7783455B1 (en) * 2005-03-04 2010-08-24 Globalfoundries Inc. Methods and systems for analyzing process equipment processing variations using sensor data
US7142940B2 (en) * 2005-03-14 2006-11-28 Umci Ltd. Method of processing semiconductor wafer
US7784183B2 (en) 2005-06-09 2010-08-31 General Electric Company System and method for adjusting performance of manufacturing operations or steps
US8078919B2 (en) * 2005-06-14 2011-12-13 Hitachi Global Storage Technologies Netherlands B.V. Method, apparatus and program storage device for managing multiple step processes triggered by a signal
JP4541237B2 (ja) * 2005-06-29 2010-09-08 リンテック株式会社 半導体ウエハ処理テープ巻装体およびそれを用いた半導体ウエハ処理テープ貼着装置ならびに半導体ウエハ加工処理装置
DE102005030586A1 (de) * 2005-06-30 2007-01-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung der Messunsicherheit als Steuerungseingang
US7465590B1 (en) 2005-06-30 2008-12-16 Nanometrics Incorporated Measurement of a sample using multiple models
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
EP1764580B1 (en) * 2005-09-14 2008-07-30 C.R.F. Società Consortile per Azioni Method and system for recognizing the sign of the velocity of a vehicle and for estimating the road slope
DE102005046972A1 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung einer Kombination aus gewichteten relativen Voreinstellungswerten
US7195537B1 (en) * 2005-10-07 2007-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for detecting device-under-test dependency
US7565220B2 (en) * 2006-09-28 2009-07-21 Lam Research Corporation Targeted data collection architecture
US7814046B2 (en) * 2006-09-29 2010-10-12 Lam Research Corporation Dynamic component-tracking system and methods therefor
US7738986B2 (en) * 2006-10-09 2010-06-15 GlobalFoundries, Inc. Method and apparatus for compensating metrology data for site bias prior to filtering
US7534725B2 (en) * 2007-03-21 2009-05-19 Taiwan Semiconductor Manufacturing Company Advanced process control for semiconductor processing
US8028274B2 (en) 2007-06-27 2011-09-27 Microsoft Corporation Integrating loosely coupled tools using contracts and references
TWI380144B (en) * 2008-04-09 2012-12-21 Inotera Memories Inc Method of fuzzy control for semiconductor machine
US8369976B2 (en) * 2008-06-23 2013-02-05 International Business Machines Corporation Method for compensating for tool processing variation in the routing of wafers/lots
CN101621016B (zh) * 2008-07-02 2011-10-05 中芯国际集成电路制造(上海)有限公司 在制造集成电路中用于缺陷检测的方法和系统
US20100279435A1 (en) * 2009-04-30 2010-11-04 Applied Materials, Inc. Temperature control of chemical mechanical polishing
US8514374B2 (en) * 2009-11-04 2013-08-20 International Business Machines Corporation Alignment method for semiconductor processing
DE102014222508A1 (de) * 2014-11-04 2016-05-04 Wago Verwaltungsgesellschaft Mbh Modul für eine prozesstechnische Anlage und Verfahren zur Steuerung einer prozesstechnischen Anlage
US9940235B2 (en) 2016-06-29 2018-04-10 Oracle International Corporation Method and system for valid memory module configuration and verification
RO135345A2 (ro) * 2017-06-23 2021-11-29 Candu Energy Inc. Sisteme şi metode de comunicaţii pentru echipamentele reacto- rului nuclear
WO2020005749A1 (en) 2018-06-27 2020-01-02 Applied Materials, Inc. Temperature control of chemical mechanical polishing
TW202110575A (zh) 2019-05-29 2021-03-16 美商應用材料股份有限公司 用於化學機械研磨系統的蒸氣處置站
US11628478B2 (en) 2019-05-29 2023-04-18 Applied Materials, Inc. Steam cleaning of CMP components
US11633833B2 (en) 2019-05-29 2023-04-25 Applied Materials, Inc. Use of steam for pre-heating of CMP components
US11897079B2 (en) 2019-08-13 2024-02-13 Applied Materials, Inc. Low-temperature metal CMP for minimizing dishing and corrosion, and improving pad asperity
KR20220116324A (ko) 2020-06-29 2022-08-22 어플라이드 머티어리얼스, 인코포레이티드 화학 기계적 연마를 위한 스팀 생성의 제어
CN115103738A (zh) 2020-06-29 2022-09-23 应用材料公司 Cmp中的温度和浆体流动速率控制
US11577358B2 (en) 2020-06-30 2023-02-14 Applied Materials, Inc. Gas entrainment during jetting of fluid for temperature control in chemical mechanical polishing
KR20220156633A (ko) 2020-06-30 2022-11-25 어플라이드 머티어리얼스, 인코포레이티드 Cmp 온도 제어를 위한 장치 및 방법

Family Cites Families (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) * 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
DE3581000D1 (de) 1984-05-19 1991-02-07 British Aerospace Industrielle verarbeitungs- und herstellungsverfahren.
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) * 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US5345587A (en) 1988-09-14 1994-09-06 Digital Equipment Corporation Extensible entity management system including a dispatching kernel and modules which independently interpret and execute commands
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6185324B1 (en) 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
CA2194855A1 (en) 1990-08-31 1992-03-01 Dennis A. Sierk Process gas distribution system and method
WO1992007331A1 (en) 1990-10-16 1992-04-30 Consilium, Inc. Object-oriented architecture for factory floor management
US5295242A (en) 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
JPH06244261A (ja) * 1990-12-31 1994-09-02 Texas Instr Inc <Ti> 半導体装置製造プロセス制御用センサ
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5857258A (en) 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5823853A (en) 1996-07-18 1998-10-20 Speedfam Corporation Apparatus for the in-process detection of workpieces with a monochromatic light source
US5490097A (en) 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5700180A (en) 1993-08-25 1997-12-23 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US5408405A (en) 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5577204A (en) 1993-12-15 1996-11-19 Convex Computer Corporation Parallel processing computer system interconnections utilizing unidirectional communication links with separate request and response lines for direct communication or using a crossbar switching device
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) * 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
JPH07201946A (ja) 1993-12-28 1995-08-04 Hitachi Ltd 半導体装置等の製造方法及びその装置並びに検査方法及びその装置
EP0665577A1 (en) 1994-01-28 1995-08-02 Applied Materials, Inc. Method and apparatus for monitoring the deposition rate of films during physical vapour deposition
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Thin film resistance measurement
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
KR100213603B1 (ko) 1994-12-28 1999-08-02 가나이 쯔또무 전자회로기판의 배선수정방법 및 그 장치와 전자회로기판
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5559428A (en) 1995-04-10 1996-09-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films
US5696893A (en) 1995-06-07 1997-12-09 Xerox Corporation System for generically describing and scheduling operation of modular printing machine
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US5828778A (en) 1995-07-13 1998-10-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus for analyzing failure of semiconductor wafer
US5825913A (en) 1995-07-18 1998-10-20 Cognex Corporation System for finding the orientation of a wafer
US6036349A (en) 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
US5716856A (en) 1995-08-22 1998-02-10 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing using predetermined sequences within runs
KR0153617B1 (ko) 1995-09-20 1998-12-01 김광호 반도체 집적회로 제조공정방법
US5963881A (en) 1995-09-22 1999-10-05 Texas Instruments Incorporated Method and system for enhancing the identification of causes of variations in the performance of manufactured articles
JPH09129530A (ja) 1995-09-25 1997-05-16 Texas Instr Inc <Ti> サイトモデルを用いたプロセスモジュールの制御およびモニタウエハ制御
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
KR100200480B1 (ko) 1995-12-21 1999-10-01 윤종용 불량 분석 피드백에 의한 반도체 제조공정 제어방법
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6094600A (en) 1996-02-06 2000-07-25 Fisher-Rosemount Systems, Inc. System and method for managing a transaction database of records of changes to field device configurations
EP0895279A4 (en) 1996-03-06 2006-04-19 Hitachi Ltd METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE
US6542830B1 (en) 1996-03-19 2003-04-01 Hitachi, Ltd. Process control system
WO1997036164A1 (en) 1996-03-28 1997-10-02 Bio-Analytics, Inc., Doing Business As Biomedware Method for measuring a degree of association for dimensionally referenced data
US6017143A (en) 1996-03-28 2000-01-25 Rosemount Inc. Device in a process system for detecting events
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
KR100243636B1 (ko) * 1996-05-14 2000-03-02 요시다 아키라 다이캐스팅기용 주조 제어 지원시스템
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5910846A (en) 1996-05-16 1999-06-08 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5823854A (en) 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
JPH1086040A (ja) 1996-06-13 1998-04-07 Mitsubishi Electric Corp 多系統の自動プログラミング方法及びその装置
US5960185A (en) 1996-06-24 1999-09-28 International Business Machines Corporation Method and apparatus for wafer disposition based on systematic error modeling
JPH10112493A (ja) 1996-08-13 1998-04-28 Sony Corp 表面矯正薄板保持装置、面調整手段及び向き調整手段
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5844554A (en) 1996-09-17 1998-12-01 Bt Squared Technologies, Inc. Methods and systems for user interfaces and constraint handling configurations software
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
JPH10106917A (ja) 1996-10-02 1998-04-24 Toshiba Corp 半導体装置製造用生産システム
TW364956B (en) 1996-10-21 1999-07-21 Nxp Bv Method and system for assessing a measurement procedure and measurement-induced uncertainties on a batchwise manufacturing process of discrete products
US5859964A (en) 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US6064759A (en) 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
US6078845A (en) * 1996-11-25 2000-06-20 Schlumberger Technologies, Inc. Apparatus for carrying semiconductor devices
US5889991A (en) 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
JPH10173021A (ja) 1996-12-12 1998-06-26 Mitsubishi Electric Corp 製造ライン解析方法及び製造ライン解析装置
US5982920A (en) 1997-01-08 1999-11-09 Lockheed Martin Energy Research Corp. Oak Ridge National Laboratory Automated defect spatial signature analysis for semiconductor manufacturing process
US5808303A (en) 1997-01-29 1998-09-15 Art Aerospace Research Technologies Inc. Infrared screening and inspection system
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
US5978751A (en) 1997-02-25 1999-11-02 International Business Machines Corporation Variegated manufacturing process test method and apparatus
JPH10329015A (ja) 1997-03-24 1998-12-15 Canon Inc 研磨装置および研磨方法
TW396308B (en) 1997-04-01 2000-07-01 Tumbleweed Software Corp Document delivery system
KR100272252B1 (ko) * 1997-04-17 2000-11-15 윤종용 웨이퍼카세트반송방법
JP3393035B2 (ja) 1997-05-06 2003-04-07 東京エレクトロン株式会社 制御装置及び半導体製造装置
US5910011A (en) 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
TW331650B (en) 1997-05-26 1998-05-11 Taiwan Semiconductor Mfg Co Ltd Integrated defect yield management system for semiconductor manufacturing
US6240330B1 (en) * 1997-05-28 2001-05-29 International Business Machines Corporation Method for feedforward corrections for off-specification conditions
US6111634A (en) 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US6108091A (en) 1997-05-28 2000-08-22 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness during chemical-mechanical polishing
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JPH118170A (ja) * 1997-06-13 1999-01-12 Canon Inc 半導体処理システムおよびデバイス製造方法
US6148099A (en) 1997-07-03 2000-11-14 Neopath, Inc. Method and apparatus for incremental concurrent learning in automatic semiconductor wafer and liquid crystal display defect classification
US5923557A (en) 1997-08-01 1999-07-13 Hewlett-Packard Company Method and apparatus for providing a standard interface to process control devices that are adapted to differing field-bus protocols
US6345315B1 (en) 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
KR19990027324A (ko) * 1997-09-29 1999-04-15 윤종용 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법
US5916016A (en) * 1997-10-23 1999-06-29 Vlsi Technology, Inc. Methods and apparatus for polishing wafers
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
KR100251279B1 (ko) * 1997-12-26 2000-04-15 윤종용 반도체 제조용 증착설비의 막두께 조절방법
EP0932194A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
KR19990065486A (ko) * 1998-01-14 1999-08-05 윤종용 반도체 제조설비 관리시스템의 공정조건 관리방법
US5985497A (en) 1998-02-03 1999-11-16 Advanced Micro Devices, Inc. Method for reducing defects in a semiconductor lithographic process
KR100297371B1 (ko) 1998-02-03 2001-10-25 윤종용 반도체 공정 데이터 통합 관리 방법
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6455937B1 (en) 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6017771A (en) 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6263255B1 (en) 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6292708B1 (en) * 1998-06-11 2001-09-18 Speedfam-Ipec Corporation Distributed control system for a semiconductor wafer processing machine
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6127263A (en) 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
US6141660A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Command line interface for creating business objects for accessing a hierarchical database
US6169931B1 (en) 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
KR100292030B1 (ko) 1998-09-15 2001-08-07 윤종용 반도체 박막 공정에서의 박막 두께 제어 방법
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6366934B1 (en) 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6226792B1 (en) 1998-10-14 2001-05-01 Unisys Corporation Object management system supporting the use of application domain knowledge mapped to technology domain knowledge
JP3019079B1 (ja) 1998-10-15 2000-03-13 日本電気株式会社 化学機械研磨装置
US6210983B1 (en) 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
US6324481B1 (en) 1998-10-21 2001-11-27 Texas Instruments Incorporated Method for the calculation of wafer probe yield limits from in-line defect monitor data
TW434103B (en) 1998-10-23 2001-05-16 Taiwan Semiconductor Mfg Chemical mechanical polishing device with terminal point detection functions
US6173240B1 (en) 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6280289B1 (en) 1998-11-02 2001-08-28 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
JP3487774B2 (ja) * 1998-11-19 2004-01-19 沖電気工業株式会社 半導体装置製造工程の搬送方法
US6214734B1 (en) 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
JP2000183001A (ja) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd ウエハの研磨終点検出方法およびそれに用いる化学機械研磨装置
JP2000183002A (ja) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd ウエハの研磨終点検出方法および研磨終点検出装置
US6172756B1 (en) 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
JP3202710B2 (ja) 1998-12-18 2001-08-27 エヌイーシービューテクノロジー株式会社 液晶プロジェクタ用ダイクロイックプリズム
JP2002533659A (ja) 1998-12-18 2002-10-08 マイクロ−エプシロン・メステヒニク・ゲーエムベーハー・ウント・コンパニー・カー・ゲー 渦電流センサの作動方法及び渦電流センサ
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6252412B1 (en) 1999-01-08 2001-06-26 Schlumberger Technologies, Inc. Method of detecting defects in patterned substrates
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
JP2000269286A (ja) 1999-03-16 2000-09-29 Toshiba Microelectronics Corp 半導体基板の欠陥位置特定方法
US6389491B1 (en) 1999-03-23 2002-05-14 Agilent Technologies, Inc. Test instrumentation I/O communication interface and method
US6253366B1 (en) 1999-03-31 2001-06-26 Unisys Corp. Method and system for generating a compact document type definition for data interchange among software tools
US7020537B2 (en) 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6298470B1 (en) * 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US6334807B1 (en) 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
US6303395B1 (en) 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6360133B1 (en) 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
US6204165B1 (en) 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US20030213772A9 (en) 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
US6763130B1 (en) 1999-07-21 2004-07-13 Applied Materials, Inc. Real time defect source identification
US7069101B1 (en) 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US6368883B1 (en) 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6405096B1 (en) 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6287879B1 (en) 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6217412B1 (en) 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6276989B1 (en) 1999-08-11 2001-08-21 Advanced Micro Devices, Inc. Method and apparatus for controlling within-wafer uniformity in chemical mechanical polishing
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6439964B1 (en) 1999-10-12 2002-08-27 Applied Materials, Inc. Method of controlling a polishing machine
US6159075A (en) 1999-10-13 2000-12-12 Vlsi Technology, Inc. Method and system for in-situ optimization for semiconductor wafers in a chemical mechanical polishing process
US6284622B1 (en) 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6248602B1 (en) 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
IL133326A0 (en) 1999-12-06 2001-04-30 Nova Measuring Instr Ltd Method and system for endpoint detection
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6405144B1 (en) 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
JP3506114B2 (ja) 2000-01-25 2004-03-15 株式会社ニコン モニタ装置及びこのモニタ装置を具えた研磨装置及び研磨方法
US6584369B2 (en) 2000-02-02 2003-06-24 Texas Instruments Incorporated Method and system for dispatching semiconductor lots to manufacturing equipment for fabrication
US7059948B2 (en) 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
TW436383B (en) 2000-03-16 2001-05-28 Taiwan Semiconductor Mfg The end-point detection method of CMP polishing using the principle of optical confocal feedback
US6290572B1 (en) 2000-03-23 2001-09-18 Micron Technology, Inc. Devices and methods for in-situ control of mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
WO2001075534A2 (en) 2000-04-03 2001-10-11 Speedfam-Ipec Corporation System and method for predicting software models using material-centric process instrumentation
US6368884B1 (en) 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
JP2001305108A (ja) 2000-04-21 2001-10-31 Daido Steel Co Ltd 渦流探傷装置
US6479902B1 (en) 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
US6435952B1 (en) 2000-06-30 2002-08-20 Lam Research Corporation Apparatus and method for qualifying a chemical mechanical planarization process
US7102763B2 (en) 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6379980B1 (en) 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
TW455976B (en) 2000-08-11 2001-09-21 Taiwan Semiconductor Mfg Endpoint detection method of chemical mechanical polishing process
US6625513B1 (en) 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
US6307628B1 (en) 2000-08-18 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for CMP end point detection using confocal optics
US6593737B2 (en) 2000-08-24 2003-07-15 Shell Oil Company Method for measuring the wall thickness of an electrically conductive object
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
JP2002093761A (ja) 2000-09-19 2002-03-29 Sony Corp 研磨方法、研磨装置、メッキ方法およびメッキ装置
KR100366630B1 (ko) 2000-09-20 2003-01-09 삼성전자 주식회사 샘플 스킵 방식의 알고리즘을 이용한 웨이퍼의 연마 시간제어 방법 및 이를 이용한 웨이퍼의 연마 방법
JP2002124496A (ja) 2000-10-18 2002-04-26 Hitachi Ltd 研磨加工の終点検出計測方法及びその装置、並びにそれを用いた半導体デバイスの製造方法及びその製造装置
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US6517413B1 (en) 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6336841B1 (en) 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US6549279B2 (en) 2001-04-09 2003-04-15 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
JP4858798B2 (ja) 2001-05-15 2012-01-18 株式会社ニコン 研磨装置、研磨方法およびこの研磨装置を用いた半導体デバイス製造方法
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US7082345B2 (en) 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US6910947B2 (en) 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7047099B2 (en) 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101287169B1 (ko) * 2005-10-14 2013-07-16 글로벌파운드리즈 인크. 프로세스 제어를 위한 제품 관련 피드백

Also Published As

Publication number Publication date
TW546681B (en) 2003-08-11
EP1111657A3 (en) 2005-09-07
KR100683453B1 (ko) 2007-02-20
US20040083021A1 (en) 2004-04-29
JP2001244161A (ja) 2001-09-07
EP1111657A2 (en) 2001-06-27
US6640151B1 (en) 2003-10-28

Similar Documents

Publication Publication Date Title
KR20010062624A (ko) 다중-툴 제어 시스템, 방법 및 매개물
US8005634B2 (en) Copper wiring module control
US7738983B2 (en) Method of optimizing process recipe of substrate processing system
US6567718B1 (en) Method and apparatus for monitoring consumable performance
KR100628618B1 (ko) 제어장치,제어방법및반도체제조장치
US8318238B2 (en) Film position adjusting method, memory medium and substrate processing system
US7722436B2 (en) Run-to-run control of backside pressure for CMP radial uniformity optimization based on center-to-edge model
US7097534B1 (en) Closed-loop control of a chemical mechanical polisher
US6909933B2 (en) Method, device, computer-readable memory and computer program element for the computer-aided monitoring and controlling of a manufacturing process
CN101273312A (zh) 增强衬底载具搬运器操作的方法和装置
KR20030066795A (ko) 툴 내의 개별 공정 스테이션들을 매칭하기 위한엔드포인트 시스템의 이용
US6892108B2 (en) Method for adjusting processing parameters of at least one plate-shaped object in a processing tool
JP2994321B2 (ja) 製造工程の生産管理システム
TWI458007B (zh) Substrate handling method
US6937914B1 (en) Method and apparatus for controlling process target values based on manufacturing metrics
US6694210B1 (en) Process recipe modification in an integrated circuit fabrication apparatus
US7135412B2 (en) Method to control a management system to control semiconductor manufacturing equipment
JP2009160691A (ja) 研磨制御システム、研磨制御プログラム及び半導体装置の製造方法
GB2351363A (en) Semiconductor factory automation system and method for processing at least one semiconductor wafer cassette
JP2000144453A (ja) エッチングシステム及びエッチング方法
JP2000033563A (ja) 研磨代の管理方法およびこれを用いたウエーハの製造方法
TW503156B (en) A modular control system and method for a cmp tool
US7333875B2 (en) Method of predicting CMP removal rate for CMP process in a CMP process tool in order to determine a required polishing time
US7195537B1 (en) Systems and methods for detecting device-under-test dependency
JP2001345247A (ja) レジスト塗布装置及びレジスト塗布方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130130

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150129

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee