TWI458007B - Substrate handling method - Google Patents

Substrate handling method Download PDF

Info

Publication number
TWI458007B
TWI458007B TW096137290A TW96137290A TWI458007B TW I458007 B TWI458007 B TW I458007B TW 096137290 A TW096137290 A TW 096137290A TW 96137290 A TW96137290 A TW 96137290A TW I458007 B TWI458007 B TW I458007B
Authority
TW
Taiwan
Prior art keywords
processing
substrate
processed
value
chamber
Prior art date
Application number
TW096137290A
Other languages
English (en)
Other versions
TW200834713A (en
Inventor
Shigeru Kubota
Shinji Sakano
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200834713A publication Critical patent/TW200834713A/zh
Application granted granted Critical
Publication of TWI458007B publication Critical patent/TWI458007B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

基板處理方法
本發明係關於對半導體晶圓等之被處理基板的表面進行蝕刻處理等的特定處理之基板處理方法。
近年來,隨著半導體積體電路之設計規範的細微化日益進展,對半導體晶圓(以下亦稱為「晶圓」)的表面上所形成之電路圖案的尺寸等,亦要求具有更高的精密度。尤其於連續處理複數個晶圓時,例如處理室內的狀態逐漸改變,使表面上所形成之電路圖案的尺寸亦產生細微變動,因此,為了於更高的精密度下獲得目標的處理結果,可能有需對處理參數的值進行微調之情況。
關於修正處理結果的變動之方式,例如有於處理前測定晶圓上所形成之目的元件的尺寸,且進行將用以獲得目的形狀之處理參數的值予以算出之前饋計算,並且於處理後測定目的元件的尺寸,且進行將該結果予以回饋之回饋計算之方式(例如參照專利文獻1、2)。於此前饋計算中,藉由反映依據回饋計算之結果,可於之後的晶圓處理中,以經由回饋計算所調整後之處理參數的值來進行處理。
[專利文獻1]日本特開2001-143982號公報
[專利文獻2]日本特開2002-208544號公報
於執行晶圓的處理之處理室,與測定晶圓表面上所形成之目標元件的尺寸等之測定室之間,一邊搬運晶圓一邊連續進行晶圓的處理時,就處理量提升的觀點來看,較理想為即使於結束處理室中的晶圓處理之前,亦可進行接下來的晶圓的測定處理並朝向處理室進行搬運。
然而,於以往的前饋計算中,由於反映依據回饋計算之結果,因此於執行處理室中的晶圓處理之間,若連續執行晶圓往處理室之搬運,則因該晶圓的搬運及前饋計算的時序之不同,可能產生於未反映前一項回饋計算的結果之狀態下執行前饋計算之情況。
例如若於執行處理室中的第1晶圓的處理之間,將接下來的第2晶圓搬運至測定室並測定目的元件的尺寸,且於該時序進行前饋計算,則會於未反映依據先前的第1晶圓的處理之回饋計算之下,執行前饋計算。此係導致前饋計算之精密度的降低。
此時亦可考量如下,於例如結束依據處理室中之先前的第1晶圓的處理之回饋計算後,再將接下來的第2晶圓搬運至測定室並執行前饋計算即可,然而,若於每次結束一片晶圓之處理後的測定後,再搬運接下來的晶圓並進行處理前的測定,則處理量會顯著地降低。
另一方面,於儘可能於較遲的時序中執行前饋計算,例如將晶圓搬運至處理室前方(例如承載室)為止時,若於 該前饋計算的時點得知處理參數的值(例如蝕刻時間)超出容許範圍,則無法進行該處理室中之蝕刻處理,因而導致晶圓搬運處理的動作耗費。
因此,本發明係鑑於如此的問題而創作出之發明,其目的在於提供一種,於連續搬運晶圓進行處理時,不會降低處理量而能夠防止被處理基板之搬運的動作耗費,並且可提升由前饋計算所算出之處理參數的調整精密度之基板處理裝置及記憶程式之記憶媒體。
為了解決上述課題,根據本發明的某項觀點,係提供-種基板處理裝置的基板處理方法,為具備:根據特定的處理參數對被處理基板執行處理之處理室;和測定上述被處理基板之處理前後的表面輪廓之測定室之基板處理裝置的基板處理方法,其特徵為具備:於上述測定室中,測定上述被處理基板之處理前的表面輪廓之處理前測定工程;於朝向上述處理室開始上述被處理基板的搬運前,從上述處理前的表面輪廓之測定值中,算出達成目標的表面輪廓之處理參數的值之第1計算工程;判定所算出之處理參數的值是否位於預先設定的容許範圍內之判定工程;於上述判定工程中判定為位於上述容許範圍內時,於朝向上述處理室開始上述被處理基板的搬運起,至將上述被處理基板搬入至上述處理室為止之間,從依據在上述處理室中剛處理完的被處理基板當中所獲得之處理後的表面輪廓之測定 值之調整值,和上述處理前的表面輪廓之測定值中,重新算出達成目標的表面輪廓之處理參數的值之第2計算工程;和將上述被處理基板搬入至上述處理室,並根據於上述第2計算工程中所算出之處理參數的值而執行處理之處理工程。
為了解決上述課題,根據本發明的其他觀點,係提供一種電腦可讀取記憶媒體,為記憶用以執行基板處理裝置的基板處理之程式之電腦可讀取記憶媒體,該基板處理裝置係具備:根據特定的處理參數對被處理基板執行處理之處理室;和測定上述被處理基板之處理前後的表面輪廓之測定室,其特徵為:係於電腦中記憶程式,此程式係具備:於上述測定室中,測定上述被處理基板之處理前的表面輪廓之處理前測定步驟;於朝向上述處理室開始上述被處理基板的搬運前,從上述處理前的表面輪廓之測定值中,算出達成目標的表面輪廓之處理參數的值之第1計算步驟;判定所算出之處理參數的值是否位於預先設定的容許範圍內之判定步驟;於上述判定步驟中判定為位於上述容許範圍內時,於朝向上述處理室開始上述被處理基板的搬運起,至將上述被處理基板搬入至上述處理室為止之間,從依據在上述處理室中剛處理完的被處理基板當中所獲得之處理後的表面輪廓之測定值之調整值,和上述處理前的表面輪廓之測定值中,重新算出達成目標的表面輪廓之處理參數的值之第2計算步驟;和將上述被處理基板搬入至上述處理室,並根據於上述第2計算步驟中所算出之處理參 數的值而執行處理之處理步驟。
根據本發明,係以第1次之處理參數的計算(第1計算)來判斷該處理參數的值是否位於容許範圍內,藉此,由於在判定是否應執行將被處理基板搬運至處理室後,再進行被處理基板往該處理室之搬運處理,因此可防止如以往般之搬運至處理室後,才得知處理參數的值超出容許範圍而無法進行處理之事態。藉此可防止被處理基板之搬運處理的動作耗費。此外,於第2次之處理參數的計算(第2計算)中,由於可求取反映出依據處理室中之先前的處理之調整值,因此可提升處理參數的調整精密度。此外,由第1次的前饋計算(第1計算)所算出之處理參數,並非用於進行實際上被處理基板的處理所計算者,因此,關於處理前的表面輪廓之測定,可於執行先前的被處理基板的處理之間進行,因此,可在不會降低處理量下執行基板搬運處理。
再者,係進行:於上述處理室中的處理結束後,將上述被處理基板搬入至上述測定室,並測定處理後的表面輪廓之處理後測定工程;和從上述處理後的表面輪廓之測定值中,算出用以調整處理參數的值之調整值之調整值算出工程。藉此,由於在接下來的被處理基板的處理中,可求取反應出最新的調整值之處理參數的值,因此可提升處理參數的調整精密度。
此外,表面輪廓例如為上述被處理基板上所形成之元件的微小尺寸,處理參數例如為上述被處理基板的處理時間。
為了解決上述課題,根據本發明的其他觀點,係提供一種基板處理裝置的基板處理方法,為具備:根據特定的處理參數對被處理基板執行處理之複數個處理室;和測定上述被處理基板之處理前後的表面輪廓之測定室之基板處理裝置的基板處理方法,其特徵為具備:於上述測定室中,測定上述被處理基板之處理前的表面輪廓之處理前測定工程;於朝向上述處理室中的任一個開始上述被處理基板的搬運前,對於上述各處理室,從上述處理前的表面輪廓之測定值中,各自算出達成目標的表面輪廓之上述處理參數的值之第1計算工程;判定於上述各處理室中所各自算出之處理參數的值,是否分別位於預先設定的容許範圍內之判定工程;於具有在上述判定工程中判定為位於上述容許範圍內之處理室時,於朝向這些處理室中的任一個開始上述被處理基板的搬運起,至將上述被處理基板搬入至該處理室為止之間,從依據在該處理室中剛處理完的被處理基板當中所獲得之處理後的表面輪廓之測定值之調整值,和上述處理前的表面輪廓之測定值中,重新算出達成目標的表面輪廓之處理參數的值之第2計算工程;和將上述被處理基板搬入至該處理室,並根據於上述第2計算工程中所算出之處理參數的值而執行處理之處理工程。
為了解決上述課題,根據本發明的其他觀點,係提供-種電腦可讀取記憶媒體,為記憶用以執行基板處理裝置的基板處理之程式之電腦可讀取記憶媒體,該基板處理裝置係具備:根據特定的處理參數對被處理基板執行處理之 複數個處理室;和測定上述被處理基板之處理前後的表面輪廓之測定室,其特徵為:係於電腦中記憶程式,此程式係具備:於上述測定室中,測定上述被處理基板之處理前的表面輪廓之處理前測定步驟;於朝向上述處理室中的任一個開始上述被處理基板的搬運前,對於上述各處理室,從上述處理前的表面輪廓之測定值中,各自算出達成目標的表面輪廓之上述處理參數的值之第1計算步驟;判定於上述各處理室中所各自算出之處理參數的值,是否分別位於預先設定的容許範圍內之判定步驟;於具有在上述判定步驟中判定為位於上述容許範圍內之處理室時,於朝向這些處理室中的任一個開始上述被處理基板的搬運起,至將上述被處理基板搬入至該處理室為止之間,從依據在該處理室中剛處理完的被處理基板當中所獲得之處理後的表面輪廓之測定值之調整值,和上述處理前的表面輪廓之測定值中,重新算出達成目標的表面輪廓之處理參數的值之第2計算步驟;和將上述被處理基板搬入至該處理室,並根據於上述第2計算步驟中所算出之處理參數的值而執行處理之處理步驟。
根據本發明,係以第1次之處理參數的計算(第1計算)對各處理室算出處理參數的值,且判斷於各處理室中各處理參數的值是否位於容許範圍內,藉此,由於可在將被處理基板搬運至各處理室之前,判定是否應執行該搬運處理,且僅將被處理基板搬運至判定為位於容許範圍內之處理室,因此可防止被處理基板之搬運處理的動作耗費。此外 ,於第2次之處理參數的計算(第2計算)中,由於可求取反映出調整值之處理參數的值,因此可提升處理參數的調整精密度,此調整值係依據即將進行被處理基板的處理之處理室中之先前的處理。
為了解決上述課題,根據本發明的其他觀點,係提供一種基板處理裝置的基板處理方法,為具備:根據特定的處理參數對被處理基板執行處理之複數個處理室;和測定上述被處理基板之處理前後的表面輪廓之測定室之基板處理裝置的基板處理方法,其特徵為具備:於上述測定室中,測定上述被處理基板之處理前的表面輪廓之處理前測定工程;於朝向上述處理室中的任一個開始上述被處理基板的搬運前,對於上述各處理室,從上述處理前的表面輪廓之測定值中,各自算出達成目標的表面輪廓之上述處理參數的值之第1計算工程;判定於上述各處理室中所各自算出之處理參數的值,是否分別位於預先設定的容許範圍內之第1判定工程;於具有在上述第1判定工程中判定為位於上述容許範圍內之處理室時,於朝向這些處理室中的任一個開始上述被處理基板的搬運起,至將上述被處理基板搬入至該處理室為止之間,從依據在該處理室中剛處理完的被處理基板當中所獲得之處理後的表面輪廓之測定值之調整值,和上述處理前的表面輪廓之測定值中,重新算出達成目標的表面輪廓之處理參數的值之第2計算工程;判定於上述第2計算工程中所算出之處理參數的值,是否位於預先設定的容許範圍內之第2判定工程;和於上述第2判定 工程中判定為位於上述容許範圍內時,係將上述被處理基板搬入至該處理室,並根據於上述第2計算工程中所算出之處理參數進行處理,於上述第2判定工程中判定為超出上述容許範圍時,係搬入至於上述第1判定工程中判定為位於上述容許範圍內之其他處理室,並藉由上述第2計算工程重新算出處理參數的值,而執行上述被處理基板的處理之處理工程。
根據本發明,即使於第2計算工程中重新算出處理參數的值之際,於第2判定工程中判定該處理參數的值是否位於上述容許範圍內且判定為超出容許範圍時,亦僅將被處理基板搬運至於第1判定中判定為處理參數的值位於容許範圍內之其他處理室,因此可防止被處理基板之搬運處理的動作耗費。
為了解決上述課題,根據本發明的其他觀點,係提供一種基板處理裝置的基板處理方法,為具備:對被處理基板執行蝕刻處理之複數個處理室;和測定上述被處理基板之處理前後之元件的尺寸之測定室之基板處理裝置的基板處理方法,其特徵為具備:於上述測定室中,測定上述被處理基板之處理前之元件的尺寸之處理前測定工程;於朝向上述處理室中的任一個開始上述被處理基板的搬運前,對於上述各處理室,從上述處理前之元件的尺寸之測定值中,各自算出達成目標尺寸之蝕刻時間之第1計算工程;判定於上述各處理室中所各自算出之蝕刻時間,是否分別位於預先設定的容許範圍內之判定工程;於具有在上述判 定工程中判定為位於上述容許範圍內之處理室時,於朝向這些處理室中的任一個開始上述被處理基板的搬運起,至將上述被處理基板搬入至該處理室為止之間,從依據在該處理室中剛蝕刻處理完的被處理基板當中所獲得之處理後之元件的尺寸之測定值之調整值,和上述處理前之元件的尺寸之測定值中,重新算出達成目標尺寸之蝕刻時間之第2計算工程;和將上述被處理基板搬入至該處理室,並根據於上述第2計算工程中所算出之蝕刻時間而執行蝕刻處理之處理工程。如此,本發明可適用於,藉由所算出的蝕刻時間作為處理參數而對被處理基板進行蝕刻處理之情況。
根據本發明,係可提供一種,於連續搬運被處理基板進行處理時,不會降低處理量而能夠防止被處理基板之搬運的動作耗費,並且可提升由前饋計算所算出之處理參數的調整精密度之基板處理裝置及記憶程式之記憶媒體。
以下參照附加圖式,詳細說明本發明之較佳的實施型態。於本申請書和圖式中,對於實質上具有同一功能構成之構成要素,係附加同一圖號並省略其重複說明。
(基板處理裝置的構成例)
首先參照圖式,說明本發明的實施型態之基板處理裝置的構成例。此處,係以於搬運室中連接有至少1個以上的真空處理單元之基板處理裝置為例進行說明。第1圖係顯示本實施型態之基板處理裝置的概略構成之剖面圖。
基板處理裝置100係具備:對被處理基板,例如半導體晶圓(以下亦稱為「晶圓」)W進行成膜處理、蝕刻處理等各種處理之1個或2個以上的真空處理單元110;和對此真空處理單元110進行晶圓W的搬出搬入之搬運單元120。搬運單元120係具有於晶圓W的搬運時所共用之搬運室200。
於第1圖中,係顯示例如將2個真空處理單元110A、110B配設於搬運單元120的側面者。各真空處理單元110A、110B係分別具有處理室140A、140B;和分別連接設置於這些處理室140A、140B,且構成為可進行真空吸引之承載室150A、150B。各真空處理單元110A、110B,係於各處理室140A、140B內對晶圓W進行同種類的處理,例如進行蝕刻處理。
例如可構成為,各處理室140A、140B分別對該內部所配置之兼用為晶圓的載置台142A、142B之電極施加高頻電力,並將處理氣體供應至處理室140A、140B內予以電漿化,而對晶圓表面施以電漿蝕刻處理之電漿處理裝置。處理室的構成並不限定於此。此外,如第1圖所示般,係說明設置2個具有處理室之真空處理單元之情況,但並不限定於此,亦可設置3個以上之具有處理室之真空處理 單元。
上述搬運單元120的搬運室200,例如由循環有N2 氣體等的非活性氣體或清淨氣體之剖面大致呈矩形狀的箱體所構成。於搬運室200之構成剖面大致呈矩形狀的長邊之一側面,並列設置有複數台卡匣台132A~132C。這些卡匣台132A~132C係具有載置卡匣容器134A~134C之被處理基板待機埠的功能。於第1圖中,係顯示例如於各卡匣台132A~132C上,將3台的卡匣容器134A~134C分別載置1台之例子,但卡匣台和卡匣容器的數目並不限定於此,例如可設置1台或2台,或是4台以上。
於各卡匣容器134A~134C,例如以等間距多段載置有最大為25片的晶圓W,且內部構成為例如由N2 氣體所填滿之密閉構造。此外,搬運室200係構成為,可經由閘閥136A~136C對該內部進行晶圓W的搬出搬入。
於搬運室200內,係設置有沿著該長邊方向(第1圖所示之箭頭方向)搬運晶圓W之共通搬運機構(大氣側搬運機構)160。此共通搬運機構160例如固定於基台162上,此基台162係構成為,於搬運室200內的中心部,例如藉由線性馬達驅動機構,可於沿著長度方向所設置之圖中未顯示的導引軌上滑動移動。共通搬運機構160,例如可為第1圖所示之具有2個取放臂之雙臂機構,或是具有1個取放臂之單臂機構。
於搬運室200之構成剖面大致呈矩形狀的長邊之另一側面,係分別夾介構成為可開閉之閘閥(大氣側閘閥)152A 、152B而連結有上述2個承載室150A、150B的基端。各承載室150A、150B的前端,係分別夾介構成為可開閉之閘閥(真空側閘閥)144A、144B而連結於處理室140A、140B。
於各承載室150A、150B內,係分別設置有暫時載置晶圓W使其待機之一對的緩衝用載置台154A、156A和緩衝用載置台154B、156B。此處,將搬運室側的緩衝用載置台154A、154B設定為第1緩衝用載置台,將相反側的緩衝用載置台156A、156B設定為第2緩衝用載置台。此外,兩緩衝用載置台154A、156A間和緩衝用載置台154B、156B間,設置有由可進行伸縮、旋轉和升降之多關節臂所構成之個別搬運機構(真空側搬運機構)170A、170B。
於這些個別搬運機構170A、170B的前端,設置有取放臂172A、172B,使用此取放臂172A、172B,可於第1、第2的兩緩衝用載置台154A、156A和緩衝用載置台154B、156B之間,進行晶圓W的接收傳送之移載。此外,晶圓從承載室150A、150B往處理室140A、140B的搬出搬入,係分別使用上述個別搬運機構170A、170B而進行。
於搬運室200的一端部,亦即構成剖面大致呈矩形狀的短邊之一邊的側面,設置有作為晶圓W的定位裝置之定向器(預對準工作台)137。定向器137例如於內部具備旋轉載置台138和光學地檢測晶圓W的周緣部之光學感測器139,並進行晶圓W的定向角或切口等而進行對位。
於搬運室200的另一端部,亦即構成剖面大致呈矩形 狀的短邊之另一邊的側面,設置有用以測定晶圓的表面輪廓之測定室300。例如為第3圖所示般,於藉由特定的遮罩圖案M將晶圓表面上所形成之被蝕刻材料E予以遮蔽而進行微削蝕刻時,係測定由被蝕刻材料E所構成之目標元件之寬度的微小尺寸(CD(Critical Dimension:臨界尺寸)值),作為晶圓的表面輪廓。晶圓的表面輪廓,除了CD值等之目的元件的微小尺寸外,例如有被蝕刻材料的膜厚、蝕刻形狀、蝕刻速率和這些的均一性等。
測定室300,例如藉由散射測量法或光學數位輪廓測量法等的橢圓對稱法,而測定晶圓的表面輪廓。具體而言,例如於測定室300內設置用以載置晶圓之載置台,並具備:將光照射至此載置台上的晶圓之光源;將該反射光予以感光之感光部;和從該反射光測定表面輪廓之測定部。
上述處理室140A、140B、測定室300、定向器137、各搬運機構160、170等的各部分,係根據來自於控制部400的控制訊號所控制。控制部400係根據特定的程式以控制各部分,藉此執行晶圓的處理。
(控制部的構成例)
接著參照圖式說明控制部400的構成例。第2圖係顯示控制部400的構成例之方塊圖。第2圖所示之控制部400係具備:構成控制部主體之CPU(中央處理單元)410;用以讓CPU410執行各部的控制處理、資料處理等所使用之ROM(Read Only Memory:唯讀記憶體)或RAM(Random Access Memory:隨機存取記憶體)等之記憶體420;顯示操作畫面或選擇畫面等之由液晶顯示器等所構成之顯示手段430;用以讓操作人員進行種種資料的輸出入等之由操作面板等所構成之輸出入手段440;例如由警示器般之警報器所構成之通知手段450;和用以讓CPU410控制基板處理裝置100的各部分之各種控制器460。
此外,控制部400係具備:記憶有CPU410所執行的各種程式之程式記憶手段470;和記憶有用以進行CPU410所執行的控制處理、資料處理等的資料之資料記憶手段480等。程式記憶手段470、資料記憶手段480,例如由記憶體或硬碟等所構成。CPU410可因應必要,從這些程式記憶手段470、資料記憶手段480中讀出必要的程式或資料,以執行控制處理或資料處理。
上述CPU410係分別藉由控制匯流排、系統匯流排、資料匯流排等的匯流排線,電性連接於記憶體420、顯示手段430、輸出入手段440、通知手段450、各種控制器460、程式記憶手段470、和資料記憶手段480。
於各種控制器460中,除了測定室300、共通搬運機構160、個別搬運機構170A、170B、定向器137的控制器之外,亦包含進行各處理室140A、140B之各部分的控制之控制器。各處理室140A、140B之各部分的控制,可於各處理室140A、140B中設置控制部以進行控制。此時,上述控制部400係與各處理室140A、140B的控制部連接,一邊進行資料或訊號的來往一邊控制基板處理裝置100。
於程式記憶手段470中係記憶有,例如用以控制共通搬運機構160、個別搬運機構170A、170B等而進行晶圓的搬運之晶圓搬運程式;用以於測定室300中控制各部分,而進行晶圓之表面輪廓的測定之測定程式;和於各處理室140A、140B中,例如用以依循處理參數的資料表484中所記憶之處理參數(處理條件)以控制各部分,並對晶圓執行蝕刻處理等特定處理之晶圓處理程式。此外,係記憶有用於前饋計算、回饋計算、處理參數的判定處理等之必要的計算或處理之程式。控制部400可因應必要讀出各程式而控制基板處理裝置100的各部分,藉此執行後述之晶圓的處理(例如第9圖A~第9圖C的處理)等。
於資料記憶手段480中係記憶有,例如用於後述之前饋計算、回饋計算、晶圓的處理等之資料。具體而言如第2圖所示般,於資料記憶手段480中,係設置有表面輪廓的資料表482;處理參數的資料表484;計算用資料的資料表486;和判定用資料的資料表488等。
於表面輪廓的資料表482中,例如第3圖所示般,係對於各晶圓記憶有由測定室300所測定之處理前後的表面輪廓。於處理參數的資料表484中,例如第4圖所示般,係對於各處理室140A、140B記憶有用以執行晶圓處理之參數值。此外,處理前的表面輪廓,係使用於前饋計算之處理參數的自動計算,處理後的表面輪廓,係使用於用以調整回饋計算之處理參數的值之調整值的自動計算。
處理參數,例如第4圖所示般,有蝕刻時間、處理室 內壓力、供應至處理室內之處理氣體的流量、施加於電極之高頻電力等。於前饋計算中自動計算處理參數的值時,可算出這些複數個處理參數的全部並予以更新,或是算出當中1項或2項以上的組合予以更新,且不更新其他參數。例如可僅自動算出蝕刻時間並予以更新。
於計算用資料的資料表486中,例如第5圖所示般,係記憶有於前饋計算中所使用之用以算出蝕刻量之計算式;用以算出處理參數的值之計算式;於回饋計算中所使用之用以算出調整值之計算式等用以進行各種計算之計算式。此外,係記憶有於回饋計算中所算出之調整值等。
於判定用資料的資料表488中,例如第6圖所示般,係記憶有用以判定於前饋計算中所算出之處理參數的值是否位於特定的調整容許範圍內之必要的資料,和判定結果等。具體而言,係記憶有於各處理室140A、140B中所設定之處理參數的值(例如蝕刻時間)之調整容許範圍的資料,和各處理室140A、140B之判定結果等。作為判定結果,係有後述之於測定晶圓的表面輪廓不久後所執行之第1判定的判定結果,和即將處理晶圓前所執行之第2判定的判定結果。這些判定結果係設定為,例如於前饋計算中所獲得之處理參數的值位於特定的調整容許範圍內時,該判定結果為OK,於超出調整容許範圍時,該判定結果為NG。
上述各資料表482、484、486、488等中所記憶之資料,可由操作人員對操作面板等之輸出入手段440進行操作,而藉此自由地進行設定或編輯等。
(於處理室中所執行之晶圓的處理)
接著說明於各處理室140A、140B中所執行之晶圓處理。如上述般,於本實施型態中,係分別於各處理室140A、140B中執行同樣的處理。此處的晶圓處理,例如第7圖所示般,為藉由特定的遮罩圖案M將被蝕刻材料E予以遮蔽而進行微削蝕刻(Trim Etching)之例子。
於使用相同的處理參數值連續進行蝕刻處理時,蝕刻量於所有晶圓中理應為一定。惟於實際的蝕刻處理中所獲得之蝕刻量,因蝕刻處理的重複進行,主要使處理室內的狀態逐漸改變,而具有經時性變化之傾向。此外,例如於執行零件交換或處理室內的清潔等之維護時,由於在該動作的前後使處理室內的狀態有所改善,因而具有轉移性變化之傾向。
例如第8圖所示般,若連續進行晶圓處理,則蝕刻量具有逐漸減少之傾向。此外,藉由定期進行維護,可於該動作的前後具有轉移性變化之傾向。
並且,於具備複數個處理室時,由於各處理室所具備的特性之不同(例如蝕刻速率的不同等),晶圓處理之開始或結束的時序之不同等,導致蝕刻量的變化具有於各處理室中有所不同之傾向。例如於第8圖中,分別顯示出各處理室140A、140B之蝕刻量變化的傾向。於第8圖中,若分別以虛線表示各處理室140A、140B之可執行蝕刻處理的容許範圍WA、WB,則例如於時間tp中,由於在處理室 140A中位於容許範圍WA內,因此可執行蝕刻處理,相對於此,由於在處理室140B中超出容許範圍WB,因此無法執行蝕刻處理。
因此,於本實施型態中,為了於連續進行晶圓處理時能夠經常達成期望的處理結果,因此,係進行可自動修正上述蝕刻量之經時性變化或轉移性變化所造成的影響之前饋計算和回饋計算。
(前饋計算)
此處,首先說明於蝕刻處理前所進行之前饋計算。於前饋計算中,係於蝕刻處理前預先測定由被蝕刻材料E所構成之目標元件之寬度的微小尺寸(CD值)Dbef,從該處理前的CD值Dbef中,自動計算出作為處理結果之用以達成目標元件之寬度的目標尺寸(目標CD值)Dtag之處理參數的值。此處的處理參數,為構成用以控制處理室的各部分之處理條件的參數,例如為蝕刻時間、處理氣體的流量、施加於電極之高頻電力、和處理室內壓力等。
以下說明依據此前饋計算之具體的計算例。首先根據於處理前所測定之CD值Dbef和目標CD值Dtag,求取蝕刻量。具體而言,例如使用下列數學式(1)予以算出。
D=Dbef-Dtag+△D………(1)
上述數學式中的△D,為於後述的回饋計算中所獲得 之蝕刻量的調整值△D。此調整值△D為用以將回饋計算的結果反映至前饋計算中而予以加算。藉此,即使蝕刻量產生經時性變化,亦可藉由調整值△D調整處理參數的值(例如蝕刻時間)而經常可獲得期望的蝕刻量,因此可經常達成目標CD值。
從如此獲得之蝕刻量D當中,求取蝕刻時間t。具體而言,例如使用蝕刻量與蝕刻時間之間的相關關係,求取對應於蝕刻量D之蝕刻時間t。蝕刻量與蝕刻時間之間的相關關係,可為預先以實驗等所求取之計算式(函數),或是為多數個蝕刻量與對應於此等之蝕刻時間之間的相關資料。此時,於微削蝕刻中,如第7圖所示般,由於由被蝕刻材料E所構成之元件的兩側面被蝕刻,因此,此處的蝕刻量(微削量),係考量蝕刻速度的2倍而求取蝕刻量或蝕刻時間。並藉由如此獲得之蝕刻時間,而進行晶圓的蝕刻處理。
此外,例如可藉由判定於前饋計算中所算出之蝕刻時間,是否位於預先設定之調整容許範圍內,而判定是否於該處理室中執行處理(是否應搬運至該處理室)。亦即,由於以前饋計算所算出之蝕刻時間反映出依據回饋計算之調整值△D,因此若調整值△D太大,則可能產生超出預先設定之調整容許範圍而無法進行蝕刻處理。此外,於調整值△D變得較大使蝕刻時間變動過大時,可能會產生某種異常。
因此,於蝕刻時間位於調整容許範圍內時,判定結果 設定為OK並執行蝕刻處理,於蝕刻時間超出調整容許範圍內時,判定結果設定為NG並且不執行蝕刻處理。
(回饋計算)
接著說明於蝕刻處理後所進行之回饋計算。於回饋計算中,係於蝕刻處理後測定被蝕刻材料E之目標元件的CD值Daft,並從該CD值Daft與目標CD值Dtag之間的差當中,自動計算蝕刻量的調整值△D。具體而言,例如使用下列數學式(2)予以算出。
△D=Daft-Dtag………(2)
調整值△D最初設定為0,此外,於進行處理室內的清潔或零件交換或之維護時,再次重設為0。由於維護的進行使處理室內的狀態有所改善,因此於進行維護後不須調整處理參數的值。藉此,可對應於上述蝕刻量的轉移性變化,不論於維護前後,均可經常達成目標CD值。此外,以回饋計算所算出之調整值,係以設定蝕刻量的調整值△D為例進行說明,但並不限定於此,亦可作為處理參數的調整值(例如蝕刻時間)予以算出。
用以求取上述前饋計算中所使用的蝕刻量之蝕刻量算出式(例如上述第(1)式)、用以求取蝕刻時間之蝕刻時間算出式、和回饋計算中所使用之調整值算出式(例如上述第(2)式)等之各計算式,例如可預先記憶於第5圖所示之計 算用資料的資料表486。這些計算式,可藉由操作人員對輸出入手段440進行操作而進行設定或編輯等。此外,這些計算式並不限定於上述式子,亦可使用其他計算式。
於連續搬運晶圓進行處理時,就處理量提升之觀點來看,從結束處理室中的晶圓處理起至開始接下來的晶圓的處理為止之時間愈短愈佳。然而,於上述前饋計算中,由於反應出依據回饋計算之結果,因此,若於執行處理室中的晶圓處理之間連續執行晶圓的搬運,則因該晶圓的搬運與前饋計算的時序之不同,可能產生於未反映前一項回饋計算的結果之狀態下執行前饋計算之情況。
例如若於執行處理室中的第1晶圓的處理之間,將接下來的第2晶圓搬運至測定室並測定CD值,且於該時序進行前饋計算,則會於未反映依據先前的第1晶圓的處理之回饋計算之下,執行前饋計算。
此時亦可考量如下,於例如結束依據處理室中之先前的第1晶圓的處理之回饋計算後,再將接下來的第2晶圓搬運至測定室並執行前饋計算即可,然而,若於每次結束一片晶圓之處理後的測定後,再搬運接下來的晶圓並進行處理前的測定,則處理量會顯著地降低。
另一方面,於儘可能在較遲的時序中執行前饋計算,例如將晶圓搬運至處理室前方(例如承載室)為止時,若於該前饋計算的時點得知處理參數的值(例如蝕刻時間)超出容許範圍,則無法進行該處理室中之蝕刻處理,因而導致晶圓搬運處理的動作耗費。
此外,於具備進行同樣的蝕刻處理之複數個處理室之基板處理裝置中,就處理量提升之觀點來看,由於先將接下來的晶圓搬運至結束處理的處理室再進行蝕刻處理,因此與上述相同,具有晶圓搬運處理的動作耗費之問題。例如,於搬運至結束處理的處理室前方為止之時序中,執行前饋計算而得知處理參數的值(例如蝕刻時間)超出容許範圍時,係無法進行該處理室中之蝕刻處理,因此被搬運至其他處理室前方為止,並執行該處理室之前饋計算。此時,即使於前饋計算中得知處理參數的值(例如蝕刻時間)超出容許範圍,亦無法進行該處理室中之蝕刻處理。最終在無法執行晶圓的處理時,其晶圓搬運處理的動作耗費乃變得極大。
因此,於本實施型態中,於測定處理前的表面輪廓時,首先執行第1次的前饋計算,並進行所獲得之處理參數的值是否位於容許範圍內之處理室的判定。之後,僅對位於容許範圍內之處理室執行晶圓的搬運,將晶圓搬運至該處理室前方為止,於依據該處理室中之先前的處理之回饋計算的結束後,重新執行第2次的前饋計算。
如此,由於藉由第1次的前饋計算判定是否應執行將晶圓搬運至該處理室的動作後,再進行往該處理室之搬運處理,因此可防止如以往般之搬運至處理室後,才得知處理參數的值超出容許範圍而無法進行處理之事態。藉此可防止晶圓搬運處理的動作耗費。
再者,於第2次的前饋計算中,由於可執行反映出依 據處理室中之先前的處理之回饋計算後的前饋計算,因此可提升由前饋計算所算出之處理參數的調整精密度。此外,由第1次的前饋計算所算出之處理參數,並非用於進行實際的晶圓處理所計算者,因此,關於處理前的CD值Dbef之測定,可於執行先前的晶圓的處理之間進行。藉此,可在不會降低處理量下執行晶圓的搬運處理。
(晶圓處理的具體例)
接下來參照圖式,說明伴隨有考量到晶圓搬運之前饋計算之晶圓處理的具體例。第9圖A~第9圖C係顯示本實施型態之晶圓處理的具體例之流程圖。於第9圖A中,係進行判定是否可執行晶圓處理之用於可否執行的判定之第1次前饋計算,於第9圖B中,係進行用於之後執行晶圓處理之第2次前饋計算。此外,於第9圖C中,係進行晶圓處理結束後的回饋計算。
首先,進行判定是否可執行各處理室140A、140B中的晶圓處理之可否執行的判定。例如第9圖A所示般,首先於步驟S110中,從卡匣容器中取出晶圓並搬入至測定室300。具體而言如第10圖所示般,例如藉由共通搬運機構160從卡匣容器134A中取出晶圓W,並搬入至定向器137進行定位。一旦結束定位,則藉由共通搬運機構160將晶圓W從定向器137中搬出,並搬入至測定室300。
接著於步驟S120中,於測定室300中測定該晶圓之處理前的表面輪廓(例如目標元件的CD值),並記憶於表面 輪廓的資料表482(處理前測定工程)。
之後於步驟S130中,對各處理室140A、140B進行第1次的前饋計算(第1計算工程),並根據該結果,於步驟S140中進行是否可執行各處理室140A、140B中的晶圓處理之判定(第1判定工程)。於此第1判定中,例如判斷於第1次的前饋計算中所算出之處理參數的值,是否位於調整容許範圍內。之後,將位於調整容許範圍內之處理室設定為第1判定結果OK,超出調整容許範圍之處理室設定為第1判定結果NG,於步驟S150中,對於各處理室140A、140B,將該判定結果記憶於判定用資料的資料表488。
接下來更具體說明步驟S130~步驟S150為止之一連串的處理。於步驟S130之第1次的前饋計算中,係從表面輪廓的資料表482中讀出處理前的表面輪廓之實測值,從該實測值中,自動計算出用以達成目標值之處理參數的值。例如於上述般測定CD值作為表面輪廓,測定蝕刻時間作為處理參數時,首先根據處理前所測定之CD值Dbef和目標CD值Dtag求取蝕刻量D,再算出用以達成該蝕刻量D之蝕刻時間。
對各處理室140A、140B進行第1次的前饋計算和第1判定之原因如下。於前饋計算中求取蝕刻量D時,例如上述第(1)式所示般,係加算依據回饋計算之調整值△D以反映出回饋計算的結果。由於此調整值△D因各處理室140A、140B的特性或處理時序的不同而有所不同,因此,係針對各處理室140A、140B分別算出並記憶於計算用 資料的資料表486。因此,於各處理室140A、140B中調整值△D為不同時,反應出調整值△D所算出之蝕刻量D亦為不同。因此,於步驟S130之第1次的前饋計算中,對各處理室140A、140B算出處理參數的值,於步驟S140之第1判定中,係判定於各處理室140A、140B中,處理參數的值是否位於調整容許範圍內。
如此,由於以第1判定所獲得之判定結果因各處理室140A、140B有所不同,因此,例如於因進行第1判定的時序之不同,使處理室140A、140B當中之一為第1判定結果OK,另一邊為第1判定結果NG時,係考量為處理室140A、140B為第1判定結果NG。
於本實施型態之晶圓處理中,第1次的前饋計算,例如第10圖所示般,係於測定室300中測定晶圓Wt之處理前的表面輪廓之結束不久後的時序中進行。藉此,由於在將晶圓Wt搬運至各處理室140A、140B之前,可判定於各處理室140A、140B中是否可執行該晶圓Wt的處理,因此可防止晶圓Wt的搬運之動作耗費。
如此,由於第1次的前饋計算係在將晶圓Wt搬運至各處理室140A、140B前相對較早的階段中進行,因此如第10圖所示般,亦可於各處理室140A、140B中先搬運之晶圓Wa、Wb的執行處理之間進行。因此,亦會有於第1次的前饋計算中所使用之調整值△D中,未反映依據先前的晶圓處理之回饋計算之情況(亦即調整值△D的更新來不和進行之情況)。
因此,於本實施型態,實際用於進行晶圓處理之處理參數的值(例如蝕刻時間),係於決定搬運的處理室之後,於該處理室前方再藉由後述之第2次的前饋計算重新進行計算。藉此,可從結束依據該處理室中之先前的處理之回饋計算起,進行第2次的前饋計算,因此可算出反映該最新的回饋計算後之蝕刻處理時間。
一旦結束此第1判定,則於步驟S160中將晶圓送回卡匣容器。具體而言如第10圖所示般,係藉由共通搬運機構160從測定室300中取出晶圓Wt,並進行搬運而送回至原先的卡匣容器134A。
於此狀態下,如第9圖A所示般,於步驟S210中,使該晶圓Wt的搬運待機,直到第1判定結果為OK之處理室中結束處理為止。亦即,待機至任一個處理室140A、140B的處理結束為止,一旦任一個處理室的處理結束,則根據判定用資料的資料表488的判定結果,判斷該處理室的第1判定結果是否為OK。之後,若該處理室的第1判定結果為NG,則不進行往該處理室之晶圓的搬運並持續待機。
相對於此,若該處理室的第1判定結果為OK,則於步驟S220中將晶圓搬運至該處理室的前方為止。根據此,由於僅將晶圓搬運至第1判定結果為OK之處理室,因此,即使為先結束處理之處理室,晶圓亦不會被搬運至該第1判定結果為NG之處理室。藉此可防止於搬運晶圓後才得知判定結果為NG之事態,因此能夠防止晶圓搬運的動 作耗費。
在此具體說明例如將晶圓Wt從卡匣容器134A搬運至處理室140A的前方為止時之動作。如第11圖所示般,係再次藉由共通搬運機構160從卡匣容器134A中取出晶圓Wt,並搬入至定向器137進行定位。一旦結束定位,則藉由共通搬運機構160將晶圓Wt從定向器137中搬出,一旦開放閘閥152A,則將晶圓Wt載置於承載室150A的緩衝用載置台154A。之後於承載室150A中,藉由個別搬運機構170A將緩衝用載置台154A的晶圓Wt移載至處理室140A的前方之緩衝用載置台156A。如此,一旦結束晶圓Wt往承載室150A之搬入,則關閉閘閥152A。
於與在處理室140A中結束先前的處理之處理完的晶圓Wa進行交換而將晶圓Wt搬入至處理室140A時,係藉由共通搬運機構160之一邊的取放臂,將晶圓Wt從定向器137中搬出,並暫時搬運至真空處理單元110A之承載室150A的前方為止。
此時,係藉由個別搬運機構170A將處理完的晶圓Wa從處理室140A中搬出,並移載至緩衝用載置台154A為止,一旦調整承載室150A內的壓力並開放閘閥152A,則藉由共通搬運機構160之另一邊的取放臂將處理完的晶圓Wa搬出,且藉由一邊的取放臂將晶圓Wt搬入至承載室150A內,並載置於緩衝用載置台154A。如此,一旦結束晶圓Wt往承載室150A之搬入,則關閉閘閥152A。
另一方面,晶圓Wa係藉由共通搬運機構160搬入至 測定室300,於測定室300中測定該晶圓Wa之處理後的表面輪廓(例如目標元件的CD值),並進行回饋計算。於回饋計算中,例如算出晶圓Wa之依據處理後的CD值之蝕刻量的調整值△D,並將該調整值△D予以覆寫而更新。如此,係結束依據處理室140A中之先前的晶圓Wa的處理之回饋計算。
接著於步驟S230中,係等待依據處理室140A中之先前的晶圓Wa的處理之回饋計算之結束。一旦結束回饋計算,則於步驟S240中對該處理室執行第2次的前饋計算,並求取於該處理室中實際用於執行晶圓處理之處理參數的值(第2計算工程)。之後於步驟S250中,係根據該結果,進行是否可於該處理室中執行晶圓處理之判定(第2判定工程)。於此第2判定中,例如判斷於第2次的前饋計算中所算出之處理參數的值是否位於調整容許範圍內。將位於調整容許範圍內之處理室設定為第2判定結果OK,超出調整容許範圍之處理室設定為第2判定結果NG。
於第2次的前饋計算中,係從表面輪廓的資料表482中,讀出已測定之處理前的表面輪廓之實測值,從該實測值中,自動計算出用以達成目標值之處理參數的值。例如於上述般測定CD值作為表面輪廓,測定蝕刻時間作為處理參數時,首先根據處理前所測定之CD值Dbef和目標CD值Dtag求取蝕刻量D,再算出用以達成該蝕刻量D之蝕刻時間。
於第2次的前饋計算中,依據上述第(1)式所示之回饋 計算之調整值△D,係使用關於該處理室(即將執行處理之處理室)之調整值△D,而算出用於實際的晶圓處理之處理參數的值。於第2次的前饋計算中所使用之調整值△D,為藉由在該處理室中剛處理完之晶圓的回饋計算而予以更新之最新的值。
於步驟S260中,若第2判定結果為NG,則於步驟S262中,從判定用資料的資料表488當中,判斷是否有其他之第1判定結果為OK的處理室。於步驟S262中判斷具有其他之第1判定結果為OK的處理室時,係返回步驟S210的處理,並對該其他之處理室進行步驟S210之後的處理。藉此,即使例如處理室140A之第2判定結果為NG,於處理室140B之第1判定結果為OK時,可將晶圓搬運至處理室140B進行處理。
於步驟S262中判斷不具有其他之第1判定結果為OK的處理室時,係於步驟S264中進行錯誤處理。錯誤處理例如有將該晶圓為異常之訊息顯示於顯示手段430中,或是藉由通知手段450加以通知。 相對於此,於步驟S260中,若第2判定結果為OK,則於步驟S270中將晶圓搬入至處理室,並於步驟S280中進行晶圓的處理(處理工程)。具體而言,一旦完成處理室140A中的整備並開放閘閥144A,則如第11圖所示般,藉由個別搬運機構170A將承載室150A內的晶圓Wt搬入至處理室140A。一旦結束晶圓Wt往處理室140A之搬入,則關閉閘閥144A。之後,於處理室140A中,係根據由第2 次的前饋計算所算出之處理參數的值,對晶圓Wt執行蝕刻處理。
之後,於步驟S290中判斷晶圓的處理是否結束,於判斷晶圓的處理結束時,係進行第9圖C所示之步驟S310之後的回饋計算。亦即,於步驟S310中,從該處理室中取出晶圓並搬運至測定室300為止,並搬入至測定室300。具體而言如第12圖所示般,一旦完成處理室140A中之晶圓Wt的處理並開放閘閥144A,則藉由個別搬運機構170A將晶圓Wt搬入至承載室150A。
接著若關閉閘閥144A,則進行晶圓Wt往搬運室200之搬運動作。亦即,為了消除處於大氣壓狀態之搬運室200與處於真空壓狀態之承載室150A內的壓力差,係進行承載室150A內之大氣壓回復。一旦開放閘閥152A,則藉由共通搬運機構160將晶圓Wt從承載室150A搬往搬運室200,並關閉閘閥152A。之後藉由共通搬運機構160將晶圓Wt搬運至測定室300,並搬入測定室300之載置台上。
之後於步驟S320中,於測定室300中測定該晶圓之處理後的表面輪廓(例如目標元件的CD值),並記憶於表面輪廓的資料表482(處理前測定工程)。
接著於步驟S330中,對該處理室進行回饋計算。例 如從表面輪廓的資料表482中讀出處理後的表面輪廓之實測值,從該實測值與目標值之間的差當中,計算出調整值。例如於上述般測定CD值作為表面輪廓時,首先根據處理後所測定之CD值Daft和目標CD值Dtag之間的差以求取蝕刻量的調整值D,再對計算用資料的資料表486之該處理室的調整值△D予以覆寫而更新。
一旦處理後的表面輪廓之測定結束,則於步驟S340中將該晶圓送回卡匣容器。具體而言,例如藉由共通搬運機構160從測定室300中取出晶圓Wt,並送回卡匣容器134A。然後結束此一連串的處理。
如此,於本實施型態之晶圓處理中,於將晶圓搬運至各處理室140A、140B前,於第1次的前饋計算(第1計算)中對各處理室140A、140B算出處理參數的值,並於各處理室140A、140B中判斷是否位於容許範圍內,藉此,可於將晶圓搬運至各處理室140A、140B前,判斷是否應執行該搬運處理。之後,僅對判定為位於容許範圍內之處理室執行晶圓的搬運,因此可防止晶圓搬運的動作耗費。
此外,於本實施型態中,係說明將本發明適用於具有2個處理室140A、140B之基板處理裝置100之情況,但並不限定於此,亦可適用於僅具有1個處理室之基板處理裝 置。此外,亦可適用於具有3個以上的處理室之基板處理裝置100。處理室愈多,其防止搬運的動作耗費之效果愈大。
此外,關於以上述實施型態所詳述之本發明,可適用於由複數個機器所構成之系統,亦可適用於由1個機器所構成之裝置。此外,可將記憶有用以實現上述實施型態的功能之軟體的程式之記憶媒體等的媒體,供應至系統或裝置,並藉由使該系統或裝置的電腦(或是CPU或MPU)讀出記憶媒體等的媒體中所記憶之程式並予以執行,而達成本發明。
此時,從記憶媒體等的媒體中所讀出之程式本身,可實現上述實施型態的功能,且記憶有該程式之記憶媒體等的媒體,係構成本發明。關於用以供應程式之記憶媒體等的媒體,例如有軟碟(註冊商標)、硬碟、光碟、光磁碟、CD-ROM、CD-R、CD-RW、DVD-ROM、DVD-RAM、DVD-RW、DVD+RW、磁帶、非揮發性記憶卡、ROM等。此外,亦可經由網路,將程式下載至媒體而提供。
此外,不僅為藉由執行電腦所讀出之程式而實現上述實施型態的功能之情況,當根據該程式的指示,使電腦上所操作之OS等進行實際處理的一部分或全部,並藉由該處理而實現上述實施型態的功能時,該情況亦包含於本發明。
再者,當從記憶媒體等的媒體中所讀出之程式,在寫入於電腦中所插入之功能擴張卡或電腦上所連接之功能擴 張單元中所具備之記憶體之後,根據該程式的指示,使該功能擴張卡或功能擴張單元中所具備之CPU等進行實際處理的一部分或全部,並藉由該處理而實現上述實施型態的功能時,該情況亦包含於本發明。
以上係參照附加圖式說明本發明之較佳實施型態,但是本發明當然不限定於這些例子。就該業者而言所能夠明瞭的是,於申請專利範圍所記載之範疇內可容易思考出各種變更例或修正例,且這些例子均屬於本發明之技術性範圍內。
例如,於上述實施型態中,係說明例如將承載室連接於處理室而構成處理單元,且將複數個處理單元並聯連接於搬運單元之所謂的串接式(Tandem)基板處理裝置,但是並不限定於此,本發明亦可適用於,例如將複數個處理室連接於共通搬運室的周圍而構成處理單元之所謂的集群設備式(Cluster Tool)基板處理裝置。此時,亦可與上述實施型態相同,將測定室連接於搬運單元。如此,可將本發明適用於具備測定室和處理室之種種型式的基板處理裝置。
產業上之可利用性:
本發明可適用於基板處理方法及記憶程式之記憶媒體。
100‧‧‧基板處理裝置
110A、110B‧‧‧真空處理單元
120‧‧‧搬運單元
132A~132C‧‧‧卡匣台
134A~134C‧‧‧卡匣容器
136A~136C‧‧‧閘閥
137‧‧‧定向器
138‧‧‧旋轉載置台
139‧‧‧光學感測器
140A、140B‧‧‧處理室
142A、142B‧‧‧載置台
144A、144B‧‧‧閘閥
150A、150B‧‧‧承載室
152A、152B‧‧‧閘閥
154A、154B‧‧‧緩衝用載置台
156A、156B‧‧‧緩衝用載置台
160‧‧‧共通搬運機構
162‧‧‧基台
170A、170B‧‧‧個別搬運機構
172A、172B‧‧‧取放臂
200‧‧‧搬運室
300‧‧‧測定室
400‧‧‧控制部
410‧‧‧CPU
420‧‧‧記憶體
430‧‧‧顯示手段
440‧‧‧輸出入手段
450‧‧‧通知手段
460‧‧‧各種控制器
470‧‧‧程式記憶手段
480‧‧‧資料記憶手段
482‧‧‧表面輪廓的資料表
484‧‧‧處理參數的資料表
486‧‧‧計算用資料的資料表
488‧‧‧判定用資料的資料表
W‧‧‧晶圓
第1圖係顯示本發明的實施型態之基板處理裝置的構 成例之剖面圖。
第2圖係顯示第1圖所示之控制部的構成例之方塊圖。
第3圖係顯示第2圖所示之表面輪廓的資料表的例子之圖式。
第4圖係顯示第2圖所示之處理參數的資料表的例子之圖式。
第5圖係顯示第2圖所示之計算用資料的資料表的例子之圖式。
第6圖係顯示第2圖所示之判定用資料的資料表的例子之圖式。
第7圖係顯示本實施型態之晶圓的表面上所形成之目的元件的例子之模式圖。
第8圖係顯示本實施型態之各處理室的蝕刻量與時間(晶圓的處理片數)之間的關係之圖式。
第9圖A係顯示本實施型態之晶圓處理的具體例之流程圖。
第9圖B係顯示接續於第9圖A之晶圓處理的具體例之流程圖。
第9圖C係顯示接續於第9圖B之晶圓處理的具體例之流程圖。
第10圖係顯示用以說明本實施型態之晶圓處理的晶圓流程與第1次前饋計算的時序之圖式。
第11圖係顯示用以說明本實施型態之晶圓處理的晶圓流程與第2次前饋計算的時序之圖式。
第12圖係顯示用以說明本實施型態之晶圓處理的晶圓流程與回饋計算的時序之圖式。

Claims (7)

  1. 一種基板處理裝置的基板處理方法,為具備:根據特定的處理參數對被處理基板執行處理之處理室;和測定上述被處理基板之處理前後的表面輪廓之測定室之基板處理裝置的基板處理方法,其特徵為:具備:於上述測定室中,測定上述被處理基板之處理前的表面輪廓之處理前測定工程;於朝向上述處理室開始上述被處理基板的搬運前,從上述處理前的表面輪廓之測定值中,算出達成目標的表面輪廓之處理參數的值之第1計算工程;判定所算出之處理參數的值是否位於預先設定的容許範圍內之判定工程;於上述判定工程中判定為位於上述容許範圍內時,於朝向上述處理室開始上述被處理基板的搬運起,至將上述被處理基板搬入至上述處理室為止之間,從依據在上述處理室中剛處理完的被處理基板當中所獲得之處理後的表面輪廓之測定值之調整值,和上述處理前的表面輪廓之測定值中,重新算出達成目標的表面輪廓之處理參數的值之第2計算工程;和將上述被處理基板搬入至上述處理室,並根據於上述第2計算工程中所算出之處理參數的值而執行處理之處理 工程。
  2. 如申請專利範圍第1項所記載之基板處理裝置的基板處理方法,其中更具備:將於上述處理室中結束處理之上述被處理基板搬入至上述測定室,並測定處理後的表面輪廓之處理後測定工程;和從上述處理後的表面輪廓之測定值中,算出用以調整處理參數的值之調整值之調整值算出工程。
  3. 如申請專利範圍第1項所記載之基板處理裝置的基板處理方法,其中上述表面輪廓,為上述被處理基板上所形成之元件的尺寸。
  4. 如申請專利範圍第1項所記載之基板處理裝置的基板處理方法,其中上述處理參數,為上述被處理基板的處理時間。
  5. 一種基板處理裝置的基板處理方法,為具備:根據特定的處理參數對被處理基板執行處理之複數個處理室;和測定上述被處理基板之處理前後的表面輪廓之測定室之基板處理裝置的基板處理方法,其特徵為:具備:於上述測定室中,測定上述被處理基板之處理前的表面輪廓之處理前測定工程;於朝向上述處理室中的任一個開始上述被處理基板的搬運前,對於上述各處理室,從上述處理前的表面輪廓之測定值中,各自算出達成目標的表面輪廓之上述處理參數的值之第1計算工程;判定於上述各處理室中所各自算出之處理參數的值, 是否分別位於預先設定的容許範圍內之判定工程;於具有在上述判定工程中判定為位於上述容許範圍內之處理室時,於朝向這些處理室中的任一個開始上述被處理基板的搬運起,至將上述被處理基板搬入至該處理室為止之間,從依據在該處理室中剛處理完的被處理基板當中所獲得之處理後的表面輪廓之測定值之調整值,和上述處理前的表面輪廓之測定值中,重新算出達成目標的表面輪廓之處理參數的值之第2計算工程;和將上述被處理基板搬入至該處理室,並根據於上述第2計算工程中所算出之處理參數的值而執行處理之處理工程。
  6. 一種基板處理裝置的基板處理方法,為具備:根據特定的處理參數對被處理基板執行處理之複數個處理室;和測定上述被處理基板之處理前後的表面輪廓之測定室之基板處理裝置的基板處理方法,其特徵為:具備:於上述測定室中,測定上述被處理基板之處理前的表面輪廓之處理前測定工程;於朝向上述處理室中的任一個開始上述被處理基板的搬運前,對於上述各處理室,從上述處理前的表面輪廓之測定值中,各自算出達成目標的表面輪廓之上述處理參數的值之第1計算工程;判定於上述各處理室中所各自算出之處理參數的值,是否分別位於預先設定的容許範圍內之第1判定工程;於具有在上述第1判定工程中判定為位於上述容許範 圍內之處理室時,於朝向這些處理室中的任一個開始上述被處理基板的搬運起,至將上述被處理基板搬入至該處理室為止之間,從依據在該處理室中剛處理完的被處理基板當中所獲得之處理後的表面輪廓之測定值之調整值,和上述處理前的表面輪廓之測定值中,重新算出達成目標的表面輪廓之處理參數的值之第2計算工程;判定於上述第2計算工程中所算出之處理參數的值,是否位於預先設定的容許範圍內之第2判定工程;和於上述第2判定工程中判定為位於上述容許範圍內時,係將上述被處理基板搬入至該處理室,並根據於上述第2計算工程中所算出之處理參數進行處理,於上述第2判定工程中判定為超出上述容許範圍時,係搬入至於上述第1判定工程中判定為位於上述容許範圍內之其他處理室,並藉由上述第2計算工程重新算出處理參數的值,而執行上述被處理基板的處理之處理工程。
  7. 一種基板處理裝置的基板處理方法,為具備:對被處理基板執行蝕刻處理之複數個處理室;和測定上述被處理基板之處理前後之元件的尺寸之測定室之基板處理裝置的基板處理方法,其特徵為:具備:於上述測定室中,測定上述被處理基板之處理前之元件的尺寸之處理前測定工程;於朝向上述處理室中的任一個開始上述被處理基板的搬運前,對於上述各處理室,從上述處理前之元件的尺寸之測定值中,各自算出達成目標尺寸之蝕刻時間之第1計 算工程;判定於上述各處理室中所各自算出之蝕刻時間,是否分別位於預先設定的容許範圍內之判定工程;於具有在上述判定工程中判定為位於上述容許範圍內之處理室時,於朝向這些處理室中的任一個開始上述被處理基板的搬運起,至將上述被處理基板搬入至該處理室為止之間,從依據在該處理室中剛蝕刻處理完的被處理基板當中所獲得之處理後之元件的尺寸之測定值之調整值,和上述處理前之元件的尺寸之測定值中,重新算出達成目標尺寸之蝕刻時間之第2計算工程;和將上述被處理基板搬入至該處理室,並根據於上述第2計算工程中所算出之蝕刻時間而執行蝕刻處理之處理工程。
TW096137290A 2006-10-05 2007-10-04 Substrate handling method TWI458007B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006273793A JP5213322B2 (ja) 2006-10-05 2006-10-05 基板処理方法及び基板処理装置並びにプログラムを記憶する記憶媒体

Publications (2)

Publication Number Publication Date
TW200834713A TW200834713A (en) 2008-08-16
TWI458007B true TWI458007B (zh) 2014-10-21

Family

ID=39306974

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096137290A TWI458007B (zh) 2006-10-05 2007-10-04 Substrate handling method

Country Status (4)

Country Link
JP (1) JP5213322B2 (zh)
KR (1) KR100921835B1 (zh)
CN (1) CN100520647C (zh)
TW (1) TWI458007B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011082442A (ja) * 2009-10-09 2011-04-21 Hitachi High-Technologies Corp プラズマエッチング処理装置
US9435025B2 (en) * 2013-09-25 2016-09-06 Applied Materials, Inc. Gas apparatus, systems, and methods for chamber ports
TWI732285B (zh) 2015-01-23 2021-07-01 美商應用材料股份有限公司 半導體處理設備
CN106611724A (zh) * 2015-10-26 2017-05-03 北京北方微电子基地设备工艺研究中心有限责任公司 一种刻蚀方法和装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6538388B2 (en) * 2000-10-16 2003-03-25 Alps Electric Co., Ltd. Plasma processing apparatus suitable for power supply of higher frequency
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0950948A (ja) * 1995-08-08 1997-02-18 Kokusai Electric Co Ltd 半導体製造装置の障害対処システム
JPH10275753A (ja) * 1997-03-31 1998-10-13 Hitachi Ltd 半導体基板の製造方法
JP2000252179A (ja) 1999-03-04 2000-09-14 Hitachi Ltd 半導体製造プロセス安定化支援システム
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
JP2003077782A (ja) * 2001-08-31 2003-03-14 Toshiba Corp 半導体装置の製造方法
KR20030026862A (ko) * 2001-09-25 2003-04-03 다이닛뽕스크린 세이조오 가부시키가이샤 기판 처리장치 제어 시스템 및 기판 처리장치
US6756243B2 (en) * 2001-10-30 2004-06-29 Advanced Micro Devices, Inc. Method and apparatus for cascade control using integrated metrology
US7158851B2 (en) * 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6538388B2 (en) * 2000-10-16 2003-03-25 Alps Electric Co., Ltd. Plasma processing apparatus suitable for power supply of higher frequency
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment

Also Published As

Publication number Publication date
CN100520647C (zh) 2009-07-29
JP2008091816A (ja) 2008-04-17
KR20080031807A (ko) 2008-04-11
KR100921835B1 (ko) 2009-10-13
CN101158852A (zh) 2008-04-09
JP5213322B2 (ja) 2013-06-19
TW200834713A (en) 2008-08-16

Similar Documents

Publication Publication Date Title
US7376490B2 (en) Operational control device, operational control method, program and storage medium thereof, for a plurality of power consumption systems
TWI458007B (zh) Substrate handling method
JP2008053464A (ja) 塗布、現像装置、レジストパターン形成装置、塗布、現像方法、レジストパターンの形成方法及び記憶媒体。
CN103025138A (zh) 基板搬送装置、基板搬送方法及表面安装机
KR19980081732A (ko) 아암 액세스 위치 검출 방법
JP2007294752A (ja) 膜位置調整方法、記憶媒体及び基板処理システム
JP6049394B2 (ja) 基板処理システム及び基板の搬送制御方法
US20170016112A1 (en) Apparatus for processing substrate and method of manufacturing article
US7774082B2 (en) Substrate processing method and storage medium having program stored therein
US6236904B1 (en) Substrate conveying system
JP2007214218A (ja) 真空処理装置
JP4794525B2 (ja) 基板保持能力の判定方法、基板搬送システム、基板処理システムおよびコンピュータ読み取り可能な記憶媒体
CN110943005A (zh) 基板处理装置以及基板处理方法
JPH11220004A (ja) 基板処理装置
JP4610317B2 (ja) 基板処理装置及び基板処理装置の基板搬送方法
CN115642104A (zh) 基板处理装置、半导体器件的制造方法及记录介质
JP4439693B2 (ja) プリント基板搬送方法及び装置
JPH11220005A (ja) 基板処理装置
JP2002208548A (ja) レジストパターン形成方法
JP2018041040A (ja) 基板処理装置、基板処理方法及び記憶媒体
US20230411194A1 (en) Conveyance method and processing system
TW202234188A (zh) 基板處理系統、基板處理方法及控制程式
KR20160078901A (ko) 기판 처리 장치, 기판 처리 방법 및 기판 처리 프로그램을 기록한 기록 매체
KR20220017831A (ko) 기판 처리 장치 및 반송 스케줄 제작 방법
JP2000144453A (ja) エッチングシステム及びエッチング方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent