KR20080031807A - 기판 처리 방법 및 프로그램을 기억하는 컴퓨터 판독가능한 기억 매체 - Google Patents

기판 처리 방법 및 프로그램을 기억하는 컴퓨터 판독가능한 기억 매체 Download PDF

Info

Publication number
KR20080031807A
KR20080031807A KR1020070099910A KR20070099910A KR20080031807A KR 20080031807 A KR20080031807 A KR 20080031807A KR 1020070099910 A KR1020070099910 A KR 1020070099910A KR 20070099910 A KR20070099910 A KR 20070099910A KR 20080031807 A KR20080031807 A KR 20080031807A
Authority
KR
South Korea
Prior art keywords
processing
substrate
chamber
value
surface profile
Prior art date
Application number
KR1020070099910A
Other languages
English (en)
Other versions
KR100921835B1 (ko
Inventor
시게루 구보타
신지 사카노
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20080031807A publication Critical patent/KR20080031807A/ko
Application granted granted Critical
Publication of KR100921835B1 publication Critical patent/KR100921835B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 웨이퍼를 연속해서 반송하여 처리할 때에, 스루풋을 저하시키지 않고, 웨이퍼의 반송의 낭비를 방지하기 위한 것으로, 처리 전의 표면 프로파일을 측정한 때에 우선 제1회째의 피드포워드 계산을 실행하여, 그 결과 얻어진 처리 파라미터의 값이 허용 범위 내로 되는 처리실의 판정을 행한다. 그리고, 허용 범위 내로 되는 처리실에만 웨이퍼의 반송을 실행하여, 그 처리실의 바로 앞까지 웨이퍼를 반송하여, 그 처리실에서의 직전의 처리에 근거하는 피드백 계산의 결과를 반영한 제2회째의 피드포워드 계산을 실행하여, 그 결과 산출된 처리 파라미터 처리에 의해 웨이퍼의 처리를 실행한다.

Description

기판 처리 방법 및 프로그램을 기억하는 컴퓨터 판독 가능한 기억 매체{SUBSTRATE PROCESSING METHOD AND COMPUTER-READABLE STORAGE MEDIUM STORING PROGRAM}
본 발명은 반도체 웨이퍼 등의 피처리 기판의 표면에 에칭 처리 등의 소정의 처리를 실시하는 기판 처리 방법 및 프로그램을 기억하는 기억 매체에 관한 것이다.
최근에는 반도체 집적 회로에 있어서의 디자인룰의 미세화가 점점더 진행하여, 반도체 웨이퍼(이하, 단지 웨이퍼라고도 함)의 표면에 형성되는 회로 패턴의 치수 등도 보다 높은 정밀도가 요구되도록 되고 있다. 특히, 복수의 웨이퍼를 연속하여 처리를 행하는 경우, 예컨대 처리실 내의 상태가 서서히 변화되어, 표면에 형성되는 회로 패턴의 치수도 미소하게 변동하기 때문에, 보다 높은 정밀도로 목표의 처리 결과를 얻기 위해서는, 처리 파라미터의 값을 미세조정할 필요가 발생하는 경우가 있다.
이러한 처리 결과의 변동을 수정하는 것으로서는, 예컨대 처리전에 웨이퍼 상에 형성된 목적 소자의 치수를 측정하여 원하는 형상을 얻기 위한 처리 파라미터의 값을 계산하는 피드포워드 계산을 행하고, 또한, 처리 후에 목적 소자의 치수를 측정하여 그 결과를 포드백 계산을 행하는 것이 있다(예컨대 특허문헌 1, 2 참조). 이와 같은 피드포워드 계산에서는, 피드백 계산에 의한 결과를 반영하는 것에 의해, 그 후의 웨이퍼의 처리에서는, 피드백 계산에 의해 조정된 처리 파라미터의 값으로 처리를 행할 수 있다.
[특허문헌 1] 일본 특허 공개 2001-143982호 공보
[특허문헌 2] 일본 특허 공개 2002-208544호 공보
그런데, 웨이퍼의 처리를 실행하는 처리실과, 웨이퍼의 표면에 형성되는 목표 소자의 치수 등을 측정하는 측정실과의 사이에서 웨이퍼를 반송하면서 웨이퍼의 처리를 연속하여 행하는 경우에는, 스루풋 향상의 관점에서 처리실에서의 웨이퍼의 처리가 종료하기 전이라도, 다음 웨이퍼의 측정 처리를 행하여 처리실을 향해 반송하는 것이 바람직하다.
그러나, 종래의 피드포워드 계산에서는, 피드백 계산에 의한 결과를 반영하기 때문에, 처리실에서의 웨이퍼의 처리를 실행하고 있는 사이에 처리실을 향해 웨이퍼의 반송이 차례차례로 실행되면, 그 웨이퍼의 반송과 피드포워드 계산의 타이밍에 따라서는, 직전의 피드백 계산의 결과가 반영되지 않은 상태에서 피드포워드 계산이 실행되어 버리는 경우가 있다.
예컨대 처리실에서의 제 1 웨이퍼의 처리를 실행하고 있는 사이에, 다음의 제 2 웨이퍼를 측정실에 반송하여 목적 소자의 치수를 측정하고, 그 타이밍에서 피드포워드 계산을 행하도록 하면, 직전의 제 1 웨이퍼의 처리에 근거하는 피드백 계산을 반영하지 않고 피드포워드 계산이 실행되어 버린다. 이렇게 해서는, 피드포워드 계산에 의한 정밀도가 저하해 버린다.
이 경우, 예컨대 처리실에서의 직전의 제 1 웨이퍼의 처리에 근거하는 피드백 계산이 종료하고 나서 다음의 제 2 웨이퍼를 측정실에 반송하여 피드포워드 계산을 실행하면 좋다고도 생각되지만, 이와 같이 1장의 웨이퍼의 처리 후의 측정이 종료할 때마다 다음 웨이퍼를 반송하여 처리 전의 측정을 행하고 있는 것이면, 스루풋이 현저히 저하해 버린다.
한편, 피드포워드 계산을 될 수 있는 한 느린 타이밍, 예컨대 처리실의 바로 앞(예컨대 로드록실)까지 웨이퍼를 반송하고, 그 타이밍에서 피드포워드 계산을 실행하도록 한 경우, 그 피드포워드 계산의 시점에서 처리 파라미터의 값(예컨대 에칭 시간)이 허용 범위를 넘는 것을 알면 그 처리실에서의 에칭 처리를 행할 수 없기 때문에, 웨이퍼의 반송 처리가 낭비되어 버린다고 하는 문제가 있었다.
그래서, 본 발명은, 이러한 문제에 비추어 이루어진 것으로, 피처리 기판을 연속해서 반송하여 처리할 때에, 스루풋을 저하시키지 않고, 피처리 기판의 반송의 낭비를 방지할 수 있어, 피드포워드 계산에 의해 산출되는 처리 파라미터의 조정 정밀도를 향상시킬 수 있는 기판 처리 방법 및 프로그램을 기억한 기억 매체를 제공하는 것을 목적으로 하는 것이다.
상기 과제를 해결하기 위해, 본 발명의 임의의 관점에 의하면, 피처리 기판에 대하여 소정의 처리 파라미터에 근거하여 처리를 실행하는 처리실과, 상기 피처리 기판의 처리 전후의 표면 프로파일을 측정하는 측정실을 구비하는 기판 처리 장치의 기판 처리 방법으로서, 상기 측정실에서 상기 피처리 기판의 처리 전의 표면 프로파일을 측정하는 처리전 측정 공정과, 상기 처리실을 향해 상기 피처리 기판의 반송을 개시하기 전에, 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표 면 프로파일을 달성하는 처리 파라미터의 값을 산출하는 제 1 계산 공정과, 산출된 처리 파라미터의 값이 미리 설정된 허용 범위 내인지 여부를 판정하는 판정 공정과, 상기 판정 공정에서 상기 허용 범위 내라고 판정한 경우에, 상기 처리실을 향해 상기 피처리 기판의 반송을 개시하고부터 상기 처리실로 상기 피처리 기판을 반입하기까지의 동안에, 상기 처리실에서 직전에 처리된 피처리 기판으로부터 얻어진 처리 후의 표면 프로파일의 측정값에 근거하는 조정값과 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 처리 파라미터의 값을 다시 산출하는 제 2 계산 공정과, 상기 처리실에 상기 피처리 기판을 반입하여 상기 제 2 계산 공정에서 산출한 처리 파라미터의 값에 근거하여 처리를 실행하는 처리 공정을 갖는 것을 특징으로 하는 기판 처리 방법이 제공된다.
상기 과제를 해결하기 위해, 본 발명의 별도의 관점에 의하면, 피처리 기판에 대하여 소정의 처리 파라미터에 근거하여 처리를 실행하는 처리실과, 상기 피처리 기판의 처리 전후의 표면 프로파일을 측정하는 측정실을 구비하는 기판 처리 장치의 기판 처리를 실행하기 위한 프로그램을 기억하는 기억 매체로서, 컴퓨터에, 상기 측정실에서 상기 피처리 기판의 처리 전의 표면 프로파일을 측정하는 처리전 측정 단계와, 상기 처리실을 향해 상기 피처리 기판의 반송을 개시하기 전에, 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 처리 파라미터의 값을 산출하는 제 1 계산 단계와, 산출된 처리 파라미터의 값이 미리 설정된 허용 범위 내인지 여부를 판정하는 판정 단계와, 상기 판정 단계에서 상기 허용 범위 내라고 판정한 경우에, 상기 처리실을 향해 상기 피처리 기판의 반송을 개시하고부터 상기 처리실로 상기 피처리 기판을 반입하기까지의 동안에, 상기 처리실에서 직전에 처리된 피처리 기판으로부터 얻어진 처리 후의 표면 프로파일의 측정값에 근거하는 조정값과 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 처리 파라미터의 값을 다시 산출하는 제 2 계산 단계와, 상기 처리실에 상기 피처리 기판을 반입하여 상기 제 2 계산 단계에서 산출한 처리 파라미터의 값에 근거하여 처리를 실행하는 처리 단계를 갖는 프로그램을 기억하는 컴퓨터 판독 가능한 기억 매체가 제공된다.
이러한 본 발명에 의하면, 제1회째의 처리 파라미터의 계산(제 1 계산)에 의해 그 처리 파라미터의 값이 허용 범위인지 여부를 판단함으로써, 처리실로의 피처리 기판의 반송을 실행할 것인지 여부의 가늠을 해 본 뒤에, 그 처리실로의 피처리 기판의 반송을 행하기 때문에, 종래와 같이 처리실에 반송하고 나서 처리 파라미터의 값이 허용 범위를 초과하고 있어 처리를 행할 수 없는 사태를 방지할 수 있다. 이에 따라, 피처리 기판의 반송 처리의 낭비를 방지할 수 있다. 또한, 제2회째의 처리 파라미터의 계산(제 2 계산)에서는, 처리실에서의 직전의 처리에 근거하는 조정값을 반영한 처리 파라미터의 값을 구할 수 있으므로, 처리 파라미터의 조정 정밀도를 향상시킬 수 있다. 또한, 제1회째의 피드포워드 계산(제1계산)에서 산출되는 처리 파라미터는 실제로 피처리 기판의 처리를 실행하기 위해 계산하는 것이 아니기 때문에, 처리 전의 표면 프로파일의 측정에 대해서는 직전의 피처리 기판의 처리를 실행하고 있는 사이에 실행할 수 있기 때문에, 스루풋을 저하하지 않고, 기판 반송 처리를 실행할 수 있다.
또한, 상기 처리실에서의 처리가 종료된 후에는, 상기 피처리 기판을 상기 측정실로 반입하고, 처리 후의 표면 프로파일을 측정하는 처리후 측정 공정과, 상기 처리 후의 표면 프로파일의 측정값으로부터 처리 파라미터의 값을 조정하기 위한 조정값을 산출하는 조정값 산출 공정을 행한다. 이에 따라, 다음 피처리 기판의 처리에 있어서는, 최신의 조정값을 반영한 처리 파라미터의 값을 구할 수 있기 때문에, 처리 파라미터의 조정 정밀도를 향상시킬 수 있다.
또, 표면 프로파일은 예컨대 상기 피처리 기판 상에 형성되는 목적 소자의 미소 치수이며, 처리 파라미터는 예컨대 상기 피처리 기판의 처리 시간이다.
상기 과제를 해결하기 위해, 본 발명의 별도의 관점에 의하면, 피처리 기판에 대하여 소정의 처리 파라미터에 근거하여 처리를 실행하는 복수의 처리실과, 상기 피처리 기판의 처리 전후의 표면 프로파일을 측정하는 측정실을 구비하는 기판 처리 장치의 기판 처리 방법으로서, 상기 측정실에서 상기 피처리 기판의 처리 전의 표면 프로파일을 측정하는 처리전 측정 공정과, 상기 처리실 중 어느 하나를 향해 상기 피처리 기판의 반송을 개시하기 전에, 상기 각 처리실마다 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 상기 처리 파라미터의 값을 산출하는 제 1 계산 공정과, 상기 각 처리실마다 산출된 처리 파라미터의 값이 미리 설정된 허용 범위 내인지 여부를 판정하는 판정 공정과, 상기 판정 공정에서 상기 허용 범위 내라고 판정한 처리실이 있는 경우에, 이들 처리실의 어느 하나를 향해 상기 피처리 기판의 반송을 개시하고부터 상기 처리실로 상기 피처리 기판을 반입할 때까지의 동안에, 상기 처리실에서 처리된 직전의 피처리 기판으 로부터 얻어진 처리 후의 표면 프로파일의 측정값에 근거하는 조정값과 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 처리 파라미터의 값을 다시 산출하는 제 2 계산 공정과, 상기 처리실에 상기 피처리 기판을 반입하여 상기 제 2 계산 공정에서 산출한 처리 파라미터의 값에 근거하여 처리를 실행하는 처리 공정을 갖는 것을 특징으로 하는 기판 처리 방법이 제공된다.
상기 문제를 해결하기 위해, 본 발명의 별도의 관점에 의하면, 피처리 기판에 대하여 소정의 처리 파라미터에 근거하여 처리를 실행하는 복수의 처리실과, 상기 피처리 기판의 처리 전후의 표면 프로파일을 측정하는 측정실을 구비하는 기판 처리 장치의 기판 처리를 실행하는 프로그램을 기억하는 기억 매체로서, 컴퓨터에, 상기 측정실에서 상기 피처리 기판의 처리 전의 표면 프로파일을 측정하는 처리전 측정 단계와, 상기 처리실 중 어느 하나를 향해 상기 피처리 기판의 반송을 개시하기 전에, 상기 각 처리실마다 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 상기 처리 파라미터의 값을 산출하는 제 1 계산 단계와, 상기 각 처리실마다 산출된 처리 파라미터의 값이 각각 미리 설정된 허용 범위 내인지를 판정하는 판정 단계와, 상기 판정 단계에서 상기 허용 범위 내라고 판정한 처리실이 있는 경우에, 그들 처리실 중 어느 하나를 향해 상기 피처리 기판의 반송을 개시하고부터 상기 처리실로 상기 피처리 기판을 반입하기까지의 동안에, 상기 처리실에서 처리된 직전의 피처리 기판으로부터 얻어진 처리 후의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 처리 파라미터의 값을 다시 산출하는 제 2 계산 단계와, 상기 처리실에 상기 피처리 기판을 반입하여 상기 제 2 계산 단계에서 산출한 처리 파라미터의 값에 근거하여 처리를 실행하는 처리 단계를 갖는 것을 특징으로 하는 프로그램을 기억하는 컴퓨터 판독 가능한 기억 매체가 제공된다.
이러한 본 발명에 의하면, 제1회째의 처리 파라미터의 계산(제 1 계산)에 의해 각 처리실마다 처리 파라미터의 값을 산출하고, 각 처리 파라미터의 값이 허용 범위 내인지 여부를 각 처리실마다 판정함으로써, 각 처리실로의 피처리 기판의 반송전에 그 반송 처리를 실행할 것인지 여부의 가늠을 해 볼 수 있어, 허용 범위 내라고 판정한 처리실에만 피처리 기판을 반송할 수 있기 때문에, 피처리 기판의 반송 처리의 낭비를 방지할 수 있다. 또한, 제2회째의 처리 파라미터의 계산(제 2 계산)에서는, 이제부터 피처리 기판을 처리하고자 하는 처리실에서의 직전의 처리에 근거하는 조정값을 반영한 처리 파라미터의 값을 구할 수 있기 때문에, 처리 파라미터의 조정 정밀도를 향상시킬 수 있다.
상기 과제를 해결하기 위해, 본 발명의 별도의 관점에 의하면, 피처리 기판에 대하여 소정의 처리 파라미터에 근거하여 처리를 실행하는 복수의 처리실과, 상기 피처리 기판의 처리 전후의 표면 프로파일을 측정하는 측정실을 구비하는 기판 처리 장치의 기판 처리 방법으로서, 상기 측정실에서 상기 피처리 기판의 처리 전의 표면 프로파일을 측정하는 처리전 측정 공정과, 상기 처리실 중 어느 하나를 향해 상기 피처리 기판의 반송을 개시하기 전에, 상기 각 처리실마다 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 상기 처리 파라미터의 값을 산출하는 제 1 계산 공정과, 상기 각 처리실마다 산출된 처리 파라미 터의 값이 각각 미리 설정된 허용 범위 내인지 여부를 판정하는 제 1 판정 공정과, 상기 제 1 판정 공정에서 상기 허용 범위 내라고 판정한 처리실이 있는 경우에, 그들 처리실 중 어느 하나를 향해 상기 피처리 기판의 반송을 개시하고부터 상기 처리실로 상기 피처리 기판을 반입하기까지의 동안에, 상기 처리실에서 처리된 직전의 피처리 기판으로부터 얻어진 처리 후의 표면 프로파일의 측정값에 근거하는 조정값과 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 처리 파라미터의 값을 다시 산출하는 제 2 계산 공정과, 상기 제 2 계산 공정에서 산출된 처리 파라미터의 값이 미리 설정된 허용 범위 내인지 여부를 판정하는 제 2 판정 공정과, 상기 제 2 판정 공정에서 상기 허용 범위 내라고 판정한 경우는, 상기 처리실에 상기 피처리 기판을 반입하여 상기 제 2 계산 공정에서 산출된 처리 파라미터에 근거하여 처리를 행하고, 상기 제 2 판정 공정에서 상기 허용 범위를 넘는다고 판정한 경우는, 상기 제 1 판정 공정에서 상기 허용 범위 내에 있다고 판정한 다른 처리실에 반송해서 상기 제 2 계산 공정에 의해 처리 파라미터의 값을 다시 산출하여 상기 피처리 기판의 처리를 실행하는 처리 공정을 갖는 것을 특징으로 하는 기판 처리 방법이 제공된다.
이러한 본 발명에 의하면, 제 2 계산 공정에서 다시 처리 파라미터의 값을 산출했을 때에도, 제 2 판정 공정에서 그 처리 파라미터의 값이 상기 허용 범위 내인지 여부를 판정하여, 허용 범위 내를 넘는 경우에는, 제 1 판정 공정에서 처리 파라미터의 값이 허용 범위 내로 되는 다른 처리실에만 피처리 기판을 반송하기 때문에, 반송 처리의 낭비를 방지할 수 있다.
상기 과제를 해결하기 위해, 본 발명의 별도의 관점에 의하면, 피처리 기판에 대하여 에칭 처리를 실행하는 복수의 처리실과, 상기 피처리 기판의 처리 전후의 목표 소자의 치수를 측정하는 측정실을 구비하는 기판 처리 장치의 기판 처리 방법으로서, 상기 측정실에서 상기 피처리 기판의 처리 전의 목표 소자의 치수를 측정하는 처리전 측정 공정과, 상기 처리실 중 어느 하나를 향해 상기 피처리 기판의 반송을 개시하기 전에, 상기 각 처리실마다 상기 처리 전의 목표 소자의 치수의 측정값으로부터 목표 치수를 달성하는 에칭 시간을 산출하는 제 1 계산 공정과, 상기 각 처리실마다 산출된 에칭 시간이 각각 미리 설정된 허용 범위 내인지 여부를 판정하는 판정 공정과, 상기 판정 공정에서 상기 허용 범위 내라고 판정한 처리실이 있는 경우에, 그들 처리실 중 어느 하나를 향해 상기 피처리 기판의 반송을 개시하고부터 상기 처리실로 상기 피처리 기판을 반입하기까지의 동안에, 상기 처리실에서 에칭 처리된 직전의 피처리 기판으로부터 얻어진 처리 후의 목표 소자의 치수의 측정값에 근거하는 조정값과 상기 처리 전의 목표 소자의 치수의 측정값으로부터 목표 치수를 달성하는 에칭 시간을 다시 산출하는 제 2 계산 공정과, 상기 처리실에 상기 피처리 기판을 반입하여 상기 제 2 계산 공정에서 산출한 에칭 시간에 의해 에칭 처리를 실행하는 처리 공정을 갖는 것을 특징으로 하는 기판 처리 방법이 제공된다. 이와 같이, 본 발명은 처리 파라미터로서 산출한 에칭 시간에 의해 피처리 기판에 대하여 에칭 처리를 행하는 경우에 적용할 수 있다.
본 발명에 의하면, 피처리 기판을 연속해서 반송하여 처리할 때에, 스루풋을 저하시키지 않고, 피처리 기판의 반송의 낭비를 방지할 수 있어, 피드포워드 계산에 의해 산출되는 처리 파라미터의 조정 정밀도를 향상시킬 수 있는 기판 처리 장치 및 프로그램을 기억한 기억 매체를 제공할 수 있다.
이하에 첨부 도면을 참조하면서, 본 발명의 바람직한 실시예에 대하여 상세히 설명한다. 또, 본 명세서 및 도면에 있어서, 실질적으로 동일한 기능 구성을 갖는 구성요소에 대해서는, 동일한 부호를 부여함으로써 중복 설명을 생략한다.
(기판 처리 장치의 구성예)
우선, 본 발명의 실시예에 따른 기판 처리 장치의 구성예에 대하여 도면을 참조하면서 설명한다. 여기서는, 반송실에 적어도 1 이상의 진공 처리 유닛이 접속된 기판 처리 장치를 예로 들어 설명한다. 도 1은 본 실시예에 따른 기판 처리 장치의 개략 구성을 나타내는 단면도이다.
기판 처리 장치(100)는, 피처리 기판 예컨대 반도체 웨이퍼(이하, 단지 웨이퍼라고도 함) W에 대하여 성막 처리, 에칭 처리 등의 각종 처리를 행하는 1개 또는 2개 이상의 진공 처리 유닛(110)과, 이 진공 처리 유닛(110)에 대하여 웨이퍼 W를 반출입시키는 반송 유닛(120)을 구비한다. 반송 유닛(120)은 웨이퍼 W를 반송할 때에 공용되는 반송실(200)을 갖고 있다.
도 1에서는, 예컨대 2개의 진공 처리 유닛(110A, 110B)을 반송 유닛(120)의 측면에 배치한 것을 나타낸다. 각 진공 처리 유닛(110A, 110B)은, 각각 처리실(140A, 140B)과, 이것들의 각각에 연결되어, 진공 가능하게 구성된 로드록실(150A, 150B)을 갖고 있다. 각 진공 처리 유닛(110A, 110B)은, 각 처리실(140A, 140B) 내에서 웨이퍼 W에 대하여 동종의 처리 예컨대 에칭 처리를 행하게 되고 있다.
예컨대 각 처리실(140A, 140B)은 각각, 그 내부에 배치한 웨이퍼의 탑재대(142A, 142B)를 겸하는 전극에 고주파 전력을 인가하고, 또한, 처리실(140A, 140B) 내에 처리 가스를 공급하여 플라즈마화하여 웨이퍼 표면에 플라즈마 에칭 처리를 실시하는 플라즈마 처리 장치로서 구성된다. 또, 처리실의 구성은 이것에 한정되는 것이 아니다. 또한, 도 1에 도시하는 바와 같이 처리실을 구비하는 진공 처리 유닛을 2개 마련한 경우에 대하여 설명했지만, 이것에 한정되는 것이 아니라, 처리실을 구비하는 진공 처리 유닛을 3개 이상 마련하더라도 좋다.
상기 반송 유닛(120)의 반송실(200)은, 예컨대 N2 가스 등의 불활성 가스나 청정 공기가 순환되는 단면이 대략 직사각형 형상인 상자체에 의해 구성되어 있다. 반송실(200)에 있어서의 단면이 대략 직사각형 형상의 긴 변을 구성하는 한 측면에는, 복수의 카세트대(132A~132C)가 병설되어 있다. 이들 카세트대(132A~132C)는, 카세트 용기(134A~134C)를 탑재하는 피처리 기판 대기 포트로서 기능한다. 도 1에 서는, 예컨대 각 카세트대(132A~132C)에 3대의 카세트 용기(134A~134C)를 각각 하나씩 탑재할 수 있는 예를 들고 있지만, 카세트대와 카세트 용기의 수는 이것에 한정되지 않고, 예컨대 1대 또는 2대이더라도 좋고, 또한 4대 이상 마련하더라도 좋다.
각 카세트 용기(134A~134C)에는, 예컨대 최대 25장의 웨이퍼 W를 등 피치로 다단으로 탑재하여 수용할 수 있게 되어 있고, 내부는 예컨대 N2 가스 분위기로 채워진 밀폐 구조로 되어 있다. 그리고, 반송실(200)은 그 내부로 게이트밸브(136A~136C)를 거쳐서 웨이퍼 W를 반출입 가능하게 구성되어 있다.
반송실(200) 내에는, 웨이퍼 W를 그 길이 방향(도 1에 나타내는 화살표 방향)을 따라 반송하는 공통 반송 기구(대기측 반송 기구)(160)가 마련되어 있다. 이 공통 반송 기구(160)는, 예컨대 기대(162) 상에 고정되어, 이 기대(162)는 반송실(200)내의 중심부를 길이 방향을 따라서 마련된 도시하지 않는 안내 레일상을 예컨대 리니어 모터 구동 장치에 의해 슬라이드 이동 가능하게 구성되어 있다. 공통 반송 기구(160)는 예컨대 도 1에 나타내는 2개의 픽을 구비하는 더블 암 기구이더라도 좋고, 또한 하나의 픽을 구비하는 싱글 암 기구이더라도 좋다.
반송실에서의 단면이 대략 직사각형 형상의 긴 변을 구성하는 다른 측면에는, 상기 2개의 로드록실(150A, 150B)의 기단이, 개폐 가능하게 구성된 게이트밸브(대기측 게이트밸브)(152A, 152B)를 각각 거쳐서 연결되어 있다. 각 로드록실(150A, 150B)의 선단은, 개폐 가능하게 구성된 게이트밸브(진공측 게이트밸 브)(144A, 144B)를 거쳐서 각각 상기 처리실(140A, 140B)에 연결되어 있다.
각 로드록실(150A, 150B) 내에는, 각각 웨이퍼 W를 일시적으로 탑재하여 대기시키는 한 쌍의 버퍼용 탑재대(154A, 156A 및 154B, 156B)가 마련된다. 여기서 반송실측의 버퍼용 탑재대(154A, 154B)를 제 1 버퍼용 탑재대로 하고, 반대측의 버퍼용 탑재대(156A, 156B)를 제 2 버퍼용 탑재대로 한다. 그리고, 양 버퍼용 탑재대(154A, 156A) 사이 및 (154B, 156B) 사이에는, 굴신(屈伸), 선회 및 승강 가능하게 이루어진 다관절 암으로 이루어지는 개별 반송 기구(진공측 반송 기구)(170A, 170B)가 마련되어 있다.
이들 개별 반송 기구(170A, 170B)의 선단에는 픽(172A, 172B)이 마련되고, 이 픽(172A, 172B)을 이용하여 제 1, 제 2 양 버퍼용
탑재대(154A, 156A 및 154B, 156B) 사이에서 웨이퍼 W의 교환 탑재 이송을 행할 수 있게 되고 있다. 또, 로드록실(150A, 150B)로부터 처리실(140A, 140B) 내로의 웨이퍼의 반출입은, 각각 상기 개별 반송 기구(170A, 170B)를 이용하여 행해진다.
반송실(200)의 일단부, 즉 단면이 대략 직사각형 형상의 짧은 변을 구성하는 한쪽의 측면에는, 웨이퍼 W의 위치 결정 장치로서의 오리엔터(사전 정렬 스테이지)(137)가 마련되어 있다. 오리엔터(137)는, 예컨대 내부에 회전 탑재대(138)와 웨이퍼 W의 주연부를 광학적으로 검출하는 광학 센서(139)를 구비하고, 웨이퍼 W의 오리엔테이션 플랫이나 노치 등을 검출하여 위치 정렬을 행한다.
반송실(200)의 타단부, 즉 단면이 대략 직사각형 형상의 짧은 변을 구성하는 다른 쪽의 측면에는, 웨이퍼의 표면 프로파일을 측정하기 위한 측정실(300)이 마련되어 있다. 예컨대 도 3에 도시하는 바와 같이 웨이퍼 표면에 형성되는 피에칭 재료 E를 소정의 마스크 패턴 M에 의해 마스크하여 트림 에칭하는 경우에는, 피에칭 재료 E에 의해 구성되는 목표 소자의 폭의 미소 치수(CD(Critical Dimension)값)를 웨이퍼의 표면 프로파일로서 측정한다. 웨이퍼의 표면 프로파일로서는, CD 값 등의 목적 소자의 미소 치수 외에, 예컨대 피에칭 재료의 막두께, 에칭 형상, 에칭 레이트, 이것들의 균일성 등을 들 수 있다.
측정실(300)은, 예컨대 스캐터로메트리법(scatterometry)이나 옵티컬디지털 프로피로메트리법 등의 엘립소메트리법에 의해 웨이퍼의 표면 프로파일을 측정한다. 구체적으로는 예컨대, 측정실(300) 내에 웨이퍼를 탑재하는 탑재대를 마련하고, 이 탑재대 상의 웨이퍼에 광을 조사하는 광원과, 그 반사광을 수광하는 수광부와, 그 반사광으로부터 표면 프로파일을 측정하는 측정부를 구비한다.
상기 각 처리실(140A, 140B), 측정실(300), 오리엔터(137), 각 반송 기구(160, 170) 등 각부는, 제어부(400)로부터의 제어 신호에 근거하여 제어된다. 제어부(400)는, 소정의 프로그램에 근거하여 각부를 제어함으로써, 웨이퍼의 처리를 실행하게 되어 있다.
(제어부의 구성예)
다음에, 제어부(400)의 구성예에 대하여 도면을 참조하면서 설명한다. 도 2는 제어부(400)의 구성예를 나타내는 블럭도이다. 도 2에 도시하는 바와 같이 제 어부(400)는, 제어부 본체를 구성하는 CPU(중앙 처리 장치)(410), CPU(410)가 각부의 제어 처리, 데이터 처리 등을 실행하기 위해서 사용하는 ROM(리드온리 메모리)이나 RAM(랜덤 액세스 메모리) 등의 메모리(420), 조작 화면이나 선택 화면 등을 표시하는 액정 디스플레이 등으로 구성되는 표시 수단(430), 오퍼레이터에 의한 여러가지의 데이터의 입출력 등을 하기 위한 조작 패널 등으로 구성되는 입출력 수단(440), 예컨대 알람과 같은 경보기 등으로 구성되는 통지 수단(450), CPU(410)가 기판 처리 장치(100)의 각부를 제어하기 위한 각종 제어기(460)를 구비한다.
또한, 제어부(400)는, CPU(410)가 실행하는 각종 프로그램이 기억되는 프로그램 기억 수단(470), CPU(410)가 실행하는 제어 처리, 데이터 처리 등을 하기 위한 데이터를 기억하는 데이터 기억 수단(480) 등을 구비한다. 또, 프로그램 기억 수단(470), 데이터 기억 수단(480), 예컨대 메모리나 하드디스크 등으로 구성된다. CPU(410)는 필요에 따라 이들 프로그램 기억 수단(470), 데이터 기억 수단(480)으로부터 필요한 프로그램이나 데이터를 판독하여 제어 처리나 데이터 처리를 실행한다.
상기 CPU(410)는 메모리(420), 표시 수단(430), 입출력 수단(440), 통지 수단(450), 각종 제어기(460), 프로그램 기억 수단(470), 데이터 기억 수단(480)과 각각 제어 버스, 시스템 버스, 데이터 버스 등의 버스 라인에 의해 전기적으로 접속되어 있다.
각종 제어기(460)에는, 측정실(300), 공통 반송 기구(160), 개별 반송 기구(170A, 170B), 오리엔터(137)의 제어기의 외에, 각 처리실(140A, 140B)의 각부의 제어를 하는 제어기도 포함된다. 또, 각 처리실(140A, 140B)의 각부의 제어는, 각 처리실(140A, 140B)마다 제어부를 마련하여 제어하도록 하더라도 좋다. 이 경우에는 상기 제어부(400)는, 각 처리실(140A, 140B)의 제어부와 접속하여, 데이터나 신호의 교환을 하면서 기판 처리 장치(100)를 제어한다.
프로그램 기억 수단(470)에는, 예컨대 공통 반송 기구(160), 개별 반송 기구(170A, 170B) 등을 제어하여 웨이퍼의 반송을 행하기 위한 웨이퍼 반송 프로그램, 측정실(300)에 있어서 각부를 제어하여, 웨이퍼의 표면 프로파일의 측정을 하기 위한 측정 프로그램, 각 처리실(140A, 140B)에서 예컨대 데이터 테이블(484)에 기억된 처리 파라미터(처리 조건)에 따라서 각부를 제어하여, 웨이퍼에 대하여 에칭 처리 등 소정의 처리를 실행하기 위한 웨이퍼 처리 프로그램이 기억된다. 그 외에, 피드포워드 계산, 피드백 계산, 처리 파라미터의 판정 처리 등의 필요한 계산이나 처리를 행하기 위한 프로그램이 기억된다. 또, 제어부(400)는, 이들 각 프로그램을 필요에 따라 판독하여, 기판 처리 장치(100)의 각부를 제어하는 것에 의해, 후술하는 웨이퍼의 처리(예컨대 도 9a~도 9c의 처리) 등을 실행한다.
데이터 기억 수단(480)에는, 예컨대 후술하는 피드포워드 계산 및 피드백 계산, 웨이퍼의 처리 등에 사용하는 데이터가 기억된다. 구체적으로는 도 2에 나타내는 바와 같이 데이터 기억 수단(480)에는, 표면 프로파일의 데이터 테이블(482), 처리 파라미터의 데이터 테이블(484), 계산용 데이터의 데이터 테이블(486), 판정용 데이터의 데이터 테이블(488) 등이 마련된다.
표면 프로파일의 데이터 테이블(482)에는, 예컨대 도 3에 나타내는 바와 같 이 측정실(300)에서 측정된 처리 전후의 표면 프로파일이 각 웨이퍼마다 기억된다. 처리 파라미터의 데이터 테이블(484)에는, 예컨대 도 4에 도시하는 바와 같이 각 처리실(140A, 140B)마다 웨이퍼 처리를 실행하기 위한 파라미터값이 기억된다. 또, 처리 전의 표면 프로파일은, 피드포워드 계산에 있어서의 처리 파라미터의 자동 계산에 사용되고, 처리 후의 표면 프로파일은, 피드백 계산에 있어서의 처리 파라미터의 값을 조정하기 위한 조정값의 자동 계산에 사용된다.
처리 파라미터는, 예컨대 도 4에 도시하는 바와 같이 에칭 시간, 처리실내 압력, 처리실내에 공급하는 처리 가스의 유량, 전극에 인가하는 고주파 전력 등을 들 수 있다. 피드포워드 계산에서 처리 파라미터의 값을 자동 계산하는 경우에는, 이들 복수의 처리 파라미터 중 모두를 산출하여 갱신하도록 하더라도 좋고, 어느 1개 또는 2개 이상의 조합을 산출하여 갱신하고, 다른 파라미터는 갱신하지 않도록 해도 좋다. 예컨대 에칭 시간만을 자동적으로 산출하여 갱신하도록 하더라도 좋다.
계산용 데이터의 데이터 테이블(486)에는, 예컨대 도 5에 도시하는 바와 같이 피드포워드 계산에 사용하는 에칭량을 산출하기 위한 계산식, 처리 파라미터의 값을 산출하기 위한 계산식, 피드백 계산에서 사용하는 조정값을 산출하기 위한 계산식 등의 각종 계산을 행하기 위한 계산식이 기억된다. 또한, 피드백 계산으로 산출된 조정값 등이 기억된다.
판정용 데이터의 데이터 테이블(488)에는, 예컨대 도 6에 도시하는 바와 같이 피드포워드 계산으로 산출된 처리 파라미터의 값이 소정의 조정 허용 범위 내인 지 여부의 판정을 위해 필요한 데이터, 판정 결과 등이 기억된다. 구체적으로는 각 처리실(140A, 140B)마다 설정되는 처리 파라미터의 값(예컨대 에칭 시간)의 조정 허용 범위의 데이터, 각 처리실(140A, 140B)마다의 판정 결과 등이 기억된다. 판정 결과로서는, 후술하는 웨이퍼의 표면 프로파일의 측정 직후에 실행되는 제 1 판정의 판정 결과, 웨이퍼의 처리 직전에 실행되는 제 2 판정의 판정 결과가 있다. 이것들의 판정 결과로서는, 예컨대 피드포워드 계산으로 얻어진 처리 파라미터의 값이 소정의 조정 허용 범위 내의 경우에는 판정 결과 OK, 조정 허용 범위를 넘는 경우에는 판정 결과 NG를 기억한다.
또, 상술한 각 데이터 테이블(482, 484, 486, 488) 등에 기억되는 데이터는, 오퍼레이터에 의한 조작 패널 등의 입출력 수단(440)의 조작에 의해서, 설정이나 편집 등을 자유롭게 실행할 수 있게 되어 있다.
(처리실에서 실행되는 웨이퍼의 처리)
다음에, 각 처리실(140A, 140B)에서 실행되는 웨이퍼 처리에 대하여 설명한다. 또, 상술한 바와 같이 본 실시예에서는 각 처리실(140A, 140B)에서 각각 동일한 처리가 행해진다. 여기서의 웨이퍼 처리로서는, 예컨대 도 7에 도시하는 바와 같이 웨이퍼 표면에 형성되는 피에칭 재료 E를 소정의 마스크 패턴 M에 의해 마스크하여 트림 에칭하는 경우를 예로 든다.
이러한 에칭 처리를 동일한 처리 파라미터의 값을 이용하여 연속하여 실행한 경우, 에칭량은, 모든 웨이퍼에 대하여 일정하게 되는 것이다. 그런데, 실제의 에칭 처리로 얻어지는 에칭량은, 에칭 처리를 반복하는 것에 따라 주로 처리실내의 상태가 서서히 변화하는 것에 기인하여 시간 경과적으로 변화되는 경향이 있다. 또한, 예컨대 부품 교환이나 처리실내의 클리닝 등의 유지 보수가 실행되면 그 전후로 처리실내의 상태가 개선되는 것에 기인하여 시프트적으로 변화되는 경향이 있다.
예컨대 도 8에 도시하는 바와 같이 웨이퍼의 처리를 연속하여 실행하면, 에칭량은 서서히 감소하는 경향이 있다. 그리고, 정기적으로 유지 보수가 행해지는 것에 따라 그 유지 보수 전후로 시프트적으로 변화되는 경향이 있다.
또한, 복수의 처리실을 구비하는 경우에는, 각 처리실이 갖는 특성의 상위(예컨대 에칭 레이트의 상위 등), 웨이퍼 처리의 개시나 종료의 타이밍의 상위 등에 의해, 에칭량의 변화는 각 처리실마다 다른 경향이 있다. 예컨대 도 8에 각각 처리실(140A, 140B)의 에칭량의 변화의 경향을 나타낸다. 도 8에 각 처리실(140A, 140B)에 대한 에칭 처리를 실행 가능한 허용 범위 WA, WB를 각각 점선으로 나타내면, 예컨대 시간 tp에서는, 처리실(140A)에 대해서는 허용 범위 WA 내로 되기 때문에 에칭 처리를 실행 가능한 것에 대하여, 처리실(140B)에 대해서는 허용 범위 WB를 넘기 때문에 에칭 처리를 실행 불가능한 것을 알 수 있다.
그래서, 본 실시예에서는, 웨이퍼의 연속 처리를 행하는 경우에 항상 소망하는 처리 결과를 달성하기 위해, 상술한 바와 같은 에칭량의 경시적 변화나 시프트적 변화에 의한 영향을 자동적으로 수정하는 피드포워드 계산 및 피드백 계산을 행하게 되어 있다.
(피드포워드 계산)
여기서, 우선 에칭 처리전에 실행하는 피드포워드 계산에 대하여 설명한다. 피드포워드 계산에서는, 에칭 처리전에 피에칭 재료 E에 의해 구성되는 목표 소자의 폭의 미소 치수(CD 값) Dbef를 측정해두고, 그 처리 전의 CD 값 Dbef로부터, 처리 결과로서의 목표 소자의 폭의 목표 치수(목표 CD 값) Dtag을 달성하기 위한 처리 파라미터의 값을 자동적으로 계산한다. 여기서의 처리 파라미터는, 처리실의 각부를 제어하기 위한 처리 조건을 구성하는 파라미터이며, 예컨대 에칭 시간, 처리 가스의 유량, 전극에 인가하는 고주파 전력, 처리실내 압력 등을 들 수 있다.
이러한 피드포워드 계산에 의한 구체적인 계산예를 이하에 설명한다. 우선 처리전에 측정된 CD 값 Dbef와 목표 CD 값 Dtag에 근거하여 에칭량을 구한다. 구체적으로는 예컨대 하기 수학식(1)을 이용하여 산출한다.
D = Dbef - Dtag + ΔD … (1)
상기 수학식에 있어서의 ΔD는, 후술하는 피드백 계산으로 얻어지는 에칭량의 조정값 ΔD이다. 이 조정값 ΔD는 피드백 계산의 결과를 피드포워드 계산에 반영시키기 위해 가산하는 것이다. 이에 따라, 에칭량이 경시적으로 변화되는 경우라도, 조정값 ΔD에 의해 처리 파라미터의 값(예컨대 에칭 시간)이 조정되기 때문에, 항상 소망하는 에칭량을 얻을 수 있으므로, 항상 목표 CD 값을 달성할 수 있다.
이렇게 해서 얻어지는 에칭량 D로부터 에칭 시간 t을 구한다. 구체적으로는 예컨대 에칭량과 에칭 시간과의 상관 관계를 이용하여 에칭량 D에 대응하는 에칭 시간 t을 구한다. 또, 에칭량과 에칭 시간과의 상관 관계는, 미리 실험 등에 의해 구한 계산식(함수)이더라도 좋고, 또한 복수의 에칭량과 그것들에 대응하는 에칭 시간과의 상관 데이터이더라도 좋다. 이 때, 트림 에칭에서는, 도 7에 도시하는 바와 같이 피에칭 재료 E에 의해 구성되는 소자의 양측면이 동시에 에칭되기 때문에, 여기서의 에칭량(트리밍량)은 에칭 속도의 2배가 되는 것을 고려하여 에칭량이나 에칭 시간을 구한다. 이렇게 해서 얻어지는 에칭 시간에 의해 웨이퍼의 에칭 처리가 행해진다.
또한, 예컨대 피드포워드 계산에 의해 산출된 에칭 시간이, 미리 설정된 조정 허용 범위 내에 있는지 여부를 판정함으로써, 그 처리실에서 처리를 실행할 수 있는지 여부(그 처리실에 반송할 것인지 여부)를 판정할 수 있다. 즉, 피드포워드 계산에 의해 산출되는 에칭 시간은, 피드백 계산에 의한 조정값 ΔD를 반영한 것이기 때문에, 조정값 ΔD가 크면 미리 설정된 조정 허용 범위를 넘어 에칭 처리를 행할 수 없는 경우가 있는 때문이다. 또, 조정값 ΔD가 크기 때문에, 에칭 시간의 변동이 너무 커지는 경우에는, 어떠한 이상이 발생하고 있는 것으로 생각되기 때문이다.
따라서, 에칭 시간이 조정 허용 범위 내인 경우에는 판정 결과 OK로서 에칭 처리를 실행하고, 에칭 시간이 조정 허용 범위를 넘는 경우에는 판정 결과 NG로서 에칭 처리를 실행하지 않도록 한다.
(피드백 계산)
다음에, 에칭 처리 후에 실행하는 피드백 계산에 대하여 설명한다. 피드백 계산에서는, 에칭 처리 후에 피에칭 재료 E의 목표 소자의 CD 값 Daft을 측정하여, 그 CD 값 Daft과 목표 CD 값 Dtag과의 차로부터 에칭량의 조정값 ΔD를 자동적으로 계산한다. 구체적으로는 예컨대 하기 수학식 (2)을 이용하여 산출한다.
ΔD = Daft-Dtag … (2)
조정값 ΔD는 처음에는 0으로 하고, 또한 처리실내의 클리닝이나 부품 교환 등의 유지 보수를 한 경우에는 다시 0으로 리세트한다. 유지 보수에 의해 처리실내의 상태가 개선되기 때문에, 그 직후는 처리 파라미터의 값을 조정할 필요가 없기 때문이다. 이에 따라, 상술한 바와 같은 에칭량의 시프트적인 변화에 대응할 수 있어, 유지 보수 전후에 대해서도 항상 목표 CD 값을 달성할 수 있다. 또, 피드백 계산에 의해 산출하는 조정값은, 에칭량의 조정값 ΔD로 한 경우를 예로 들어 설명했지만, 반드시 이것에 한정되는 것이 아니라, 처리 파라미터(예컨대 에칭 시간)의 조정값으로서 산출하더라도 좋다.
또, 상술한 피드포워드 계산에서 이용하는 에칭량을 구하기 위한 에칭량 산출식(예컨대 상기 (1)식)이나 에칭 시간을 구하기 위한 에칭 시간 산출식, 피드백 계산에서 이용하는 조정값 산출식(예컨대 상기 (2)식) 등의 각 계산식은, 예컨대 도 5에 나타내는 계산용 데이터의 데이터 테이블(486)에 미리 기억해 놓는다. 이것들의 계산식은, 오퍼레이터에 의한 입출력 수단(440)의 조작에 의해 설정, 편집 등을 할 수 있다. 또한, 이것들의 계산식은 상술한 것에 한정되는 것이 아니라, 다른 계산식을 이용하여도 좋다.
그런데, 웨이퍼를 연속해서 반송하여 처리하는 경우에, 스루풋 향상의 관점에서는, 처리실에서의 웨이퍼의 처리가 종료하고 나서 다음 웨이퍼의 처리를 시작하기까지의 시간이 짧은 쪽이 좋다. 그러나, 상술한 바와 같은 피드포워드 계산에서는, 피드백 계산에 의한 결과를 반영하기 때문에, 처리실에서의 웨이퍼의 처리를 실행하고 있는 사이에 웨이퍼의 반송이 차례차례로 실행되면, 그 웨이퍼의 반송과 피드포워드 계산의 타이밍에 따라서는, 직전의 피드백 계산의 결과가 반영되지 않는 상태로 피드포워드 계산이 실행되어 버리는 경우가 있다.
예컨대 처리실에서의 제 1 웨이퍼의 처리를 실행하고 있는 사이에, 다음의 제 2 웨이퍼를 측정실에 반송하여 CD 값을 측정하고, 그 타이밍에서 피드포워드 계산을 행하도록 하면, 직전의 제 1 웨이퍼의 처리에 근거하는 피드백 계산을 반영하지 않고 피드포워드 계산이 실행되어 버린다.
이 경우, 예컨대 처리실에서의 직전의 제 1 웨이퍼의 처리에 근거하는 피드백 계산이 종료하고 나서 다음의 제 2 웨이퍼를 측정실에 반송하여 피드포워드 계산을 실행하면 좋다고도 생각되지만, 이와 같이 1장의 웨이퍼의 처리 후의 측정이 종료할 때마다 다음 웨이퍼를 반송하여 처리 전의 측정을 행하고 있는 것이면, 스루풋이 현저히 저하해 버린다.
한편, 피드포워드 계산을 될 수 있는 한 느린 타이밍, 예컨대 처리실의 바로 앞(예컨대 로드록실)까지 웨이퍼를 반송하고, 그 타이밍에서 피드포워드 계산을 실행하도록 한 경우, 그 피드포워드 계산의 시점에서 처리 파라미터의 값(예컨대 에 칭 시간)이 허용 범위를 넘는 것을 알면 그 처리실에서의 에칭 처리를 행할 수 없기 때문에, 웨이퍼의 반송 처리가 낭비되어 버린다고 하는 문제가 있다.
또한, 동일한 에칭 처리를 행하는 복수의 처리실을 구비하는 기판 처리 장치에서는, 스루풋 향상의 관점에서, 먼저 처리가 종료한 처리실에 다음 웨이퍼를 반송하여 에칭 처리를 행하는 것이 행해지기 때문에, 상기와 같이 웨이퍼의 반송 처리가 낭비되는 문제가 있다. 예컨대 먼저 처리가 종료한 처리실의 바로 앞까지 반송한 타이밍에서 피드포워드 계산을 실행하여 처리 파라미터의 값(예컨대 에칭 시간)이 허용 범위를 넘는 것을 알면, 그 처리실에서의 에칭 처리를 행할 수 없기 때문에, 다른 처리실의 바로 앞까지 반송되고, 그 처리실에 대한 피드포워드 계산이 실행된다. 그 때의 피드포워드 계산에서도 처리 파라미터의 값(예컨대 에칭 시간)이 허용 범위를 넘는 것을 알면, 그 처리실에서의 에칭 처리도 할 수 없다. 이렇게 해서 최종적으로 웨이퍼의 처리를 실행할 수 없었던 경우에는, 웨이퍼 반송 처리의 낭비가 매우 크다.
그래서, 본 실시예에 있어서는, 처리 전의 표면 프로파일을 측정한 때에 우선 제1회째의 피드포워드 계산을 실행하고, 그 결과 얻어진 처리 파라미터의 값이 허용 범위 내로 되는 처리실의 판정을 행한다. 그리고, 처리 파라미터의 값이 허용 범위 내로 되는 처리실에만 웨이퍼의 반송을 실행하고, 그 처리실의 바로 앞까지 웨이퍼를 반송하여, 그 처리실에서의 직전의 처리에 근거하는 피드백 계산이 종료한 후에 제2회째의 피드포워드 계산을 다시 실행하도록 한다.
이와 같이, 제1회째의 피드포워드 계산에 의해 그 처리실로의 웨이퍼의 반송 을 실행할 것인지 여부를 가늠해 본 뒤에, 그 처리실로의 반송 처리를 행하기 때문에, 종래와 같이 처리실에 반송하고 나서 처리 파라미터의 값이 허용 범위를 넘어 처리를 행할 수 없다고 하는 사태를 방지할 수 있다. 이에 따라, 웨이퍼 반송 처리의 낭비를 방지할 수 있다.
또한, 제2회째의 피드포워드 계산에서는, 처리실에서의 직전의 처리에 근거하는 피드백 계산을 반영한 피드포워드 계산을 실행할 수 있기 때문에, 피드포워드 계산으로 산출되는 처리 파라미터의 조정 정밀도를 향상시킬 수 있다. 또한, 제1회째의 피드포워드 계산에 의해 산출되는 처리 파라미터는 실제의 웨이퍼의 처리를 행하기 위해서 계산하는 것은 아니기 때문에, 처리 전의 CD 값 Dbef를 측정에 대해서는 직전의 웨이퍼의 처리를 실행하고 있는 사이에 실행할 수 있다. 이에 따라, 스루풋을 저하하지 않고, 웨이퍼의 반송 처리를 실행할 수 있다.
(웨이퍼 처리의 구체예)
다음에, 이러한 웨이퍼 반송을 고려한 피드포워드 계산을 따르는 웨이퍼 처리의 구체예를 도면을 참조하면서 설명한다. 도 9a~도 9c는 본 실시예에 따른 웨이퍼 처리의 구체예를 나타내는 흐름도이다. 도 9a에서는 웨이퍼의 처리가 실행 가능한지 여부를 판정하는 실행 가부 판정을 위한 제1회째의 피드포워드 계산이 행해지고, 도 9b에서는 그 후에 웨이퍼 처리를 실행하기 위한 제2회째의 피드포워드 계산이 행해진다. 또한, 도 9c에서는 웨이퍼 처리 종료 후의 피드백 계산이 행해진다.
우선, 각 처리실(140A, 140B)에서의 웨이퍼의 처리를 실행 가능한지 여부를 판정하는 실행 가부 판정을 행한다. 예컨대 도 9a에 도시하는 바와 같이, 우선 단계 S110에서 카세트 용기로부터 웨이퍼를 취출하여 측정실(300)에 반입시킨다. 구체적으로는 도 10에 도시하는 바와 같이 예컨대 카세트 용기(134A)로부터 공통 반송 기구(160)에 의해 웨이퍼 W를 취출하여, 오리엔터(137)에 반입하여 위치 결정한다. 위치 결정이 종료하면, 공통 반송 기구(160)에 의해 오리엔터(137)로부터 웨이퍼 W를 반출하여 측정실(300)로 반입한다.
이어서, 단계 S120에서 측정실(300)에 있어서 그 웨이퍼의 처리 전의 표면 프로파일(예컨대 목표 소자의 CD 값)을 측정하여 표면 프로파일의 데이터 테이블(482)에 기억한다(처리전 측정 공정).
다음에, 단계 S130에서 각 처리실(140A, 140B)에 대하여 제1회째의 피드포워드 계산을 행하고(제 1 계산 공정), 그 결과에 근거하여 단계 S140에서 각 처리실(140A, 140B)에서의 웨이퍼 처리의 실행 가부 판정을 행한다(제 1 판정 공정). 이 제 1 판정에서는, 예컨대 제1회째의 피드포워드 계산으로 산출되는 처리 파라미터의 값이 조정 허용 범위 내인지 여부를 판단한다. 그리고, 조정 허용 범위 내인 처리실은 제 1 판정 결과 OK로 하고, 조정 허용 범위를 넘는 처리실은 제 1 판정 결과 NG로 하여, 단계 S150에서 그 판정 결과를 각 처리실(140A, 140B)마다 판정용 데이터의 데이터 테이블(488)에 기억한다.
이들 단계 S130~단계 S150까지의 일련의 처리에 대하여, 보다 구체적으로 설명한다. 단계 S130에 있어서의 제1회의 피드포워드 계산에서는, 표면 프로파일의 데이터 테이블(482)로부터 처리 전의 표면 프로파일의 실측값을 판독하고, 그 실측값으로부터 목표값을 달성하기 위한 처리 파라미터의 값을 자동적으로 계산한다. 예컨대 상술한 바와 같이 표면 프로파일로서 CD 값을 측정하여, 처리 파라미터로서 에칭 시간을 산출하는 경우에는, 우선 처리전에 측정된 CD 값 Dbef와 목표 CD 값 Dtag에 근거하여 에칭량 D를 구하고, 그 에칭량 D를 달성하는 에칭 시간을 산출한다.
또, 각 처리실(140A, 140B)마다 제1회째의 피드포워드 계산 및 제 1 판정을 행하는 것은 이하의 이유에 의한다. 피드포워드 계산에 있어서 에칭량 D를 구할 때는, 예컨대 상기 (1)식에 도시하는 바와 같이 피드백 계산에 의한 조정값 ΔD를 덧붙여 피드백 계산의 결과를 반영시킨다. 이 조정값 ΔD는 각 처리실(140A, 140B)의 특성이나 처리의 타이밍에 의해 변하기 때문에, 각 처리실(140A, 140B)마다 산출되어 계산용 데이터의 데이터 테이블(486)에 기억된다. 따라서, 각 처리실(140A, 140B)마다 조정값 ΔD가 다른 경우에는, 조정값 ΔD를 반영하여 산출되는 에칭량 D도 다르다. 이 때문에, 단계 S130에 있어서의 제1회째의 피드포워드 계산에서는 각 처리실(140A, 140B)마다 처리 파라미터의 값을 산출하고, 단계 S140에 있어서의 제 1 판정에서는 각 처리실(140A, 140B)마다 처리 파라미터의 값이 조정 허용 범위 내인지 여부를 판정한다.
이와 같이, 제 1 판정에 의해 얻어지는 판정 결과는, 각 처리실(140A, 140B)마다 다르기 때문에, 예컨대 제 1 판정을 행하는 타이밍에 따라서는, 처리실(140A, 140B)의 한쪽이 제 1 판정 결과 OK이고, 다른 쪽이 제 1 판정 결과 NG가 되는 경 우, 처리실(140A, 140B)의 양쪽이 제 1 판정 결과 OK로 되는 경우, 처리실(140A, 140B)의 양쪽이 제 1 판정 결과 NG로 되는 경우가 생각된다.
이러한 본 실시예에 있어서의 웨이퍼 처리에서는, 제1회째의 피드포워드 계산은, 예컨대 도 10에 도시하는 바와 같이 측정실(300)에 있어서 웨이퍼 Wt의 처리 전의 표면 프로파일의 측정이 종료 직후의 타이밍에서 행해진다. 이에 따라, 각 처리실(140A, 140B)로 웨이퍼 Wt를 반송하기 전에 각 처리실(140A, 140B)에서 상기 웨이퍼 Wt의 처리의 실행 가부를 판정할 수 있기 때문에, 웨이퍼 Wt의 반송의 낭비를 방지할 수 있다.
이와 같이, 제1회째의 피드포워드 계산은, 각 처리실(140A, 140B)로 웨이퍼 Wt를 반송하기 전의 비교적 이른 단계에서 행해지기 때문에, 도 10에 도시하는 바와 같이 각 처리실(140A, 140B)에서 먼저 반송된 웨이퍼 Wa, Wb의 처리가 실행되어 있는 사이에 행해지는 경우도 있다. 이것 때문에, 제1회째의 피드포워드 계산에 있어서 이용되는 조정값 ΔD에는 직전의 웨이퍼의 처리에 근거하는 피드백 계산이 반영되어 있지 않은 경우(즉 조정값 ΔD의 갱신이 시간맞춰 이루어지지 않은 경우)도 있다.
따라서, 본 실시예에서는, 실제로 웨이퍼의 처리를 행하기 위한 처리 파라미터의 값(예컨대 에칭 시간)은, 반송할 처리실이 결정되고 나서 그 처리실의 바로 앞에서 후술하는 제2회째의 피드포워드 계산에 의해 다시 계산한다. 이에 따라, 그 처리실에서 직전의 처리에 근거하는 피드백 계산이 종료하고 나서 제2회째의 피드포워드 계산을 할 수 있기 때문에, 그 최신의 피드백 계산을 반영한 에칭 처리 시간을 산출할 수 있다.
이렇게 해서 제 1 판정이 종료하면, 단계 S160에서 웨이퍼를 카세트 용기에 되돌린다. 구체적으로는 도 10에 도시하는 바와 같이, 측정실(300)로부터 공통 반송 기구(160)에 의해 웨이퍼 Wt를 취출하여 반송하고, 본래의 카세트 용기(134A)에 되돌린다.
이 상태에서, 도 9b에 나타내는 단계 S210에서 제 1 판정 결과가 OK인 처리실에서 처리가 종료할 때까지 상기 웨이퍼 Wt의 반송을 대기한다. 즉, 어느 하나의 처리실(140A, 140B)의 처리가 종료할 때까지 대기하고, 어느 하나의 처리실의 처리가 종료하면, 그 처리실의 제 1 판정 결과가 OK인지 여부를 판정용 데이터의 데이터 테이블(488)의 판정 결과에 근거하여 판단한다. 그리고, 그 처리실의 제 1 판정 결과가 NG이면 그 처리실로의 웨이퍼의 반송을 행하지 않고, 대기를 속행한다.
이것에 대하여, 그 처리실의 제 1 판정 결과가 OK이면 단계 S220에서 웨이퍼를 상기 처리실의 바로 앞까지 반송한다. 이것에 의하면, 제 1 판정 결과가 OK로 되는 처리실에만 웨이퍼가 반송되기 때문에, 먼저 처리가 종료한 처리실에서도 제 1 판정 결과가 NG로 되는 처리실에는 웨이퍼가 반송되지 않는다. 이에 따라, 웨이퍼를 반송하고 나서 판정 결과가 NG로 되는 것을 방지할 수 있기 때문에, 웨이퍼 반송의 낭비를 방지할 수 있다.
여기서, 예컨대 웨이퍼 Wt를 카세트 용기(134A)에서 처리실(140A)의 바로 앞까지 반송할 때의 동작에 대하여 구체적으로 설명한다. 도 11에 도시하는 바와 같 이 웨이퍼 Wt를 다시 카세트 용기(134A)에서 공통 반송 기구(160)에 의해 취출하여, 오리엔터(137)에 반입하여 위치 결정한다. 위치 결정이 종료하면, 공통 반송 기구(160)에 의해 오리엔터(137)로부터 웨이퍼 Wt를 반출하여, 게이트밸브(152A)가 개방되면, 로드록실(150A)의 버퍼용 탑재대(154A)에 탑재한다. 그리고, 로드록실(150A)에서, 개별 반송 기구(170A)에 의해 버퍼용 탑재대(154A)의 웨이퍼 Wt를 처리실(140A)의 바로 앞의 버퍼용 탑재대(156A)에 실어 이송한다. 이렇게 해서, 로드록실(150A)에의 웨이퍼 Wt의 반입이 종료하면, 게이트밸브(152A)가 폐색된다.
또, 처리실(140A)에서의 직전의 처리가 종료한 처리완료 웨이퍼 Wa와의 교환으로 웨이퍼 Wt를 처리실(140A)에 반입하는 경우에는, 공통 반송 기구(160)의 한쪽의 픽에 의해 오리엔터(137)로부터 웨이퍼 Wt를 반출하여, 일단 진공 처리 유닛(110A)의 로드록실(150A)의 직전까지 반송한다.
이 때, 개별 반송 기구(170A)에 의해 처리완료 웨이퍼 Wa가 처리실(140A)로부터 반출되어 버퍼용 탑재대(154A)까지 실려 이송되고, 로드록실(150A) 내가 압력 조정되어 게이트밸브(152A)가 개방되면, 공통 반송 기구(160)의 다른 쪽의 픽에 의해 처리완료 웨이퍼 Wa를 반출하고, 또한, 한쪽의 픽으로 웨이퍼 Wt를 로드록실(150A) 내에 반입하여, 버퍼용 탑재대(154A)에 탑재한다. 이렇게 해서, 로드록실(150A)에의 웨이퍼 Wt의 반입이 종료하면, 게이트밸브(152A)가 폐색된다.
한편, 웨이퍼 Wa는, 공통 반송 기구(160)에 의해 측정실(300)로 반입되고, 측정실(300)에 있어서 그 웨이퍼 Wa의 처리 후의 표면 프로파일(예컨대 목표 소자의 CD 값)이 측정되어, 피드백 계산이 행해진다. 피드백 계산에서는 예컨대 웨이 퍼 Wa의 처리 후의 CD 값에 근거하는 에칭량의 조정값 ΔD가 산출되고, 그 조정값 ΔD는 재기록되어 갱신된다. 이렇게 해서 처리실(140A)에서의 직전의 웨이퍼 Wa의 처리에 근거하는 피드백 계산은 종료한다.
다음에, 단계 S230에서 상기 처리실에서의 직전의 처리에 근거하는 피드백 계산 종료 대기로 된다. 피드백 계산이 종료하면, 단계 S240에서 상기 처리실에 대하여 제2회째의 피드포워드 계산을 실행하고, 그 처리실에서 실제로 웨이퍼 처리를 실행하기 위한 처리 파라미터의 값을 구한다(제 2 계산 공정). 그리고, 단계 S250에서 그 결과에 근거하여 상기 처리실에서의 웨이퍼 처리의 실행 가부 판정을 행한다(제 2 판정 공정). 이 제 2 판정에서는, 예컨대 제2회째의 피드포워드 계산으로 산출되는 처리 파라미터의 값이 조정 허용 범위 내인지 여부를 판단한다. 그리고, 조정 허용 범위 내인 처리실은 제 2 판정 결과 OK로 하고, 조정 허용 범위를 넘는 처리실은 제 2 판정 결과 NG로 한다.
제2회의 피드포워드 계산에서는, 표면 프로파일의 데이터 테이블(482)로부터 이미 측정된 처리 전의 표면 프로파일의 실측값을 판독하고, 이 실측값으로부터 목표값을 달성하기 위한 처리 파라미터의 값을 자동적으로 계산한다. 예컨대 상술한 바와 같이 표면 프로파일로서 CD 값을 측정하고, 처리 파라미터로서 에칭 시간을 산출하는 경우에는, 우선 처리전에 측정된 CD 값 Dbef와 목표 CD 값 Dtag에 근거하여 에칭량 D를 구하고, 그 에칭량 D를 달성하는 에칭 시간을 산출한다.
제2회의 피드포워드 계산에서는, 상기 (1)식에 나타내는 피드백 계산에 의한 조정값 ΔD는, 상기 처리실(이제부터 처리를 실행하고자 하는 처리실)에 대한 것을 이용하여, 실제의 웨이퍼 처리에 사용하기 위한 처리 파라미터의 값을 산출한다. 또, 제2회의 피드포워드 계산에서 이용되는 조정값 ΔD는, 그 처리실에서의 직전에 처리된 웨이퍼의 피드백 계산에 의해 갱신된 최신의 것이다.
단계 S260에서 제 2 판정 결과가 NG의 경우에는, 단계 S262에서 판정용 데이터의 데이터 테이블(488)로부터 제 1 판정 결과가 OK의 처리실이 그 외에 있는지 여부를 판단한다. 단계 S262에서 제 1 판정 결과가 OK의 처리실이 그 외에 있었던 경우에는 단계 S210의 처리에 되돌아가, 다른 처리실에 대하여 단계 S210 이후의 처리를 행한다. 이에 따라, 예컨대 처리실(140A)의 제 2 판정 결과가 NG이더라도, 처리실(140B)의 제 1 판정 결과가 OK인 경우에는, 웨이퍼를 처리실(140B)에 반송하여 처리를 행할 수 있다.
단계 S262에서 제 1 판정 결과가 OK의 처리실이 그 외에 없는 경우에는, 단계 S264에서 오류 처리를 행한다. 오류 처리로서는 예컨대 그 웨이퍼가 이상인 취지를 표시 수단(430)에 표시시키거나, 통지 수단(450)에 의해 통지하거나 한다.
이것에 대하여, 단계 S260에서 제 2 판정 결과가 OK의 경우에는, 단계 S270에서 웨이퍼를 상기 처리실에 반입하여, 단계 S280에서 웨이퍼의 처리를 행한다(처리 공정). 구체적으로는, 처리실(140A)에서의 준비가 갖추어져 게이트밸브(144A)가 개방되면, 도 11에 도시하는 바와 같이 로드록실(150A) 내의 웨이퍼 Wt를 개별 반송 기구(170A)에 의해 처리실(140A)에 반입한다. 처리실(140A)에의 웨이퍼 Wt의 반입이 종료하면, 게이트밸브(144A)가 폐색된다. 그리고, 처리실(140A)에서는, 제 2 피드포워드 계산에 의해 산출된 처리 파라미터에 근거하여 웨이퍼 Wt에 대한 에 칭 처리를 실행한다.
그 후, 단계 S290에서 웨이퍼의 처리가 종료했는지 여부를 판단하여, 웨이퍼의 처리가 종료했다고 판단한 경우는 도 9c의 단계 S310 이후의 피드백 계산을 한다. 즉, 단계 S310에서 웨이퍼를 상기 처리실에서 취출하여 측정실(300)까지 반송하고, 측정실(300)에 반입한다. 구체적으로는 예컨대 도 12에 도시하는 바와 같이 처리실(140A)에서의 웨이퍼 Wt의 처리가 종료하여, 게이트밸브(144A)가 개방되면, 개별 반송 기구(170A)에 의해 웨이퍼 Wt를 로드록실(150A)로 반출한다.
이어서, 게이트밸브(144A)가 폐색하면, 반송실(200)로의 웨이퍼 Wt의 반출 동작을 행한다. 즉, 대기압 상태에 있는 반송실(200)과 진공압 상태에 있는 로드록실(150A) 내와의 압력차를 없애기 위해서, 로드록실(150A) 내의 대기압 복귀를 행한다. 게이트밸브(152A)가 개방하면, 공통 반송 기구(160)에 의해 웨이퍼 Wt를 로드록실(150A)에서 반송실(200)로 반출하고, 게이트밸브(152A)는 폐색한다. 그 후, 공통 반송 기구(160)에 의해 웨이퍼 Wt를 측정실(300)까지 반송하여, 측정실(300)의 탑재대 상에 반입한다.
이어서, 단계 S320에서 측정실(300)에 있어서 그 웨이퍼의 처리 후의 표면 프로파일(예컨대 목표 소자의 CD 값)을 측정하여 표면 프로파일의 데이터 테이블(482)에 기억한다.
다음에, 단계 S330에서 상기 처리실에 대한 피드백 계산을 한다. 예컨대 표면 프로파일의 데이터 테이블(482)로부터 처리 후의 표면 프로파일의 실측값을 판독하고, 그 실측값과 목표값과의 차로부터 조정값을 계산한다. 예컨대 상술한 바 와 같이 표면 프로파일로서 CD 값을 측정하는 경우에는, 우선 처리 후에 측정된 CD 값 Daft과 목표 CD 값 Dtag과의 차에 근거하여 에칭량의 조정값 ΔD를 구하고, 계산용 데이터의 데이터 테이블(486)에 있어서의 상기 처리실에 대한 조정값 ΔD를 재기록하여 갱신한다.
처리 후의 표면 프로파일의 측정이 종료하면, 단계 S340에서 그 웨이퍼를 카세트 용기에 되돌린다. 구체적으로는 예컨대 공통 반송 기구(160)에 의해 웨이퍼 Wt를 측정실(300)로부터 취출하여 반송하고, 카세트 용기(134A)에 되돌린다. 이렇게 해서 일련의 웨이퍼의 처리를 종료한다.
이러한 본 실시예에 따른 웨이퍼의 처리에서는, 각 처리실(140A, 140B)에 웨이퍼를 반송하기 전에 제1회째의 피드포워드 계산(제 1 계산)으로 각 처리실(140A, 140B)마다 처리 파라미터의 값을 산출하고, 허용 범위 내인지 여부를 각 처리실(140A, 140B)마다 판단함으로써, 각 처리실(140A, 140B)에 웨이퍼를 반송하기 전에 그 반송 처리를 실행할 것인지 여부의 가늠을 해 볼 수 있다. 그리고, 허용 범위 내라고 판정한 처리실에만 웨이퍼를 반송할 수 있기 때문에, 웨이퍼의 반송의 낭비를 방지할 수 있다.
또, 본 실시예에서는, 본 발명을 2개의 처리실(140A, 140B)을 구비하는 기판 처리 장치(100)에 적용한 경우에 대하여 설명했지만, 반드시 이것에 한정되는 것이 아니라, 하나의 처리실만을 구비하는 기판 처리 장치에 적용하더라도 좋다. 또한, 3개 이상의 처리실을 구비하는 기판 처리 장치(100)에 적용하더라도 좋다. 처리실이 많을수록 반송의 낭비를 방지하는 효과는 크다.
또한, 상기 실시예에 의해 상술한 본 발명에 대해서는, 복수의 기기로 구성되는 시스템에 적용하더라도, 하나의 기기로 이루어지는 장치에 적용하더라도 좋다. 상술한 실시예의 기능을 실현하는 소프트 웨이퍼의 프로그램을 기억한 기억 매체 등의 매체를 시스템 또는 장치에 공급하고, 그 시스템 또는 장치의 컴퓨터(또는 CPU나 MPU)가 기억 매체 등의 매체에 기억된 프로그램을 판독하여 실행함으로써도, 본 발명을 달성할 수 있다.
이 경우, 기억 매체 등의 매체로부터 판독된 프로그램 자체가 상술한 실시예의 기능을 실현하는 것이 되어, 그 프로그램을 기억한 기억 매체 등의 매체는 본 발명을 구성하는 것이 된다. 프로그램을 공급하기 위한 기억 매체 등의 매체로서는, 예컨대, 플로피(등록상표) 디스크, 하드디스크, 광디스크, 광자기디스크, CD-ROM, CD-R, CD-RW, DVD-ROM, DVD-RAM, DVD-RW, DVD+RW, 자기테이프, 비휘발성의 메모리 카드, ROM 등을 들 수 있다. 또한, 매체에 대하여 프로그램을, 네트워크를 거쳐서 다운로드하여 제공하는 것도 가능하다.
또, 컴퓨터가 판독한 프로그램을 실행함으로써, 상술한 실시예의 기능이 실현되는 것뿐만 아니라, 그 프로그램의 지시에 근거하여, 컴퓨터 상에서 가동하고 있는 OS 등이 실제의 처리의 일부 또는 전부를 행하고, 그 처리에 의해 상술한 실시예의 기능이 실현되는 경우도, 본 발명에 포함된다.
또한, 기억 매체 등의 매체로부터 판독된 프로그램이, 컴퓨터에 삽입된 기능 확장 보드나 컴퓨터에 접속된 기능 확장 유닛에 구비되는 메모리에 기입된 후, 그 프로그램의 지시에 근거하여, 그 기능 확장 보드나 기능 확장 유닛에 구비되는 CPU 등이 실제의 처리의 일부 또는 전부를 행하고, 그 처리에 의해 상술한 실시예의 기능이 실현되는 경우도, 본 발명에 포함된다.
이상, 첨부 도면을 참조하면서 본 발명의 바람직한 실시예에 대하여 설명했지만, 본 발명은 이러한 예에 한정되지 않는 것은 물론이다. 당업자이면, 특허청구의 범위에 기재된 범주 내에 있어서, 각종 변경예 또는 수정예에 생각이 미칠 수 있는 것은 분명하고, 그것들에 관해서도 당연히 본 발명의 기술적 범위에 속하는 것으로 양해된다.
예컨대, 상기 실시예에서는, 예컨대 처리 유닛을 처리실에 로드록실을 접속하여 구성하고, 반송 유닛에 복수의 처리 유닛을 병렬로 접속한 소위 탠덤형의 기판 처리 장치를 예로 들어 설명했지만, 이것에 한정되지 않고, 예컨대 처리 유닛을 공통 반송실의 주위에 복수의 처리실을 접속하여 구성한 소위 클러스터툴형의 기판 처리 장치에도 본 발명을 적용할 수 있다. 이 경우에 있어서도, 상기 실시예의 경우와 마찬가지로 반송 유닛에 측정실을 접속한다. 이와 같이, 측정실과 처리실을 구비하는 여러가지 타입의 기판 처리 장치에 본 발명을 적용 가능하다.
본 발명은 기판 처리 방법 및 프로그램을 기억하는 기억 매체에 적용 가능하다.
도 1은 본 발명의 실시예에 따른 기판 처리 장치의 구성예를 나타내는 단면도,
도 2는 도 1에 나타내는 제어부의 구성예를 나타내는 블럭도,
도 3은 도 2에 나타내는 표면 프로파일의 데이터 테이블의 예를 나타내는 도면,
도 4는 도 2에 나타내는 처리 파라미터의 데이터 테이블의 예를 나타내는 도면,
도 5는 도 2에 나타내는 계산용 데이터의 데이터 테이블의 예를 나타내는 도면,
도 6은 도 2에 나타내는 판정용 데이터의 데이터 테이블의 예를 나타내는 도면,
도 7은 본 실시예에 따른 웨이퍼의 표면에 형성될 목적 소자가 예를 나타내는 모식도,
도 8은 본 실시예에 따른 각 처리실의 에칭량과 시간(웨이퍼의 처리매수)와의 관계를 도시하는 도면,
도 9a는 본 실시예에 따른 웨이퍼 처리의 구체예를 나타내는 흐름도,
도 9b는 도 9a에 계속되는 웨이퍼 처리의 구체예를 나타내는 흐름도,
도 9c는 도 9b에 계속되는 웨이퍼 처리의 구체예를 나타내는 흐름도,
도 10은 본 실시예에 따른 웨이퍼 처리에 있어서의 웨이퍼의 흐름과 제1회째 의 피드포워드 계산의 타이밍을 설명하기 위한 도면,
도 11은 본 실시예에 따른 웨이퍼 처리에 있어서의 웨이퍼의 흐름과 제2회째의 피드포워드 계산의 타이밍을 설명하기 위한 도면,
도 12는 본 실시예에 따른 웨이퍼 처리에 있어서의 웨이퍼의 흐름과 피드백 계산의 타이밍을 설명하기 위한 도면.
부호의 설명
100 : 기판 처리 장치 110A, 110B : 진공 처리 유닛
120 : 반송 유닛 132A~132C : 카세트대
134A~134C : 카세트 용기 136A~136C : 게이트밸브
137 : 오리엔터 138 : 회전 탑재대
139 : 광학 센서 140A, 140B : 처리실
142A, 142B : 탑재대 144A, 144B : 게이트밸브
150A, 150B : 로드록실 152A, 152B : 게이트밸브
154A, 154B : 버퍼용 탑재대 156A, 156B : 버퍼용 탑재대
160 : 공통 반송 기구 162 : 기대
170A, 170B : 개별 반송 기구 172A, 172B : 픽
200 : 반송실 300 : 측정실
400 : 제어부 410 : CPU
420 : 메모리 430 : 표시 수단
440 : 입출력 수단 450 : 통지 수단
460 : 각종 제어기 470 : 프로그램 기억 수단
480 : 데이터 기억 수단 482 : 표면 프로파일의 데이터 테이블
484 : 처리 파라미터의 데이터 테이블
486 : 계산용 데이터의 데이터 테이블
488 : 판정용 데이터의 데이터 테이블
W : 웨이퍼

Claims (9)

  1. 피처리 기판에 대하여, 소정의 처리 파라미터에 근거하여, 처리를 실행하는 처리실과, 상기 피처리 기판의 처리 전후의 표면 프로파일을 측정하는 측정실을 구비하는 기판 처리 장치의 기판 처리 방법으로서,
    상기 측정실에서 상기 피처리 기판의 처리 전의 표면 프로파일을 측정하는 처리전 측정 공정과,
    상기 처리실을 향해 상기 피처리 기판의 반송을 개시하기 전에, 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 처리 파라미터의 값을 산출하는 제 1 계산 공정과,
    산출된 처리 파라미터의 값이 미리 설정된 허용 범위 내인지 여부를 판정하는 판정 공정과,
    상기 판정 공정에서 상기 허용 범위 내라고 판정한 경우에, 상기 처리실을 향해 상기 피처리 기판의 반송을 개시하고부터 상기 처리실로 상기 피처리 기판을 반입하기까지의 동안에, 상기 처리실에서 직전에 처리된 피처리 기판으로부터 얻어진 처리 후의 표면 프로파일의 측정값에 근거하는 조정값과 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 처리 파라미터의 값을 다시 산출하는 제 2 계산 공정과,
    상기 처리실에 상기 피처리 기판을 반입하여 상기 제 2 계산 공정에서 산출한 처리 파라미터의 값에 근거하여 처리를 실행하는 처리 공정
    을 갖는 것을 특징으로 하는 기판 처리 방법.
  2. 제 1 항에 있어서,
    상기 처리실에서의 처리가 종료한 상기 피처리 기판을 상기 측정실에 반입하고, 처리 후의 표면 프로파일을 측정하는 처리 후 측정 공정과,
    상기 처리 후의 표면 프로파일의 측정값으로부터 처리 파라미터의 값을 조정하기 위한 조정값을 산출하는 조정값 산출 공정
    을 더 갖는 것을 특징으로 하는 기판 처리 방법.
  3. 제 1 항에 있어서,
    상기 표면 프로파일은 상기 피처리 기판 상에 형성되는 목적 소자의 치수인 것을 특징으로 하는 기판 처리 방법.
  4. 제 1 항에 있어서,
    상기 처리 파라미터는 상기 피처리 기판의 처리 시간인 것을 특징으로 하는 기판 처리 방법.
  5. 피처리 기판에 대하여 소정의 처리 파라미터에 근거하여 처리를 실행하는 복수의 처리실과, 상기 피처리 기판의 처리 전후의 표면 프로파일을 측정하는 측정실을 구비하는 기판 처리 장치의 기판 처리 방법으로서,
    상기 측정실에서 상기 피처리 기판의 처리 전의 표면 프로파일을 측정하는 처리전 측정 공정과,
    상기 처리실 중 어느 하나를 향해 상기 피처리 기판의 반송을 개시하기 전에, 상기 각 처리실마다 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 상기 처리 파라미터의 값을 산출하는 제 1 계산 공정과,
    상기 각 처리실마다 산출된 처리 파라미터의 값이 각각 미리 설정된 허용 범위 내인지 여부를 판정하는 판정 공정과,
    상기 판정 공정에서 상기 허용 범위 내라고 판정한 처리실이 있는 경우에, 그들 처리실 중 어느 하나를 향해 상기 피처리 기판의 반송을 개시하고부터 그 처리실로 상기 피처리 기판을 반입하기까지의 동안에, 상기 처리실에서 처리된 직전의 피처리 기판으로부터 얻어진 처리 후의 표면 프로파일의 측정값에 근거하는 조정값과 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 처리 파라미터의 값을 다시 산출하는 제 2 계산 공정과,
    상기 처리실에 상기 피처리 기판을 반입하여 상기 제 2 계산 공정에서 산출한 처리 파라미터의 값에 근거하여 처리를 실행하는 처리 공정
    을 갖는 것을 특징으로 하는 기판 처리 방법.
  6. 피처리 기판에 대하여 소정의 처리 파라미터에 근거하여 처리를 실행하는 복수의 처리실과, 상기 피처리 기판의 처리 전후의 표면 프로파일을 측정하는 측정실을 구비하는 기판 처리 장치의 기판 처리 방법으로서,
    상기 측정실에서 상기 피처리 기판의 처리 전의 표면 프로파일을 측정하는 처리전 측정 공정과,
    상기 처리실 중 어느 하나를 향해 상기 피처리 기판의 반송을 개시하기 전에, 상기 각 처리실마다 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 상기 처리 파라미터의 값을 산출하는 제 1 계산 공정과,
    상기 각 처리실마다 산출된 처리 파라미터의 값이 각각 미리 설정된 허용 범위 내인지 여부를 판정하는 제 1 판정 공정과,
    상기 제 1 판정 공정에서 상기 허용 범위 내라고 판정한 처리실이 있는 경우에, 그들 처리실 중 어느 하나를 향해 상기 피처리 기판의 반송을 개시하고부터 상기 처리실로 상기 피처리 기판을 반입하기까지의 동안에, 상기 처리실에서 처리된 직전의 피처리 기판으로부터 얻어진 처리 후의 표면 프로파일의 측정값에 근거하는 조정값과 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 처리 파라미터의 값을 다시 산출하는 제 2 계산 공정과,
    상기 제 2 계산 공정에서 산출된 처리 파라미터의 값이 미리 설정된 허용 범위 내인지 여부를 판정하는 제 2 판정 공정과,
    상기 제 2 판정 공정에서 상기 허용 범위 내라고 판정한 경우는, 상기 처리실에 상기 피처리 기판을 반입하여 상기 제 2 계산 공정에서 산출된 처리 파라미터에 근거하여 처리를 행하고, 상기 제 2 판정 공정에서 상기 허용 범위를 넘는다고 판정한 경우는, 상기 제 1 판정 공정에서 상기 허용 범위 내에 있다고 판정한 다른 처리실에 반송하여 상기 제 2 계산 공정에 의해 처리 파라미터의 값을 다시 산출하 여 상기 피처리 기판의 처리를 실행하는 처리 공정
    을 갖는 것을 특징으로 하는 기판 처리 방법.
  7. 피처리 기판에 대하여 에칭 처리를 실행하는 복수의 처리실과, 상기 피처리 기판의 처리 전후의 목표 소자의 치수를 측정하는 측정실을 구비하는 기판 처리 장치의 기판 처리 방법으로서,
    상기 측정실에서 상기 피처리 기판의 처리 전의 목표 소자의 치수를 측정하는 처리전 측정 공정과,
    상기 처리실 중 어느 하나를 향해 상기 피처리 기판의 반송을 개시하기 전에, 상기 각 처리실마다 상기 처리 전의 목표 소자의 치수의 측정값으로부터 목표 치수를 달성하는 에칭 시간을 산출하는 제 1 계산 공정과,
    상기 각 처리실마다 산출된 에칭 시간이 각각 미리 설정된 허용 범위 내인지 여부를 판정하는 판정 공정과,
    상기 판정 공정에서 상기 허용 범위 내라고 판정한 처리실이 있는 경우에, 그들 처리실 중 어느 하나를 향해 상기 피처리 기판의 반송을 개시하고부터 상기 처리실로 상기 피처리 기판을 반입하기까지의 동안에, 상기 처리실에서 에칭 처리된 직전의 피처리 기판으로부터 얻어진 처리 후의 목표 소자의 치수의 측정값에 근거하는 조정값과 상기 처리 전의 목표 소자의 치수의 측정값으로부터 목표 치수를 달성하는 에칭 시간을 다시 산출하는 제 2 계산 공정과,
    상기 처리실에 상기 피처리 기판을 반입하여 상기 제 2 계산 공정에서 산출 한 에칭 시간에 의해 에칭 처리를 실행하는 처리 공정
    을 갖는 것을 특징으로 하는 기판 처리 방법.
  8. 피처리 기판에 대하여 소정의 처리 파라미터에 근거하여 처리를 실행하는 처리실과, 상기 피처리 기판의 처리 전후의 표면 프로파일을 측정하는 측정실을 구비하는 기판 처리 장치의 기판 처리를 실행하기 위한 프로그램을 기억하는 기억 매체로서,
    컴퓨터에,
    상기 측정실에서 상기 피처리 기판의 처리 전의 표면 프로파일을 측정하는 처리전 측정 단계와,
    상기 처리실을 향해 상기 피처리 기판의 반송을 개시하기 전에, 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 처리 파라미터의 값을 산출하는 제 1 계산 단계와,
    산출된 처리 파라미터의 값이 미리 설정된 허용 범위 내인지 여부를 판정하는 판정 단계와,
    상기 판정 단계에서 상기 허용 범위 내라고 판정한 경우에, 상기 처리실을 향해 상기 피처리 기판의 반송을 개시하고부터 상기 처리실로 상기 피처리 기판을 반입하기까지의 동안에, 상기 처리실에서 직전에 처리된 피처리 기판으로부터 얻어진 처리 후의 표면 프로파일의 측정값에 근거하는 조정값과 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 처리 파라미터의 값 을 다시 산출하는 제 2 계산 단계와,
    상기 처리실에 상기 피처리 기판을 반입하여 상기 제 2 계산 단계에서 산출한 처리 파라미터의 값에 근거하여 처리를 실행하는 처리 단계
    를 갖는 프로그램을 기억하는 컴퓨터 판독 가능한 기억 매체.
  9. 피처리 기판에 대하여 소정의 처리 파라미터에 근거하여 처리를 실행하는 복수의 처리실과, 상기 피처리 기판의 처리 전후의 표면 프로파일을 측정하는 측정실을 구비하는 기판 처리 장치의 기판 처리를 실행하는 프로그램을 기억하는 기억 매체로서,
    컴퓨터에,
    상기 측정실에서 상기 피처리 기판의 처리 전의 표면 프로파일을 측정하는 처리전 측정 단계와,
    상기 처리실 중 어느 하나를 향해 상기 피처리 기판의 반송을 개시하기 전에, 상기 각 처리실마다 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 상기 처리 파라미터의 값을 산출하는 제 1 계산 단계와,
    상기 각 처리실마다 산출된 처리 파라미터의 값이 각각 미리 설정된 허용 범위 내인지 여부를 판정하는 판정 단계와,
    상기 판정 단계에서 상기 허용 범위 내라고 판정한 처리실이 있는 경우에, 그들 처리실 중 어느 하나를 향해 상기 피처리 기판의 반송을 개시하고부터 상기 처리실로 상기 피처리 기판을 반입하기까지의 동안에, 상기 처리실에서 처리된 직 전의 피처리 기판으로부터 얻어진 처리 후의 표면 프로파일의 측정값에 근거하는 조정값과 상기 처리 전의 표면 프로파일의 측정값으로부터 목표의 표면 프로파일을 달성하는 처리 파라미터의 값을 다시 산출하는 제 2 계산 단계와,
    상기 처리실에 상기 피처리 기판을 반입하여 상기 제 2 계산 단계에서 산출한 처리 파라미터의 값에 근거하여 처리를 실행하는 처리 단계
    를 갖는 것을 특징으로 하는 프로그램을 기억하는 컴퓨터 판독 가능한 기억 매체.
KR1020070099910A 2006-10-05 2007-10-04 기판 처리 방법 및 프로그램을 기억하는 컴퓨터 판독가능한 기억 매체 KR100921835B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006273793A JP5213322B2 (ja) 2006-10-05 2006-10-05 基板処理方法及び基板処理装置並びにプログラムを記憶する記憶媒体
JPJP-P-2006-00273793 2006-10-05

Publications (2)

Publication Number Publication Date
KR20080031807A true KR20080031807A (ko) 2008-04-11
KR100921835B1 KR100921835B1 (ko) 2009-10-13

Family

ID=39306974

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070099910A KR100921835B1 (ko) 2006-10-05 2007-10-04 기판 처리 방법 및 프로그램을 기억하는 컴퓨터 판독가능한 기억 매체

Country Status (4)

Country Link
JP (1) JP5213322B2 (ko)
KR (1) KR100921835B1 (ko)
CN (1) CN100520647C (ko)
TW (1) TWI458007B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011082442A (ja) * 2009-10-09 2011-04-21 Hitachi High-Technologies Corp プラズマエッチング処理装置
US9435025B2 (en) 2013-09-25 2016-09-06 Applied Materials, Inc. Gas apparatus, systems, and methods for chamber ports
TWI732285B (zh) * 2015-01-23 2021-07-01 美商應用材料股份有限公司 半導體處理設備
CN106611724A (zh) * 2015-10-26 2017-05-03 北京北方微电子基地设备工艺研究中心有限责任公司 一种刻蚀方法和装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0950948A (ja) * 1995-08-08 1997-02-18 Kokusai Electric Co Ltd 半導体製造装置の障害対処システム
JPH10275753A (ja) * 1997-03-31 1998-10-13 Hitachi Ltd 半導体基板の製造方法
JP2000252179A (ja) 1999-03-04 2000-09-14 Hitachi Ltd 半導体製造プロセス安定化支援システム
JP3640609B2 (ja) * 2000-10-16 2005-04-20 アルプス電気株式会社 プラズマ処理装置,プラズマ処理システムおよびこれらの性能確認システム,検査方法
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
JP2003077782A (ja) * 2001-08-31 2003-03-14 Toshiba Corp 半導体装置の製造方法
KR20030026862A (ko) * 2001-09-25 2003-04-03 다이닛뽕스크린 세이조오 가부시키가이샤 기판 처리장치 제어 시스템 및 기판 처리장치
US6756243B2 (en) * 2001-10-30 2004-06-29 Advanced Micro Devices, Inc. Method and apparatus for cascade control using integrated metrology
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
US7158851B2 (en) * 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control

Also Published As

Publication number Publication date
KR100921835B1 (ko) 2009-10-13
CN101158852A (zh) 2008-04-09
CN100520647C (zh) 2009-07-29
JP5213322B2 (ja) 2013-06-19
JP2008091816A (ja) 2008-04-17
TWI458007B (zh) 2014-10-21
TW200834713A (en) 2008-08-16

Similar Documents

Publication Publication Date Title
US7376490B2 (en) Operational control device, operational control method, program and storage medium thereof, for a plurality of power consumption systems
US8242417B2 (en) Temperature control method of heat processing plate, computer storage medium, and temperature control apparatus of heat processing plate
KR101044188B1 (ko) 기판 반송 방법 및 기판 처리 장치
KR100921835B1 (ko) 기판 처리 방법 및 프로그램을 기억하는 컴퓨터 판독가능한 기억 매체
KR102565578B1 (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
KR100725933B1 (ko) 반도체 이송장비용 웨이퍼 자동위치보정장치 및 그 방법
JP7113952B2 (ja) 基板処理方法、および基板処理装置
KR20170108858A (ko) 압력 측정 장치 및 이것을 사용한 배기 시스템, 및 기판 처리 장치
US7774082B2 (en) Substrate processing method and storage medium having program stored therein
US6895295B1 (en) Method and apparatus for controlling a multi-chamber processing tool
CN100366386C (zh) 用于控制衬底的化学机械式抛光的方法及装置
KR100941481B1 (ko) 최종 연마 단계의 연마 시간 그리고/또는 초과 연마 시간을 계산함으로써 기판들의 화학 기계적인 연마를 제어하는 방법 및 시스템
KR20200023210A (ko) 기판 액 처리 장치, 기판 액 처리 방법 및 기억 매체
KR101469000B1 (ko) 글라스 박형화 장치 및 그 방법
JP2007214218A (ja) 真空処理装置
US6850322B2 (en) Method and apparatus for controlling wafer thickness uniformity in a multi-zone vertical furnace
JPH11220004A (ja) 基板処理装置
JP7304692B2 (ja) 基板処理方法および基板処理装置
JP2011003712A (ja) 基板処理方法及び記憶媒体
JP2005333075A (ja) 被処理体の処理方法及びその処理システム装置
US20190096710A1 (en) Substrate processing apparatus, substrate processing method and recording medium
TW201925941A (zh) 處理被處理體之方法
JP6415971B2 (ja) 基板処理装置、基板処理方法及び基板処理プログラムを記録した記録媒体
US20230411194A1 (en) Conveyance method and processing system
JP2019121647A (ja) 基板処理方法および基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120924

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130924

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141001

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150917

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160921

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170920

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180920

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190919

Year of fee payment: 11