KR20010040320A - 집적 회로를 위한 보호 회로 - Google Patents

집적 회로를 위한 보호 회로 Download PDF

Info

Publication number
KR20010040320A
KR20010040320A KR1020007007460A KR20007007460A KR20010040320A KR 20010040320 A KR20010040320 A KR 20010040320A KR 1020007007460 A KR1020007007460 A KR 1020007007460A KR 20007007460 A KR20007007460 A KR 20007007460A KR 20010040320 A KR20010040320 A KR 20010040320A
Authority
KR
South Korea
Prior art keywords
circuit
print
signal
conductors
detectors
Prior art date
Application number
KR1020007007460A
Other languages
English (en)
Other versions
KR100710936B1 (ko
Inventor
얀 오터스테트
미하엘 리히터
미하엘 스몰라
마르틴 아이젤레
Original Assignee
인피니언 테크놀로지스 아게
칼 하인쯔 호르닝어
지멘스 악티엔게젤샤프트
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인피니언 테크놀로지스 아게, 칼 하인쯔 호르닝어, 지멘스 악티엔게젤샤프트 filed Critical 인피니언 테크놀로지스 아게
Publication of KR20010040320A publication Critical patent/KR20010040320A/ko
Application granted granted Critical
Publication of KR100710936B1 publication Critical patent/KR100710936B1/ko

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06KGRAPHICAL DATA READING; PRESENTATION OF DATA; RECORD CARRIERS; HANDLING RECORD CARRIERS
    • G06K19/00Record carriers for use with machines and with at least a part designed to carry digital markings
    • G06K19/06Record carriers for use with machines and with at least a part designed to carry digital markings characterised by the kind of the digital marking, e.g. shape, nature, code
    • G06K19/067Record carriers with conductive marks, printed circuits or semiconductor circuit elements, e.g. credit or identity cards also with resonating or responding marks without active components
    • G06K19/07Record carriers with conductive marks, printed circuits or semiconductor circuit elements, e.g. credit or identity cards also with resonating or responding marks without active components with integrated circuit chips
    • G06K19/073Special arrangements for circuits, e.g. for protecting identification code in memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/71Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/71Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information
    • G06F21/74Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information operating in dual or compartmented mode, i.e. at least one secure mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/86Secure or tamper-resistant housings
    • G06F21/87Secure or tamper-resistant housings by means of encapsulation, e.g. for integrated circuits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06KGRAPHICAL DATA READING; PRESENTATION OF DATA; RECORD CARRIERS; HANDLING RECORD CARRIERS
    • G06K19/00Record carriers for use with machines and with at least a part designed to carry digital markings
    • G06K19/06Record carriers for use with machines and with at least a part designed to carry digital markings characterised by the kind of the digital marking, e.g. shape, nature, code
    • G06K19/067Record carriers with conductive marks, printed circuits or semiconductor circuit elements, e.g. credit or identity cards also with resonating or responding marks without active components
    • G06K19/07Record carriers with conductive marks, printed circuits or semiconductor circuit elements, e.g. credit or identity cards also with resonating or responding marks without active components with integrated circuit chips
    • G06K19/073Special arrangements for circuits, e.g. for protecting identification code in memory
    • G06K19/07309Means for preventing undesired reading or writing from or onto record carriers
    • G06K19/07372Means for preventing undesired reading or writing from or onto record carriers by detecting tampering with the circuit
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/57Protection from inspection, reverse engineering or tampering
    • H01L23/576Protection from inspection, reverse engineering or tampering using active circuits
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31719Security aspects, e.g. preventing unauthorised access during test
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2221/00Indexing scheme relating to security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F2221/21Indexing scheme relating to G06F21/00 and subgroups addressing additional information or applications relating to security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F2221/2105Dual mode as a secondary aspect
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2221/00Indexing scheme relating to security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F2221/21Indexing scheme relating to G06F21/00 and subgroups addressing additional information or applications relating to security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F2221/2143Clearing memory, e.g. to prevent the data from being stolen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Security & Cryptography (AREA)
  • General Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Software Systems (AREA)
  • Mathematical Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Storage Device Security (AREA)
  • Amplifiers (AREA)
  • Emergency Protection Circuit Devices (AREA)
  • Protection Of Static Devices (AREA)

Abstract

본 발명은 집적 회로를 위한 보호 회로에 관한 것이다. 상기 보호 회로는 바람직하게 다수의 회로 평면(2, 3)에서 집적 회로(1)의 상부 및/또는 하부에 배치되어, 하나 이상의 신호 발생기의 상이한 신호가 공급되는 다수의 프린트 도체(10, 11)를 갖는다. 상기 프린트 도체(10, 11)를 통과한 후에 하나 이상의 검출기에 의해 분석된다. 상기 검출기에 의해 수신된 신호는 기준 신호라고도 하는 설정 신호와 비교되고, 두드러진 차이가 나타날 때는 경보 신호가 집적 회로에 전송된다. 상기 경보 신호를 기본으로 하여, 집적 회로(1)는 그것의 분석 또는 조작을 실제로 불가능하게 하는 안전 모드로 전환된다.

Description

집적 회로를 위한 보호 회로 {PROTECTION CIRCUIT FOR AN INTEGRATED CIRCUIT}
전기 회로, 특히 칩 카드에서 사용되는 집적 회로의 특정 형태는 회로 정보 또는 칩 내부의 데이터에 대한 고도의 프라이버시를 필요로 한다. 이러한 안전에 관련된 정보들은 외부 분석 및 조종을 받지 않도록 보호되어야만 한다.
이러한 보호를 달성하기 위해, 상이한 방법들이 제기되었다. 예컨대 집적 스위칭 회로는 예컨대 은 또는 티탄으로 이루어진 금속 코팅을 갖춤으로써, 상기 집적 스위칭 회로의 판독은 뢴트겐 광선에 의해 방해될 수 있다. 또한 집적 회로의 가장 상부에 놓인 회로 평면에 프린트 도체가 보호 실드 라인으로서 배치되고, 예컨대 저항, 커패시터와 같은 상기 프린트 도체의 물리적 특성이 모니터링된다는 것이 입증된다. 예기치 않은 관찰 또는 조작시 단락, 어어드 또는 절단에 의한 변화가 측정될 때 경보 신호가 발생된다. 상기 방식의 보호 회로는 US-특허 5,389,738 에 공지되어 있다. 그러나, 상기 보호 회로의 이러한 방식은 불충분한 것으로 증명된다. 왜냐하면, 예상된 물리적인 특성은 적합한 외부 처리에 의해 시뮬레이트될 수 있고, 이를 통해 상기 보호 회로는 관찰 또는 조작에 의한 어떠한 외부 부식도 검출할 수 없으며, 따라서 어떠한 적합한 역 처리도 이루어질 수 없기 때문이다.
도 1은 각각의 프린트 도체에 대한 신호 발생기 및 신호 검출기를 갖는 본 발명에 따른 보호 회로의 회로 구조이고,
도 2는 추가 보호 회로의 회로 구조이며,
도 3은 보호 회로를 갖는 집적 회로의 단면도이고,
도 4는 디멀티플렉서/멀티플렉서 구조를 갖는 본 발명에 따른 보호 회로의 회로 구조를 나타낸다.
본 발명의 목적은 의도치 않은 관찰 또는 조작을 막기 위해 보호를 제공하는 집적 회로를 위한 보호 회로를 제공하는데 있다.
상기 목적은 청구항 제 1항에 나타난 특징을 갖는 집적 회로를 위한 보호 회로에 의해 달성된다. 본 발명의 바람직한 개선예는 종속항의 대상이다.
본 발명에 따른 보호 회로는 적어도 하나의 보호 평면에서 집적 회로의 상부 또는 하부에 배치된다. 여기서, 상기 보호 회로는 하나 이상의 프린트 도체를 가지며, 상기 프린트 도체에는 시간에 따라 변하는 상이한 신호들이 공급된다. 상기 신호들은 프린트 도체에 의해 전달되어, 하나 또는 다수의 검출기에 의해 분석된다. 상기 검출기에 의해 수신된 각각의 신호는 예상된 신호인 기준 신호와 비교된다. 하나 이상의 검출기가 두드러진 차이를 검출하게 될 경우, 상기 검출기에는 집적 회로를 안전 모드로 전환시키는 경보 신호가 발생한다. 이러한 모드에서 예컨대 메모리 셀의 내용이 소거됨으로써, 제어 프로그램 및 저장된 데이터는 더이상 판독되거나 해석될 수 없다.
다수의 프린트 도체에 의해 전도되어, 상이한 검출기에 의해 분석된 다수의 상이한 신호들을 사용함으로써, 모든 경보 발생 신호들이 판독 또는 조작이 시험되는 동안 정확한 방식으로 외부로부터 저장되거나, 상기 신호들의 존재 여부를 상기 검출기에 속이는 것은 거의 불가능하다. 예컨대 집적 스위칭 회로가 기계에 의해 상부로부터 평면으로 전달됨으로써, 집적 스위칭 회로의 아래에 놓인 회로 평면을 보는 것이 가능해질 경우, 우선 그 아래 놓여있는 보호 회로의 프린트 도체는 하나 이상의 검출기에 의해 검출된 신호 전송이 어떻게 변경되거나 중단되는지에 관계된다. 또한 소형 니들에 의해 개별 프린트 도체들이 측정될 경우도 이에 적용되며, 이를 통해 신호 형태, 신호 발진 제어 또는 그와 유사한 것들의 변화가 나타날 수 있다. 이러한 모든 변화들은 상이한 검출기의 오류 검출에 균일하게 영향을 끼친다.
따라서, 본 발명에 따라 단지 개별 신호들 뿐만 아니라, 다수의 상이한 신호들도 시뮬레이트되어야만 한다. 바로 집적 회로의 매우 제한된 공간적 조건을 고려해 볼 때, 다수의 시뮬레이트된 신호들을 특별히 상이한 검출기에 공급하는 것은 거의 불가능하다. 따라서, 상기 집적 회로를 거의 둘러싸는 보호는 그 위에 배치된 보호 회로에 의해 제공된다.
바람직하게는, 상기 집적 회로는 보호 회로에 의해 상부에 , 그리고 집적 회로의 하부에 보호 회로가 샌드위치 방식으로 둘러싸임으로써, 관찰 또는 조작이 보호 회로에 의해 상부 및 하부로부터 차단된다.
검출기는 전송 신호들의 평가시 보존성을 분석하도록 형성되며, 이는 특히 CRC 검사, 수평 체크섬 비교, 짝수 홀수 검사 또는 다른 전지 번호 비교에 의해 이루어질 수 있다는 것이 입증된다. 전송 신호와 예상된 신호, 다시 말해 기준 신호의 보존성 값과의 보존성 비교에 의해, 보호 회로의 조작이 억제될 수 있으며, 이때 검출기는 유사 단락되고, 하나의 동일한 신호는 기준 신호 및 전송 신호로서 오류를 검출하기 위한 순수 동일성 비교를 갖는 검출기에서 제공된다.
상이한 프린트 도체에 공급된 상이한 신호들은 공동의 신호 발생기 또는 다수의 개별 신호 발생기에 의해 구현될 수 있다. 바람직하게는 하나 또는 다수의 발생기는 검출기에 접속되며, 각각의 검출기는 거기에 배치된 발생기에 의해 예상되는 신호, 즉 기준 신호의 형태 및 방식에 대한 정보들을 포함한다. 따라서, 상기 발생기는 다이나믹하게 그것의 전송 신호들을 변화시키고, 이러한 변화는 검출기에 전달되어, 추가 부식시 신호들의 시뮬레이션을 어렵게 한다. 왜냐하면, 신호들의 시간적 진행이 고려되기 때문이다.
특히 바람직하게는, 프린트 도체가 다수의 회로 평면위로 연장됨으로써, 다수의 회로 평면을 통해 보호 회로의 구조를 보거나 상이한 신호들의 발생, 신호 전달 및 검출 방식을 보는 것이 어려워져서, 외부로부터의 시뮬레이션이 나타날 수 없을 정도로 보호될 집적 회로가 잘 커버될 수 있다는 것이 증명된다. 결과적으로, 보호 회로의 모든 변화에 의해 외부로부터의 결합을 통해 오류가 검출된다. 왜냐하면, 프린트 도체 형성의 매우 어려운 3차원 구조에 의해, 또는 그것의 가이드에 의해 시뮬레이션은 거의 매우 어렵게 제거되기 때문이다. 따라서, 분명히 보호 회로의 회로 평면은 상기 보호 회로의 다른 회로 평면이 분석되는 것을 보호한다. 그러므로, 집적 회로를 위한 매우 안전한 보호 회로가 제공된다.
상기 보호 회로의 바람직한 실시예에 따르면, 상기 보호 회로의 프린트 도체는 보호될 집적 회로를 완전히 평면으로 커버하도록 형성되며, 상기 보호 회로를 통해 집적 스위칭 회로를 들여다 볼 때, 예컨대 보어와 같은 것을 통해, 보호 회로의 프린트 도체를 손상시키지 않으면서 보호 회로에 도달하는 것은 불가능하다. 이러한 완전한 커버링은 다수의 회로 평면위에 또는 다수의 회로 평면내에 프린트 도체가 형성됨으로써 매우 간단하고 안전한 방식으로 가능해진다. 왜냐하면, 한 평면에 있는 프린트 도체는 충분한 간격을 두고 서로 배치될 수 있고, 이를 통해 더빙이 억제되고 프린트 도체들간의 영역이 보호 회로의 다른 회로 평면에서 프린트 도체에 의해 직접 커버될 수 있음으로써, 집적 스위칭 회로 또는 상기 집적 회로의 많은 부분이 완전히 커버될 수 있기 때문이다.
예컨대 보어에 의해 집적 회로에 도달하는 것이 시도될 경우, 프린트 도체 중 하나가 손상될 수 있으며, 이에 따라 신호 변화가 나타날 수 있다. 상기 프린트 도체가 상기 보어의 크기에 상응하거나 더 작은 매우 작은 프린트 도체 폭으로 형성될 경우, 모든 보어는 프린트 도체를 차단시키고 매우 안전하게 오류 신호가 검출될 수 있다. 또한 상기 보어가 상이한 프린트 도체들 사이를 단락시킬 수 있고, 상기 단락 접속은 전체적인 신호 파괴로서 상응하는 검출기를 통해 검출된 오류 신호보다 더 안전하게 검출된다. 여기서, 상기 프린트 도체 폭은 특정하게 사용된 칩 공학에서 최소의 프린트 도체 폭에 상응하도록 선택된다. 상기 프린트 도체가 한편으로는 매우 좁은 프린트 도체로서, 다른 한편으로는 상이한 회로 평면위로 연장되어, 가능한한 평면을 커버하는 프린트 도체로서 형성됨으로써, 보호 회로와의 기계적 결합에 대한 보호 작용이 충분히 이루어진다. 이러한 결합은 보어 또는 드레스를 통해 제공될 수 있다.
본 발명의 바람직한 실시예에 따르면, 회로 영역내에 있는 보호 회로의 하나 이상의 검출기는 상기 보호 회로의 프린트 도체를 갖는 가장 상부에 위치한 회로 평면의 하부에 배치되고 상기 프린트 도체를 통해 예기치 않은 접근을 받지 않도록 보호된다. 이러한 체계적 구조에 의해, 보호 회로의 검출기를 위한 보호 회로의 프린트 도체를 통해, 그리고 집적 회로를 위한 검출기를 갖는 프린트 도체를 통해 직렬 보호가 제공된다.
이러한 배치에 의해, 하나 이상의 검출기의 관찰 또는 조작이 위에 놓인 라인을 통한 보호에 의해 억제되며, 이에 따라 프린트 도체를 통하지 않고 검출기 내에 직접 저장될 수 있는 또다른 접근 가능성이 제거된다.
이에 상응하는 방식으로, 보호 회로의 상부에 놓인 프린트 도체를 통해 보호되는 하나 이상의 발생기가 회로 평면내에 배치되는 것이 바람직한 것으로 증명된다. 따라서, 상기와 같이 배치된 보호 회로의 검출기 또는 발생기는 상기 보호 회로의 보호 작용을 예기치 않은 접근 앞에서 증대시키는 수단으로서 증명된다.
상이한 신호들이 개별 발생기에 의해 서로 완전히 독립적으로 발생될 경우, 이러한 신호들이 신호 진행시 구별된다는 것이 보장된다. 왜냐하면, 상기 신호들은 체계적으로 서로를 종속시키지 않음으로써, 전체적으로 매우 비싼 비용을 가지고 매우 어렵게 시뮬레이트될 수 있기 때문이다. 또한 다수의 상이한 신호들이 적절한 프린트 도체들 또는 적절한 검출기들내에 결합되어야만 하기 때문이며, 이는 집적 스위칭 회로의 극도로 제한된 공간적 치수에 있어서는 거의 불가능한 일이다. 따라서, 상기 방식의 보호 회로는 집적 스위칭 회로의 보호내에서 특히 효과적인 것으로 증명된다.
본 발명의 변형예에서 상기 프린트 도체에는 다수의 검출기가 배치되며, 상기 검출기는 개별 검출기에 대한 개별 위치에 있는 프린트 도체에서 신호를 분기하여 모니터링한다. 따라서, 이러한 방식의 실시예에서 프린트 도체는 다수의 프린트 도체 조각으로 분할되며, 상기 프린트 도체 조각들은 거기에 배치된 검출기에 의해 모니터링된다. 따라서, 상기 프린트 도체 조각들은 모니터링된 프린트 도체의 기능을 갖는다. 그러나, 여러번의 모니터링에 의해 상이한 프린트 도체 조각들을 갖는 전체 프린트 도체가 보장된다. 이에 따라, 적합한 결합 예방 조치를 통한 상기 프린트 도체와의 결합이 하나의 검출기에 의해 검출되지 않을 경우에, 전체 프린트 도체에서 다른 검출기 또는 다른 검출기의 부분은 모니터링된 신호의 변화를 검출하고 경보를 발생시킬 것이다. 따라서, 프린트 도체상에 상기 검출기를 여분으로 배치함으로써 보호 회로의 증가된 보호 작용이 이루어진다.
통상적으로, 단지 수에 의한 재구성의 형태로 부식을 어렵게 하는 가능한한 많은 신호 라인과 가능한한 많은 신호 발생기 또는 검출기를 제공하려고 추구된다. 그러나, 다수의 개별 신호들은 높은 하드웨어 비용을 의미하기 때문에, 집적 스위칭 회로의 크기에 따라 부식에는 한계가 설정되며, 이에 따라 안전 처리에 의해 회로의 값이 매우 비싸진다.
따라서, 본 발명의 또다른 변형예에서 보호 신호 발생의 위에 기술된 방식은 멀티플렉서 및 디멀티플렉서와 결합된다. 이를 통해, 시간적인 다중 방식에 의해 보호 실드의 상이한 프린트 도체가 상이한 시간에 발생기 출력부 및 검출기 입력부에 접속된다. 이러한 방식으로, 다수의 발생기 및 검출기는 실드 세그먼트의 수 보다 더 작다.
이러한 배치의 장점은, 검출기에 관련 발생기의 기준 신호를 공급하는 기준 라인의 수가 감소된다는데 있으며, 이에 따라 칩 표면이 크게 줄어든다.
멀티플렉서 및 디멀티플렉서는 중심에서 동시에 제어되거나, 또는 그것의 상태는 공통의 클럭 시스템의 지나간 클럭 주기의 수에 달려있다. 특히 바람직하게는 멀티플렉서 채널의 무작위적 또는 의사 무작위적 제어이다. 실제의 무작위적 제어는 개별 제어 신호들을 통한 멀티플렉서 및 디멀티플렉서의 연속 동기화를 필요로 한다. 의사 무작위적 제어에 의해, 공간상 가까운 멀티플렉서 및 디멀티플렉서에서 동일한 제어 신호가 국부적으로 생성된다.
보호 회로의 특히 바람직한 실시예에 따르면, 다수의 검출기에서 상기 보호 회로는 서로 교차하면서 형성된다. 이를 통해, 하나의 검출기가 오류 및 집적 스위칭 회로의 허용되지 않는 부식을 검출하자마자, 상기 집적 스위칭 회로가 외부의 안전 모드로 전환되도록 제어된다. 이러한 교차 결합에 의해, 개별 검출기들은 다른 검출기의 기능성, 또는 다른 검출기의 존재 여부를 승인 기능 또는 감시 기능의 범주에서 검사하고, 이를 통해 보호 회로 및 집적 칩과의 허용되지 않는 결합이 검출되어, 이에 상응하는 집적 스위칭 회로의 안전 모드를 발생시킨다.
검출기와 더불어 발생기 또한 교차 결합한다는 것이 바람직하게 증명되며, 고장 또는 발생기와의 결합이 검출될 수 있다. 또한 이에 따라 상기 발생기와 검출기와의 교차 결합이 가능해지며, 상기 발생기는 예컨대 시간적 진행, 신호 레벨, 신호 형태와 같은 것을 통해, 상기 발생기로부터 송신된 신호에 대한 정보들을 상기 발생기에 배치된 검출기로 전달시킨다. 이를 통해, 상이한 신호들의 가변성 및 보호 회로의 자유도가 증가되며, 이에 따라 결합이 어려워지고, 집적 스위칭 회로에서의 예기치 않은 부식을 막는 보호 회로의 보호 작용이 증가된다.
따라서, 본 발명에 따른 보호 회로는, 상기 보호 회로의 부품을 더이상 국부적으로 집중시켜서 배치하는 것이 아니라, 분산시켜서, 더 큰 공간적 범위에 의해 분할시키며, 복제하여 개별화시키도록 형성된다는 근본적인 사고를 보여준다. 이에 따라, 프린트 도체를 통한 생성 및 수송, 그리고 신호들의 모니터링은 여분의 다수의 장치에서 분할됨으로써, 보호 회로 또는 보호될 집적 스위칭 회로의 예기치 않은 관찰 또는 수송에 대항하여 더욱 큰 안전이 이루어진다.
집적 회로를 위한 본 발명에 따른 보호 회로 및 그 장점은 하기의 실시예에서 도면에 의해 더 자세히 설명된다.
도 1에는 집적 회로를 위한 보호 회로의 구조를 개략적으로 도시한 것이다. 여기서, 서로 분리되어 평행하게 뻗은 세 개의 프린트 도체(10, 11, 12)가 나타난다. 상기 프린트 도체(10, 11, 12)는 곡류 형태로 뻗으며 집적 회로의 회로 평면내의 특정 영역을 커버한다.
상기 프린트 도체(10, 11, 12)는 각각의 신호 발생기(20, 21, 22)에 접속된다. 상기 신호 발생기(20, 21, 22)를 통해 서로 독립적이고 기본적으로 상이한 신호들이 프린트 도체(10, 11, 12) 내에 공급된다. 공급된 신호들은 상기 프린트 도체(10, 11, 12)를 순환하고 상기 프린트 도체(10, 11, 12)의 단부에서 각각의 프린트 도체에 배치된 검출기(30, 31, 32)에 의해 분석된다.
분석의 범주에서 상기 프린트 도체(10, 11, 12)에 의해 수신된 상이한 신호들은 발생기(20, 21, 22)와 상기 발생기에 배치된 검출기(30, 31, 32) 사이의 접속 라인(13, 14, 15)에 의해 전달된 기준 신호와 비교된다. 상기 기준 신호는 신호들이 프린트 도체(10, 11, 12)들이 순환함에 따라 나타나는 것과 같은 신호들을 직접 나타내거나 또는 상기 신호들로부터 기준 신호에 대한 필요한 정보들을 검출하기 위해 필수적인 정보들을 제공한다.
상기 기준 신호들이 프린트 도체(30, 31, 32)에 의해 얻어진 신호들과 비교됨으로써, 상기 검출기(10, 11, 12)에서 평가가 이루어진다. 차이점이 검출될 때, 경보 신호가 집적 신호를 위한 제어 신호로서 발생되며, 각각의 검출기(30, 31, 32) 위에 배치된 경보 라인(4)이 집적 회로로 유도된다.
상기 경보 신호에 의해 집적 회로가 안전 모드로 지칭되는 상태로 전환된다. 이러한 안전 모드에서는 예컨대 메모리 셀의 내용은 더이상 판독될 수 없다. 왜냐하면, 상기 메모리 셀의 내용은 안전 모드로 전송된 직후에 완전히 소거되어, 그 속에 포함되어 있는 정보들은 회복할 수 없도록 제거된다. 따라서, 프로그램 및 데이터 메모리에 포함되어 있는 집적 회로의 중요한 정보들, 예컨대 코드 키 또는 핀 넘버 또는 사용자의 개인적 정보들을 더이상 판독하거나 조작할 수 없게 된다.
상기 프린트 도체(10, 11, 12), 신호 발생기(20, 21, 22) 및 검출기가 다중으로 분산되어 형성됨으로써, 예컨대 드레스 프로세스 또는 보어 프로세스를 기본으로 하여 보호될 집적 회로에 대한 정보들을 얻기 위해, 외부 신호들의 공급에 의해서만 아주 어렵게 보호 회로를 속일 수 있다.
단지 신호를 시뮬레이트할 뿐 아니라, 동시에 다수의 상이한 신호들을 공간적으로 매우 제한된 영역에 배치된 상이한 검출기의 상이한 장소에서 시뮬레이트함으로써, 보호될 집적 회로에 의해 보호 회로에서 나타나는 신호 변화 및 오류 및 부식을 검출하지 않고서 집적 회로의 부식을 이끌어내는 것은 거의 불가능하다. 상기 검출기(30)가 거기로 공급된 프린트 도체(10)의 신호의 오류를 검출해야 될 경우, 상기 검출기(30)는 다른 검출기(31, 32)와 독립적으로 집적 회로상에서 경보 라인(4)을 통해 경보 신호를 중단시킴으로써, 안전 모드를 발생시킨다.
상기 프린트 도체(10, 11, 12)가 곡류 형태로 평행하게 형성됨으로써, 평면을 커버하는 폐쇄된 프린트 도체 구조물이 제공되며, 상기 구조물이 상부에 놓인 집적 회로 또는 상기 집적 회로의 적어도 하나의 영역을 프린트 도체(10, 11, 12)를 통한 접근을 막으면서 보호한다. 만약 기계적 수단으로 상기 프린트 도체(10, 11, 12)의 아래에 놓인 집적 회로에 도달하려고 한다면, 상기 프린트 도체(10, 11, 12) 중 하나가 손상되거나, 심지어는 상기 프린트 도체를 통해 전달된 신호가 두드러지게 변화될 정도로 완전히 중단될 수 밖에 없다. 이러한 두드러진 변화는 상기 프린트 도체에 배치된 검출기(30, 31, 32)를 통해 오류로서 식별되고 상응하는 경보 신호가 송신된다.
상기 프린트 도체(10, 11, 12)는 보호 회로의 회로 평면(2, 3)을 극복하기 위한 보어에 의해 프린트 회로가 중단될 정도로 좁은 프린트 회로 폭으로 형성된다. 이를 위해, 개별 프린트 도체(10, 11, 12)들의 간격을 매우 작게 선택하고 상기 프린트 도체들을 하나 이상의 회로 평면에 좁은 곡류 형태로 배치하는 것이 필수적이다. 따라서, 신호가 이러한 중단된 프린트 도체(10, 11, 12)에서 완전히 중단되어 부식으로서 해석됨으로써, 관찰 또는 조종을 억제시킴으로써 매우 확실한 중단이 제공된다.
상기 발생기(20, 21, 22)를 통해 발생된 신호들은 대개는 디지털 신호들이지만, 상기 프린트 도체(10, 11, 12)를 통해 신호 변경으로의 전송 도중에 변화가 나타날 수 있는 신호 아날로그 신호이기도 하다.
도 2에는 추가 보호 회로의 형성이 개략적으로 도시된다. 여기서는, 개별 관련 프린트 회로 구조물이 나타나며, 상기 구조물은 하나의 신호 발생기(20)를 통해 형성된 신호를 위한 공급 지점을 나타낸다.
상기 프린트 도체 구조물에는, 프린트 도체 구조물을 통해 전송된 신호의 분리를 위한 4개의 위치가 제공된다. 각각의 분리 지점은 분리된 신호를 증폭시키기 위한 증폭기(43, 44, 45, 46)를 갖는다. 이러한 증폭된 신호는 검출기(33, 34, 35, 36)로 공급된다. 상기 프린트 도체 구조물은 개별 측정 지점에 따라 공급 지점(9)과 검출기(33)를 위한 증폭기(43)의 측정 지점 사이에 있는 프린트 도체 구조물인 프린트 도체(10a), 공급 지점(9)과 검출기(34)를 위한 증폭기(44)에 의해 규정된 측정 지점의 사이에 있는 프린트 도체(10b), 공급 지점(9)과 검출기(35)를 위한 증폭기(45)를 위한 측정 지점의 사이에 있는 프린트 도체(10c), 그리고 공급 지점(9)과 검출기(36)에 대한 증폭기(46)를 위한 측정 지점의 사이에 있는 프린트 도체(10d)를 형성한다.
각각의 검출기는 다른 검출기와는 독립적으로 작동하고, 상기 검출기의 경보 라인(4)을 통해 집적 회로가 안전 모드로 전환되도록 제어될 수 있다.
발생기(20)는 접속 라인(16, 17, 18, 19)을 통해 검출기(33, 34, 35, 36)에 접속되고 상기 검출기로 프린트 도체(10a, 10b, 10c, 10d)를 모니터링하기 위한 기준 신호에 대한 특수한 정보들을 전달한다. 상기 발생기(20)는 소프트웨어로 제어되어 공급된 신호의 방식을 무작위로 선택하고 상응하는 접속 라인(16, 17, 18, 19)을 통해 검출기로 공급된 신호의 형태를 신호화한다. 상기 검출기(33, 34, 35)는 상기 발생기(20)로부터 접속 라인(16, 17, 18, 19)을 통해 상기 검출기에 공급된 신호를 평가하며, 상기 검출기(33, 34, 35)는 상기 신호를 측정 지점으로부터 공급된 프린트 도체(10a, 10b, 10c, 10d)의 신호와 비교한다. 두드러진 차이, 즉 오류가 검출될 수 있을 경우, 각각의 검출기(33, 34, 35, 36)는 다른 검출기와는 독립적으로 경보 라인(4)을 통해 경보를 발생시킴으로써, 집적 회로를 안전 모드로 전환시킨다.
상기 프린트 도체(10a, 10b, 10c, 10d)가 중복되어 형성됨으로써, 프린트 도체 시스템의 프린트 도체와의 결합에 의해 단지 프린트 도체(10a, 10b, 10c, 10d)가 변경될 뿐만 아니라, 다수의 프린트 도체(10a, 10b, 10c, 10d)의 신호도 변경된다. 따라서, 예기치 않은 관찰 또는 조작의 경우 단지 검출기의 신호뿐만 아니라, 이러한 프린트 도체 시스템의 모든 검출기(33, 34, 35, 36)의 다수의 신호에 하나의 시뮬레이트된 정확한 신호가 제공된다. 여기서, 도시된 발생기(20)는 소프트웨어로 제어되어 공급 지점(9)에 공급된 신호를 다이내믹하게 변경시키고, 이를 통해 보호 회로, 그리고 상기 보호 회로에 의해 보호된 집적 스위칭 회로(1)의 관찰 또는 조작이 제거될 수 있다.
도 3 에는 위에 보호 회로를 갖는 집적 회로(1)의 층별 구조를 도시된다. 도 3 에서 상응하는 보호 회로를 도시할 때 집적 회로의 하부면은 도시할 필요가 없다. 상기 집적 회로(1)의 다른 면에 있는 제 2 보호 회로는 여기에 도시된 보호 회로와 같은 구조를 나타낸다.
상기 보호 회로는 집적 회로(1)의 상부에 배치된다. 상기 집적 회로(1)는 층층이 겹쳐진 두 개의 회로 평면(2, 3)을 보여주며, 상기 회로 평면(2, 3)은 절연층(5)에 의해 서로 보호될 집적 회로(1)로부터 분리된다. 이러한 절연층에 의해 프린트 도체(10, 11)와 집적 회로(1) 간의 전기에 의해 단락 접속이 이루어진다.
제 1 회로 평면(2)에는 프린트 도체(10)가 스트립형으로 형성되고 스트립형 절연 영역(6)에 의해 서로 분리된다. 상기 프린트 도체(10)는 제 1 회로 평면(2)내에 서로 평행하게 배치된다. 상기 회로 평면(2)의 상부에 제 2 회로 평면(3)이 배치되며, 상기 제 2 회로 평면(3)은 서로 평행하게 배치된 스트립형 프린트 도체(11)를 보여준다. 또한 상기 프린트 도체(11)는 절연 영역(6)에 의해 서로 분리되고 서로에 대해 절연된다. 상기 프린트 도체(10)는 프린트 도체(11)와 상호 작용하면서 보호될 집적 회로를 완전히 커버하도록 배치된다. 이러한 완전한 커버링은 보호될 집적 회로의 각 지점 또는 집적 회로(1)의 보호될 각 지점의 제 1 및 제 2 회로 평면(2)을 들여다 볼 때, 프린트 도체(10) 또는 프린트 도체(11)에 의해서이든지, 또는 프린트 도체(10) 및 프린트 도체(11)에 의해 커버될 경우 이루어진다.
상기 보호될 집적 회로(1)에 접근하려고 할 경우, 그 사람은 보호 회로를 침투하여, 회로 평면(2, 3)을 관통하고 완전한 커버링에 의해 프린트 도체(10, 11) 중 적어도 하나를 손상시킬 수 밖에 없다. 예컨대 프린트 도체의 완전한 중단을 의미하는 상기와 같은 손상은 회로 평면(2, 3) 내에 있는 프린트 도체 사이의 또는 회로 평면(2, 3) 사이의 단락을 구성하거나, 또는 단지 프린트 도체(10, 11)의 부분적인 파괴로 구성되며, 이에 따라 전송될 신호는 명백하게 변화되는데, 상기 신호는 예상된 기준 신호와 비교해 볼 때 배치된 검출기에 의해 오류 신호로서, 또한 보호 회로 또는 보호될 집적 스위칭 회로(1)에서는 부식으로서 검출된다. 이에 따라, 집적 회로에 제공되는 경보 신호가 차단된다. 이러한 경보 신호에 의해, 상기 집적 회로(1)는 안전 모드로 전환된다.
제 1 회로 평면(2)에는 프린트 도체(11)를 갖는 위에 놓여있는 회로 평면(3)에 의해 보호되어, 여기에 도시되지 않은 발생기(20, 21, 22) 또는 이에 상응하는 검출기(30 내지 36)가 배치된다. 특히 이러한 구조는 제 1 회로 평면(2) 위에 분할되어 이루어지고, 이에 따라 보호 회로를 극복할 가능성은 분명히 제한된다.
도 4 에는 8 개의 프린트 도체(40 ... 47)를 갖는 본 발명의 개선예에 따른 실시예가 나타난다. 이러한 8개의 프린트 도체(40 ... 47)는 각각 네 개의 프린트 도체(40 ... 43 또는 44 ... 47)에 대해 두 그룹으로 분할된다. 각각의 그룹에는 단지 신호 발생기(60 또는 62) 및 신호 검출기(61 또는 63)가 배치된다. 상기 신호 발생기(60, 62)의 신호들은 디멀티플렉서(50 또는 52)를 통해 프린트 도체 그룹(40 ... 43)에 공급되고, 프린트 도체를 통해 전달되는 신호들은 멀티플렉서(51 또는 53)를 통해 신호 검출기(61 또는 63)로 공급된다.
신호 검출기(61, 63)에 필요한 기준 신호를 공급할 수 있게 하기 위해, 각 프린트 도체 그룹에 대한 본 발명의 형성에 있어서 접속 라인(48 또는 49)이 필수적이다. 여기서, 신호 검출기(61, 63)는 경보 라인(4)을 통해, 멀티플렉서(51, 53)를 통해 수신된 신호가 예기치 않은 신호와 일치하지 않을 경우를 알린다.
두 개의 프린트 도체 그룹(40 ... 43 또는 44 ... 47)을 갖는 실시예에서, 디멀티플렉서(50, 52) 및 멀티플렉서(51, 53)를 제어하는 두 개의 상이한 가능성을 보여준다. 도 2의 상부에 도시된 프린트 도체 그룹(40 ... 43)에서 디멀티플렉서(50) 및 멀티플렉서는 프린트 도체(40 ... 43) 중 하나를 선택하기 위한 실제 난수 발생기(70)에 의해 함께 제어된다. 아래에 도시된 프린트 도체 그룹(44 ... 47)에서 관련 디멀티플렉서(52) 및 관련 멀티플렉서(53)는 상이하지만 동일한 형태로 형성된 두 개의 유사 난수 발생기(71, 72)에 의해 제어되며, 상기 유사 난수 발생기(71, 72)는 동일한 구조에 의해 클럭 주기에서 동일한 시점에 동일한 난수를 전달한다. 그러나, 기본적으로 디멀티플렉서 및 멀티플렉서를 클럭 신호 자체에 의해 제어하는 것은 불가능하며, 이는 사실 회로 기술적으로는 간단하지만 안전에 위험을 준다.
주어진 칩상에서 보호 회로의 본 발명에 따른 개선예에 의해, 가능한한 좁고 옆에 함께 놓여있는 프린트 도체에 의한 상기 칩 상부 표면의 가능한한 완전한 커버링과 가능한한 적은 회로 기술 비용에 대한 소망 사이의 좋은 타협이 이루어질 수 있다.

Claims (16)

  1. 집적 회로(1)를 위한 보호 회로에 있어서,
    하나 이상의 신호 발생기(20, 21, 22)의 상이한 신호들이 공급되는 다수의 프린트 도체(10, 11, 12), 및 개별 프린트 도체(10, 11, 12)를 통해 전달된 상이한 신호들을 오류로 평가하고 이러한 오류를 검출할 경우 집적 회로(1)를 안전 모드로 전환하기 위한 제어 신호를 송신할 수 있는 하나 이상의 검출기(30 내지 36)를 포함하는, 하나 이상의 회로 평면(2, 3)에 있는 보호 회로가 집적 회로(1)의 상부 및/또는 하부에 배치되는 것을 특징으로 하는 보호 회로.
  2. 제 1항에 있어서,
    상기 프린트 도체(10, 11, 12)가 보호 회로의 다수의 회로 평면(2, 3) 위로 연장되는 것을 특징으로 하는 보호 회로.
  3. 제 1항 또는 2항에 있어서,
    상기 프린트 도체(10, 11, 12)가 보호될 집적 회로(1)가 광범위하게 또는 완전히 커버되도록 형성되는 것을 특징으로 하는 보호 회로.
  4. 제 1항에 있어서,
    상기 프린트 도체(10, 11, 12)가 좁은 폭으로 형성되는 것을 특징으로 하는 보호 회로.
  5. 상기 항들 중 어느 한 항에 있어서,
    상기 회로 평면(2)내의 검출기(30 내지 36)가 프린트 도체(11)를 갖는 회로 평면(3)의 하부에 배치되어, 상기 프린트 도체(11)에 의해 접근에 대해 보호되도록 배치되는 것을 특징으로 하는 보호 회로.
  6. 상기 항들 중 어느 한 항에 있어서,
    상기 회로 평면(2)내에 있는 하나 이상의 발생기(20, 21, 22)가 프린트 도체(11)를 갖는 회로 평면(3)의 하부에, 상기 프린트 도체(11)에 의해 접근에 대해 보호되도록 배치되는 것을 특징으로 하는 보호 회로.
  7. 상기 항들 중 어느 한 항에 있어서,
    상이한 신호들이 서로 독립적으로 발생되는 것을 특징으로 하는 보호 회로.
  8. 상기 항들 중 어느 한 항에 있어서,
    상기 발생기(들)(20, 21, 22)가 상이한 신호에 대해 신호들이 시간이 흐르면서 다이나믹하게 변경되도록 형성되는 것을 특징으로 하는 보호 회로.
  9. 상기 항들 중 어느 한 항에 있어서,
    상기 다수의 검출기(30 내지 36)가 상기 프린트 도체(10)에 배치되어, 상기 프린트 도체(10)에서 신호가 모니터링될 수 있는 것을 특징으로 하는 보호 회로.
  10. 제 1항 내지 8항 중 어느 한 항에 있어서,
    다수의 프린트 도체(40 내지 43, 또는 44 내지 47)가 각각 상기 프린트 도체(40 내지 43, 또는 44 내지 47)의 한 단부에 접속된 각각의 디멀티플렉서(50 또는 52) 및 프린트 도체의 다른 단부에 접속된 각각의 멀티플렉서(51 또는 53)에 의해 한 군데로 집중되고, 각각의 디멀티플렉서(50 또는 52) 및 멀티플렉서(51 또는 53)가 각각의 신호 발생기(60 또는 62) 또는 신호 검출기(61 또는 63)에 접속되며, 상기 디멀티플렉서(50 또는 52) 및 멀티플렉서(51 또는 53)는 적어도 하나의 선택 신호 발생기(70; 71, 72)에 의해 제어되는 것을 특징으로 하는 보호 회로.
  11. 제 10항에 있어서,
    상기 선택 신호 발생기가 난수 발생기(70)인 것을 특징으로 하는 보호 회로.
  12. 제 10항에 있어서,
    상기 선택 신호 발생기가 유사 난수 발생기(71, 72)인 것을 특징으로 하는 보호 회로.
  13. 상기 항들 중 어느 한 항에 있어서,
    상기 검출기(30 내지 36)가 서로 교차 결합하는 것을 특징으로 하는 보호 회로.
  14. 상기 항들 중 어느 한 항에 있어서,
    상기 검출기(30 내지 36)와 더불어 상기 신호 발생기(20, 21, 22)가 서로 교차 결합하는 것을 특징으로 하는 보호 회로.
  15. 상기 항들 중 어느 한 항에 있어서,
    상기 집적 회로(1)가 상기 보호 회로의 다수의 회로 평면(2, 3)에 의해 샌드위치 방식으로 둘러싸이는 것을 특징으로 하는 보호 회로.
  16. 상기 항들 중 어느 한 항에 있어서,
    상기 검출기에 공급된 신호의 보존성 값을 검출하기 위한 장치가 제공되고 상기 보존성 값이 오류를 검출하기 위해 평가되는 것을 특징으로 하는 보호 회로.
KR1020007007460A 1998-11-05 1999-11-04 집적 회로용 보호 회로 KR100710936B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP98120986 1998-11-05
EP98120986.9 1998-11-05
DE19917080.0 1999-04-15
DE19917080 1999-04-15
PCT/EP1999/008456 WO2000028399A1 (de) 1998-11-05 1999-11-04 Schutzschaltung für eine integrierte schaltung

Publications (2)

Publication Number Publication Date
KR20010040320A true KR20010040320A (ko) 2001-05-15
KR100710936B1 KR100710936B1 (ko) 2007-04-24

Family

ID=26052919

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007007460A KR100710936B1 (ko) 1998-11-05 1999-11-04 집적 회로용 보호 회로

Country Status (10)

Country Link
US (1) US6496119B1 (ko)
EP (1) EP1053518B1 (ko)
JP (1) JP2002529928A (ko)
KR (1) KR100710936B1 (ko)
CN (1) CN1214304C (ko)
AT (1) ATE334437T1 (ko)
DE (1) DE59913706D1 (ko)
ES (1) ES2270623T3 (ko)
RU (1) RU2232420C2 (ko)
WO (1) WO2000028399A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100517554B1 (ko) * 2002-12-05 2005-09-28 삼성전자주식회사 보안 기능을 갖는 반도체 집적 회로
KR102327811B1 (ko) * 2021-07-23 2021-11-18 주식회사 스탠더드시험연구소 중성자 차등 소멸 시간 측정 장치의 멀티플렉서를 이용한 데이터 처리 장치 및 방법

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2001253818A1 (en) * 2000-02-14 2001-08-20 Christina Alvarez Security module system, apparatus and process
ATE350766T1 (de) 2000-08-21 2007-01-15 Infineon Technologies Ag Vorrichtung zum schutz einer integrierten schaltung
DE10044837C1 (de) 2000-09-11 2001-09-13 Infineon Technologies Ag Schaltungsanordnung und Verfahren zum Detektieren eines unerwünschten Angriffs auf eine integrierte Schaltung
DE10047436A1 (de) * 2000-09-21 2002-08-29 Giesecke & Devrient Gmbh Sicherheitsmodul
DE10058078C1 (de) * 2000-11-23 2002-04-11 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Analysierschutz und Verfahren zur Herstellung der Anordnung
US20040212017A1 (en) 2001-08-07 2004-10-28 Hirotaka Mizuno Semiconductor device and ic card
US7525330B2 (en) * 2001-11-28 2009-04-28 Nxp, B.V. Semiconductor device, card, system, and methods of initializing and checking the authenticity and the identity of the semiconductor device
DE10218096A1 (de) * 2002-04-23 2003-11-13 Infineon Technologies Ag Integrierte Schaltung
DE10247485A1 (de) * 2002-10-11 2004-04-22 Infineon Technologies Ag Chip mit Angriffsschutz
EP1968112A3 (en) 2003-02-04 2008-09-17 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device
JP4250038B2 (ja) * 2003-08-20 2009-04-08 シャープ株式会社 半導体集積回路
JP4758621B2 (ja) * 2003-08-28 2011-08-31 パナソニック株式会社 基本セル、端部セル、配線形状、配線方法、シールド線の配線構造
JP4748929B2 (ja) * 2003-08-28 2011-08-17 パナソニック株式会社 保護回路および半導体装置
FR2863746B1 (fr) * 2003-12-10 2006-08-11 Innova Card Circuit integre protege par bouclier actif
FR2865828A1 (fr) * 2004-01-29 2005-08-05 St Microelectronics Sa Procede de securisation du mode de test d'un circuit integre par detection d'intrusion
FR2865827A1 (fr) * 2004-01-29 2005-08-05 St Microelectronics Sa Securisation du mode de test d'un circuit integre
DE102004008178A1 (de) * 2004-02-19 2005-09-01 Giesecke & Devrient Gmbh Verfahren zum Erzeugen von Zufallszahlen in einem tragbaren Datenträger
DE102004014435A1 (de) * 2004-03-24 2005-11-17 Siemens Ag Anordnung mit einem integrierten Schaltkreis
GB2412996B (en) * 2004-04-08 2008-11-12 Gore & Ass Tamper respondent covering
GB0410975D0 (en) 2004-05-17 2004-06-16 Nds Ltd Chip shielding system and method
JP2006228910A (ja) 2005-02-16 2006-08-31 Matsushita Electric Ind Co Ltd 半導体装置
JP2006303480A (ja) * 2005-03-25 2006-11-02 Nec Electronics Corp 半導体装置及びその保護方法
US8099783B2 (en) * 2005-05-06 2012-01-17 Atmel Corporation Security method for data protection
EP1947593B1 (en) * 2005-11-07 2010-10-06 Panasonic Corporation Portable auxiliary storage device
US20090024890A1 (en) * 2006-02-09 2009-01-22 Nxp B.V. Circuit arrangement, data processing device comprising such circuit arrangement as well as method for identifying an attack on such circuit arrangement
US7760086B2 (en) * 2006-11-03 2010-07-20 Gore Enterprise Holdings, Inc Tamper respondent sensor and enclosure
KR101299602B1 (ko) * 2007-03-27 2013-08-26 삼성전자주식회사 리버스 엔지니어링을 보호하는 집적회로
US7923830B2 (en) * 2007-04-13 2011-04-12 Maxim Integrated Products, Inc. Package-on-package secure module having anti-tamper mesh in the substrate of the upper package
US7723998B2 (en) * 2007-06-12 2010-05-25 Itt Manufacturing Enterprises, Inc. Integrated circuit protection and detection grid
US7787256B2 (en) * 2007-08-10 2010-08-31 Gore Enterprise Holdings, Inc. Tamper respondent system
US9747472B2 (en) * 2007-09-13 2017-08-29 Avago Technologies General Ip (Singapore) Pte. Ltd. Mesh grid protection
JP2009087988A (ja) * 2007-09-27 2009-04-23 Oki Semiconductor Co Ltd 解析防止回路を具える半導体装置及び解析防止方法
US8188860B2 (en) * 2007-10-22 2012-05-29 Infineon Technologies Ag Secure sensor/actuator systems
WO2009073231A1 (en) * 2007-12-06 2009-06-11 Broadcom Corporation Embedded package security tamper mesh
EP2211289A1 (en) * 2009-01-22 2010-07-28 Robert Bosch GmbH Method and control device for protecting a sensor against manipulation
JP2011258693A (ja) * 2010-06-08 2011-12-22 Panasonic Corp 保護回路と半導体装置及び電子機器
JP5761947B2 (ja) * 2010-09-02 2015-08-12 キヤノン株式会社 半導体集積回路装置
CN102455394A (zh) * 2010-10-27 2012-05-16 上海华虹集成电路有限责任公司 防御侵入式攻击的装置
JP5062705B1 (ja) 2011-07-07 2012-10-31 Necインフロンティア株式会社 フレキシブルプリントケーブルおよび情報処理装置
US8884757B2 (en) 2011-07-11 2014-11-11 Verifone, Inc. Anti-tampering protection assembly
DE102011083419A1 (de) * 2011-09-26 2013-03-28 Siemens Aktiengesellschaft Elektronische Baugruppe, Leiterplatte und Verfahren
EP2780938B1 (en) * 2011-11-18 2015-09-30 Tubitak Active shield with electrically configurable interconnections
JP5263999B2 (ja) 2011-12-16 2013-08-14 Necインフロンティア株式会社 情報処理装置
US8776260B2 (en) 2012-09-25 2014-07-08 Broadcom Corporation Mesh grid protection system
CN202855734U (zh) * 2012-10-23 2013-04-03 北京同方微电子有限公司 用于智能卡的有源防护装置
EP2766929A1 (en) 2012-10-29 2014-08-20 Qatar Foundation A tamper detection arrangement
US9323957B2 (en) * 2013-03-01 2016-04-26 Marvell World Trade Ltd. Anti-tamper system based on dual random bits generators for integrated circuits
RU2552181C2 (ru) * 2013-04-05 2015-06-10 Российская Федерация, От Имени Которой Выступает Министерство Промышленности И Торговли Российской Федерации Устройство защиты от контрафакта и фальсификации интегральных схем
CN103530673A (zh) * 2013-07-25 2014-01-22 上海杉德金卡信息系统科技有限公司 一种基于lds技术的金融pci安全设计方法
CN105891651B (zh) * 2015-01-16 2019-12-10 恩智浦美国有限公司 低功率开路检测系统
WO2016180977A1 (en) * 2015-05-13 2016-11-17 Nagravision S.A. Integrated circuit chip protection against physical and/or electrical alterations
CN104992506A (zh) * 2015-07-06 2015-10-21 福建升腾资讯有限公司 一种基于lap技术的pos机安全设计方法
RU2613763C1 (ru) * 2015-11-05 2017-03-21 Владимир Петрович Куклев Многофункциональный защищенный микровычислитель
FR3053503B1 (fr) 2016-06-30 2019-03-29 Stmicroelectronics (Rousset) Sas Procede de protection d'un circuit integre, et circuit integre correspondant
FR3054344B1 (fr) * 2016-07-25 2018-09-07 Tiempo Circuit integre protege.
EP3306517A1 (en) * 2016-10-04 2018-04-11 Nagravision S.A. An active shield for detecting an intrusion on an integrated circuit
KR102413790B1 (ko) * 2020-11-27 2022-06-28 연세대학교 산학협력단 칩의 보안 회로
CN115148118A (zh) * 2022-07-07 2022-10-04 黑芝麻智能科技有限公司 柔性电路板及显示装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4593384A (en) 1984-12-21 1986-06-03 Ncr Corporation Security device for the secure storage of sensitive data
GB2182176B (en) * 1985-09-25 1989-09-20 Ncr Co Data security device for protecting stored data
US4882752A (en) * 1986-06-25 1989-11-21 Lindman Richard S Computer security system
JP3048429B2 (ja) * 1991-08-14 2000-06-05 株式会社東芝 半導体集積回路装置
US5389738A (en) 1992-05-04 1995-02-14 Motorola, Inc. Tamperproof arrangement for an integrated circuit device
JPH08953A (ja) * 1994-06-15 1996-01-09 Kawasaki Heavy Ind Ltd 半乾式脱硫方法及び装置
US5533123A (en) * 1994-06-28 1996-07-02 National Semiconductor Corporation Programmable distributed personal security
CA2128757C (en) * 1994-07-25 1998-11-03 Jacques Caron Control circuit for controlling voltage supply of electric devices
DE19618279A1 (de) * 1996-05-07 1997-11-13 Kopp Heinrich Ag DI-Schutzschalteinrichtung
US5969921A (en) * 1998-01-29 1999-10-19 Eaton Corporation Ground fault electrical switching apparatus for coordinating tripping with a downstream ground fault switch

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100517554B1 (ko) * 2002-12-05 2005-09-28 삼성전자주식회사 보안 기능을 갖는 반도체 집적 회로
KR102327811B1 (ko) * 2021-07-23 2021-11-18 주식회사 스탠더드시험연구소 중성자 차등 소멸 시간 측정 장치의 멀티플렉서를 이용한 데이터 처리 장치 및 방법

Also Published As

Publication number Publication date
ATE334437T1 (de) 2006-08-15
JP2002529928A (ja) 2002-09-10
ES2270623T3 (es) 2007-04-01
WO2000028399A1 (de) 2000-05-18
RU2232420C2 (ru) 2004-07-10
DE59913706D1 (de) 2006-09-07
EP1053518A1 (de) 2000-11-22
KR100710936B1 (ko) 2007-04-24
CN1292110A (zh) 2001-04-18
CN1214304C (zh) 2005-08-10
US6496119B1 (en) 2002-12-17
EP1053518B1 (de) 2006-07-26

Similar Documents

Publication Publication Date Title
KR20010040320A (ko) 집적 회로를 위한 보호 회로
KR100508891B1 (ko) 집적 회로에 대한 어택을 검출하기 위한 회로 장치 및 방법
JP4748929B2 (ja) 保護回路および半導体装置
TWI388048B (zh) 積體電路防護裝置及方法
US4783801A (en) Apparatus for protecting secret information
CN107787499B (zh) 以防物理更改和/或电更改的集成电路芯片保护
JP2002536727A (ja) 集積回路
JP6871287B2 (ja) キーパッド設備、キーパッドを提供する方法、及びキーパッドに使用されるセキュリティ攻撃を検出する方法
JP4462903B2 (ja) 半導体ウェハ
KR101402827B1 (ko) 핀패드 및 그 보안방법
EP2780938B1 (en) Active shield with electrically configurable interconnections
US20200043870A1 (en) Circuit alteration detection in integrated circuits
WO2007018761A2 (en) Security method for data protection
JP2003507798A (ja) 集積回路に電源供給するための集積回路および回路構成
ES8202454A1 (es) Pastilla de circuito integrado
CN100559203C (zh) 包括秘密的子模块的电子电路
CA1266516A (en) A.c. "and" gate
MXPA00006648A (en) Protection circuit for an integrated circuit
UA70312C2 (en) Device for protection of an integrated circuit device for protection of an integrated circuit
CN111863732A (zh) 具有安全特征的半导体装置
Jacob How could device security against unauthorized internal chip access be married with design for testability?

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130405

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140404

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150416

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160408

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170407

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180410

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee