KR20000071699A - 열처리 방법 및 열처리 장치 - Google Patents

열처리 방법 및 열처리 장치 Download PDF

Info

Publication number
KR20000071699A
KR20000071699A KR1020000019574A KR20000019574A KR20000071699A KR 20000071699 A KR20000071699 A KR 20000071699A KR 1020000019574 A KR1020000019574 A KR 1020000019574A KR 20000019574 A KR20000019574 A KR 20000019574A KR 20000071699 A KR20000071699 A KR 20000071699A
Authority
KR
South Korea
Prior art keywords
gas
processing
heat treatment
workpieces
region
Prior art date
Application number
KR1020000019574A
Other languages
English (en)
Other versions
KR100604019B1 (ko
Inventor
기타무라마사유키
시오타니도모히로
다후사이사오
Original Assignee
히가시 데쓰로
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 히가시 데쓰로, 동경 엘렉트론 주식회사 filed Critical 히가시 데쓰로
Publication of KR20000071699A publication Critical patent/KR20000071699A/ko
Application granted granted Critical
Publication of KR100604019B1 publication Critical patent/KR100604019B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)
  • Furnace Charging Or Discharging (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명의 열처리 장치는 복수의 피처리체가 소정의 피치로 배열되도록 복수의 피처리체를 지지할 수 있는 피처리체 지지구, 및 피처리체 지지구를 수용하는 처리 용기를 포함한다. 처리 용기의 내측면은 진공으로 된다. 작은 컨덕턴스를 갖는 가스 도입구는 상기 피처리체 지지구에 의해 지지된 상기 복수의 피처리체의 주변 영역중 한 부분에 설치된다. 상기 가스 도입구는 상기 복수의 피처리체가 배열된 방향으로 연장되고, 열처리용 처리 가스를 처리 용기로 공급한다. 큰 컨덕턴스를 갖는 가스 흡인구는 피처리체 지지구에 의해 지지된 상기 복수의 피처리체의 주변 영역중 다른 부분에 설치된다. 가스 흡인구는 복수의 피처리체가 배열된 방향으로 연장한다. 피처리체 지지구는 로딩 기구에 의해 처리 용기의 내외로 로드 및 언로드될 수 있다.

Description

열처리 방법 및 열처리 장치{THERMAL PROCESSING UNIT AND THERMAL PROCESSING METHOD}
본 발명은 반도체 웨이퍼와 같은 피처리체의 성막 처리 장치 및 성막 처리 방법과 같은 열처리 장치 및 열처리 방법에 관한 것이다.
일반적으로, 반도체 웨이퍼 등에 각종의 열처리, 예컨대 성막을 하는 때는, 한번에 다수매의 반도체 웨이퍼에 대하여 성막 처리를 실시할 수 있는 배치식(batch-type)의 열처리 장치가 주로 사용된다.
이러한 열처리 장치에 있어서는, 70∼150장 정도의 다수매의 반도체 웨이퍼가 석영제의 웨이퍼 보트에 의해 소정의 피치로 지지된다. 웨이퍼 보트는 종방향의 원통체 형상의 처리 용기내에 수용된다. 처리 가스는 용기내의 위 방향으로 혹은 아래 방향으로 흐른다. 그러므로, 성막 처리와 같은 소정의 열처리가 대다수의 반도체 웨이퍼에서 수행된다.
이하, 일반적인 배치식의 열처리 장치에 관해서 설명한다.
도 21은 일반적인 배치식 열처리 장치를 나타내는 개략도이다. 이 배치식 열처리 장치(102)는 내측 실린더(104)와 외측 실린더(106)를 갖는 처리 용기(108)를 포함한다. 웨이퍼 보트(110)는 내측 실린더(104)내에 정해진 처리 공간(S)에 수용된다. 웨이퍼 보트(110)는 내측 실린더(104)의 바닥 개구를 통해 처리 공간(S)내로 삽입되고, 탈착될 수 있다. 일반적으로 다수매, 예컨대 150장 정도의 제품 웨이퍼(W)가 소정의 피치로 웨이퍼 보트(110)에 배치된다. 그 다음, 예컨대 CVD(Chemical Vapor Deposition)과 같은 소정의 열처리가 웨이퍼(W)에 수행된다.
성막 가스와 같은 처리 가스는 처리 용기(108)의 하부로 도입될 수 있다. 그 다음, 처리 가스는 내측 실린더(104)내의 고온영역인 처리 공간(S)내의 위 방향으로 흐르고, 웨이퍼(W)와 반응한다. 이후, 처리 가스는 아래 방향으로 향하고, 내측 실린더(104)와 외측 실린더(106) 사이로 아래 방향으로 흐른다. 그 다음, 처리 가스는 배기구(112)로부터 외부로 배출된다. 도시되지 않은 가열히터가 처리 용기의 바깥둘레의 각각의 영역(zone)에 배치된다. 이 열처리 중에, 반도체 웨이퍼(W)는 소정의 처리 온도로 유지되고, 처리 용기의 내측은 소정의 처리 압력으로 유지되어 있다.
처리 용기내로 도입된 처리 가스는, 웨이퍼가 배열된 방향(도 21에서 수직 방향)내의 웨이퍼의 주위 영역으로 위 방향으로 흐른다. 처리 가스의 일부는 2개의 이웃하는 웨이퍼사이로 흘러서, 열분해반응에 의해 2개의 웨이퍼와 반응한다. 그러므로, 막이 웨이퍼 상에 퇴적된다.
성막 처리의 경우에 있어서, 생산성을 향상하기 위해서는, 품질이 양호한 막을, 높은 성막 비율로 웨이퍼상에 형성되고, 웨이퍼내의 막 두께의 균일성이 높게 유지되어야 하고, 웨이퍼와 웨이퍼 사이에도 막 두께의 균일성을 유지한 채로 형성하는 것이 바람직하다.
각종 막의 성막 비율을 향상시키기 위해서는, 처리 가스의 공급량, 처리 압력, 처리 온도 등과 같은 파라미터를 향상시킴으로써, 처리 가스의 반응을 촉진시키는 것이 효과적이다. 그러나, 품질이 양호한 막을 얻기 위해서는, 상기한 각 파라미터의 값에도 한계가 있다. 예를 들어, 처리 가스로서 SiH4가스를 사용하여 폴리실리콘막 등을 성막하는 경우, 일반적으로는, 1.5 Torr 이상의 처리 압력에서는 품질의 양호한 막의 형성이 불가능하다. 예를 들어, 1.5 Torr 이상의 압력으로서는, 처리 공간(S)인 고온영역에서의 SiH4가스의 통과 시간이 길어져서 기상(gas phase)에서 분해반응이 과도히 촉진하여 버린다.
이와 같이 기상반응이 과도히 촉진하면, 분상(powdery) 실리콘이 웨이퍼 표면에 부착하여, 막의 품질을 열화시킨다. 뿐만 아니라, 분상 실리콘은 파티클의 발생원인이 된다. 따라서, 처리 압력은 이러한 기상반응이 과도히 생기는 것을 방지하여 웨이퍼 면상에서 분해반응이 진행하는 계면반응을 주체로 하는 성막반응을 하기 위해서, 상술한 바와 같이 1.5 Torr 이하로 해야한다. 그러므로, 최대 성막 비율은 약 15 Å/분 정도이다.
본 발명은, 이상과 같은 문제점을 효율적으로 해결하기 위한 것이다. 본 발명의 목적은, 열처리의 품질을 떨어뜨리는 일없이 성막 비율 등의 열처리 속도를 높게 할 수 있는 열처리 방법 및 열처리 장치를 제공하는 것에 있다.
도 1은 본 발명에 따른 열처리 장치의 제 1 실시예의 종단면도,
도 2는 도 1의 A-A 선을 따라 절취하여 도시한 횡단면도,
도 3은 도 1의 내측 실린더를 도시한 사시도,
도 4는 반도체 웨이퍼들 사이의 기상의 SiH4농도와 SiH2농도 사이의 관계를 도시한 그래프,
도 5는 종래 장치의 경우, 반도체 웨이퍼 상의 처리 가스의 유속분포의 시뮬레이션을 도시한 도면,
도 6A 및 6B는 본 발명의 제 1 실시예 경우, 반도체 웨이퍼 상의 처리 가스의 유속분포의 시뮬레이션 모델 및 시뮬레이션의 계산 결과를 각각 도시한 도면,
도 7은 종래 장치와 본 발명의 제 1 실시예 각각의 막질의 양부 및 성막 비율의 압력 의존성을 도시한 그래프,
도 8은 본 발명의 제 1 실시예의 경우, 공급된 처리 가스유량에 대한 막질의 의존성을 도시한 그래프,
도 9는 SiH4유량에 대한 SiH2농도의 처리 압력 의존성을 도시한 그래프,
도 10은 SiH2농도와 스텝 커버리지의 관계를 도시한 그래프,
도 11은 본 발명에 따른 열처리 장치의 제 2 실시예의 종단면도,
도 12는 도 11의 B-B 선을 따라 절취하여 도시한 횡단면도,
도 13은 피처리체 지지구인 웨이퍼 보트를 도시한 사시도,
도 14는 도 13에 도시한 웨이퍼 보트의 부분단면 사시도,
도 15는 처리 용기를 나타내는 부분단면 사시도,
도 16은 처리 가스 공급계를 도시한 도면,
도 17A 및 17B는 본 발명의 제 2 실시예의 처리 가스의 흐름 조건을 각각 도시한 종단면도 및 횡단면도, ,
도 18A 및 18B는 본 발명의 제 2 실시예의 처리 가스의 흐름 조건을 각각 도시한 종단면도 및 횡단면도,
도 19A 및 19B는 본 발명의 제 2 실시예의 처리 가스의 흐름 조건을 각각 도시한 종단면도 및 횡단면도,
도 20A 및 20B는 본 발명의 제 2 실시예의 경우, 반도체 웨이퍼 상의 처리 가스의 유속분포의 시뮬레이션 모델 및 시뮬레이션의 계산 결과를 도시한 도면,
도 21은 일반적인 배치식 열처리 장치의 종단면도이다.
<도면의 주요부분에 대한 부호의 설명>
2 : 열처리 장치 4 : 처리 용기
6 : 내측 실린더 8 : 외측 실린더
16 : 가스도입노즐 26 : 웨이퍼 보트(피처리체 지지구)
28 : 보트 엘리베이터(보트 승강기구) 32 : 두꺼운 측벽
34 : 얇은 측벽 38 : 가스 도입구
40 : 가스 흡인구 50 : 열처리 장치
52 : 처리 용기 58 : 가스도입구
60 : 가스 흡인구
62A∼62C, 64A∼64C, 66A∼66C : 분출슬릿
68 : 통로형성부재 70 A∼70 C : 통로홈
80: 웨이퍼 보트(피처리체 지지구) 82: 천정판
84 : 바닥판 86 : 판부재
88 : 지지돌기(지지부) W : 반도체 웨이퍼 (피처리체)
본 발명의 발명자는 다음과 같은 사항을 발견하였다. 처리 가스가 다수매 배열된 반도체 웨이퍼 사이로 강제적으로 통과시킴으로써, 이 부분에 있어서의 처리 가스의 체류시간이 적어져 그 만큼, 기상반응이 억제된다. 그러므로, 높은 처리 압력하에서도 기상반응에 의한 막을 억제한 상태로 성막 비율을 향상시킬 수 있다, 그러므로, 본 발명은 이러한 형태로 이루어진다.
본 발명은 열처리 장치가 복수의 피처리체가 소정의 피치로 배열되도록 복수의 피처리체를 지지할 수 있는 피처리체 지지구; 피처리체 지지구를 수용하고, 내측면이 진공 상태로 된 처리 용기; 상기 피처리체 지지구에 의해 지지된 상기 복수의 피처리체의 주변 영역중 한 부분에 설치되어 작은 컨덕턴스를 갖고, 상기 복수의 피처리체가 배열된 방향으로 연장되어, 열처리용 처리가스를 처리 용기로 공급하는 가스 도입구; 상기 피처리체 지지구에 의해 지지된 상기 복수의 피처리체의 주변 영역중 다른 부분에 설치되어 큰 컨덕턴스를 갖고, 상기 복수의 피처리체가 배열된 방향으로 연장하는 가스 흡인구; 및 상기 피처리체 지지구를 상기 처리 용기의 내외로 로드 및 언로드하는 로딩 기구를 포함하는 것을 특징으로 한다.
본 발명의 특징에 따르면, 처리 가스가 작은 컨덕턴스를 갖는 가스 도입구로부터 큰 컨덕턴스를 갖는 가스 흡인구로 흐르기 때문에, 처리 가스는, 피처리체 사이로 강제적으로 흐르게 한다. 이때, 피처리체들 사이를 통과(체류하는) 시간이 매우 짧게된다. 그러므로, 열처리가 성막 공정인 경우, 처리 압력이 높을지라도, 기상의 반응은, 표면 반응에 의한 성막 반응이 주로 이루어지도록 억제된다. 즉, 성막 공정은 높은 성막 비율로 수행될 수 있다.
양호하게는, 처리 용기는 피처리체 지지구를 수용하기 위한 내측 실린더 및 상기 내측 실린더를 둘러싸는 외측 실린더를 갖고, 가스 도입구 및 가스 흡인구는 상기 내측 실린더내에 형성된다.
양호하게는 가스 도입구는, 처리 용기의 측벽의 일부분을 따라 형성되고, 가스 흡인구는 상기 처리 용기의 측벽의 다른 부분을 바같쪽을 우회함으로써, 형성된다.
양호하게는 가스 도입구는, 상기 복수의 피처리체가 배열된 방향으로 분할된 복수의 영역에 각각 대응하는 복수의 영역 슬릿으로 분할된다.
본 발명의 특징에 따르면, 처리 가스는, 복수의 피처리체가 배열된 방향으로 분할된 복수의 영역에 각각 대응하는 복수의 영역 슬릿을 통해 거의 균일하게 제공된다.
이 경우, 양호하게는, 복수의 영역-슬릿은 복수의 영역에 각각 대응하는 복수의 유량 제어기에 각각 접속된다.
양호하게는, 복수의 영역 슬릿 각각은 상기 복수의 피처리체의 복수의 주변영역에 각각 대응하는 복수의 슬릿 부품으로 분할된다.
양호하게는 복수의 영역-슬릿 각각은 상기 복수의 피처리체의 복수의 주변영역에 각각 대응하는 복수의 슬릿 부품으로 분할된다.
본 발명의 특징에 따르면, 처리 가스는 복수의 피처리체 각각에 거의 균일하게 공급될 수 있다.
양호하게는, 기판 지지구는 상기 기판 흡인구의 양측면상의 상기 복수의 주변 부분과 접촉하게 하는 판부재를 갖고, 상기 판부재는 원호 단면 및 상기 복수의 피처리체의 방사 방향으로 상기 복수의 피처리체주변 부분으로부터 연장하는 소정 두께를 갖는다.
본 발명의 특징에 따르면, 판부재는 처리 가스가 피처리체의 외측으로 흐르는 것을 방지하고, 복수의 피처리체 사이로 처리 가스를 유도하기 위한 방해판으로 기능할 수 있다.
또한, 본 발명은, 열처리 방법이 복수의 피처리체가 소정의 피치로 배열되도록 복수의 피처리체를 지지하는 단계, 및 가스 도입구로부터 가스 흡인구로 열처리용 처리 가스를 공급하여 상기 처리 가스가 상기 복수의 피처리체중 소정의 이웃하는 2개의 피처리체사이로 통과하게 하여, 상기 가스 도입구가 상기 복수의 피처리체의 주변 영역중 일부분에 설치되어 작은 컨덕턴스를 갖고, 상기 복수의 피처리체가 배열된 방향으로 연장되고, 상기 가스 흡인구가 상기 복수의 피처리체의 주변 영역중 다른 부분에 설치되어 큰 컨덕턴스를 갖고, 상기 복수의 피처리체가 배열된 방향으로 연장하는 단계를 포함하는 것을 특징으로 한다.
양호하게는, 가스 도입구는 상기 복수의 피처리체가 배열된 방향으로 분할된 복수의 영역에 각각 대응하는 복수의 영역 슬릿으로 분할된다.
양호하게는, 복수의 영역 슬릿의 각각에 공급된 열처리 용 처리 가스의 유량은 개별적으로 제어된다.
양호하게는, 복수의 영역 슬릿의 각각에 공급된 열처리 용 처리 가스의 유량은, 영역 슬릿이 상기 가스 흡인구에 접속된 배출구에 근접할 때, 상기 유량이 많아지도록 제어된다.
또한, 본 발명은 성막 방법이 복수의 피처리체가 소정의 피치로 배열되도록 복수의 피처리체를 지지하는 단계, 및 가스 도입구로부터 가스 흡인구로 성막 처리용 처리 가스를 공급하여 상기 처리 가스가 상기 복수의 피처리체중 소정의 이웃하는 2개의 피처리체사이로 통과하게 하여, 상기 가스 도입구가 상기 복수의 피처리체의 주변 영역중 일부분에 설치되어 작은 컨덕턴스를 갖고, 상기 복수의 피처리체가 배열된 방향으로 연장되고, 상기 가스 흡인구가 상기 복수의 피처리체의 주변 영역중 다른 부분에 설치되어 큰 컨덕턴스를 갖고, 상기 복수의 피처리체가 배열된 방향으로 연장하는 단계를 포함하는 것을 특징으로 한다.
양호하게는 가스 도입구는, 복수의 피처리체가 배열된 방향으로 분할된 복수의 영역에 각각 대응하는 복수의 영역 슬릿으로 분할된다.
양호하게는, 복수의 영역 슬릿의 각각에 공급된 성막 처리용 처리 가스의 유량은 개별적으로 제어된다.
양호하게는, 상기 복수의 영역 슬릿의 각각에 공급된 성막 처리용 처리 가스의 유량은, 영역 슬릿이 상기 가스 흡인구에 접속된 배출구에 근접할 때, 상기 유량이 많아지도록 제어된다.
(실시예)
이하에, 본 발명의 실시예들을 도 1 내지 도 20을 참조하여 상세히 설명한다.
도 1은 본 발명에 따른 열처리 장치의 제 1 실시예의 종단면도이다. 도 2는 도 1의 A-A 선을 따라 절취하여 도시한 횡단면도이다. 도 3은 도 1의 내측 실린더를 나타내는 사시도이다. 이 실시예에 있어서, 실리콘 막이 퇴적되는 성막 처리가 열처리의 예로서 설명된다.
도 1 내지 도 3에 도시한 바와 같이, 열처리 장치(2)는 예컨대 석영제로 된 원통체 형상의 처리 용기(4)를 포함한다. 이 처리 용기(4)는 제 1 발명의 특징으로 하는 내측 실린더(6: inside cylinder)와 이 내측 실린더(6)를 둘러싸는 외측 실린더(8: outside cylinder)로 구성되어 있다. 이 처리 용기(4)의 바깥둘레에는, 도시하지 않은 가열히터가 설치된다. 외측 실린더(8)의 하단은, O링과 같은 시일 부재(12)를 통해 예컨대 스텐레스제의 다기관(10)에 기밀에 접합된다. 내측 실린더(6)의 하단은 링형상의 지지 부분(14)상에 배치되어, 이것에 의해 지지되는데, 이는 다기관(10)으로부터 내측으로 돌출한다.
L 자 형상으로 굴곡된 가스 도입 노즐(16)은 다기관(10: manifold)을 관통한다. 가스 도입 노즐(10)은 예를 들어, SiH4가스, Si2H6가스, B2H6가스, AsH3가스와 같은 성막 가스 혹은 그 외에 필요한 가스를 도입할 수 있게 되어 있다.
캡(20: cap)은 O링과 같은 시일 부재(18)를 통해 다기관(10)의 하부 개방부에 기밀하고, 착탈가능하게 부착된다. 회전축(24)은 캡(20)의 중앙을 통해 관통된다. 석영제의 보온통(22: thermal tube)은 회전축(24)의 상부에 지지된다. 웨이퍼 보트(26)는 피처리체 지지구로서 보온통(22)상에 배치된다. 웨이퍼 보트(26)는 석영제로 이루어지고, 복수의 지주(26A: column)를 갖는다. 도시하지 않은 다단형(many tier-like) 지지홈은 웨이퍼 보트(26)의 복수의 지주(26A) 각각에, 피처리체로서 반도체 웨이퍼(W)가 소정의 피치로 지지되어 있다.
상기 회전축(24)의 하단은 로딩 기구로서 보트 승강기구(28)의 아암(28A)에 부착되어 있다. 이 보트 승강기구(28)를 수직으로 승강 구동함으로써, 웨이퍼 보트(26)는 처리 용기(4)내로 로드 및 언로드될 수 있도록 되어 있다.
배기구(30: exhausting opening)는 외측 실린더(8)의 상단부에 형성되어 있다. 배기구(30)에는 도시하지 않은 진공 펌프 및 제해 유니트(unit for removing harmful materials)를 갖는 진공 배기계(vacuum exhausting system)가 접속되어 있다. 그러므로, 처리 용기(4)는 진공으로 될 수 있다.
도 2 및 도 3에 나타낸 바와 같이, 원통형 내측 실린더(6)의 측벽의 횡단면의 약반은 두께가 두꺼운 측벽(32)을 형성하는데, 이 두께는 나머지의 반이 얇은 측벽(34)으로 되어있다. 이 두꺼운 측벽(32)의 거의 중앙 및 그의 높이 방향에 요(凹)부 형상의 홈(36)이 형성된다. 홈(36)은 안쪽을 향하여 개방되어 있고 작은 컨덕턴스(conductance)를 갖는 종방향 가스 도입구(38)를 형성한다. 그러므로, 이 가스 도입구(38)는 웨이퍼(W)의 주변 영역의 일부에 면하여 있다. 가스 도입 노즐(16)의 선단(16A)은 홈(36)의 하단에 배치된다. 그러므로, 처리 가스는 가스 도입구(38)내로 도입되도록되어 있다.
이 경우, 각각의 웨이퍼(W)는 예컨대 8 인치 크기를 갖는다. 2개의 인접하는 웨이퍼(W)사이의 피치(거리)는 약 6 mm 정도이다. 홈(36)의 세로 길이(L1)는 약 5 mm∼30 mm 정도이고, 가로 길이(L2)는 5 mm∼30 mm 정도이다. 또한, 두꺼운 측벽(32)의 내면과 웨이퍼(W)의 둘레가장자리부 사이의 거리(L3)는 3 mm∼20 mm 정도이다. 그러므로, 가스 도입구(38)의 배기 컨턱턴스는 작다.
두꺼운 측벽(34)과 웨이퍼(W)의 다른 측면 사이의 공간은, 큰 컨턱턴스를 갖는 가스 흡인구(40: gas-absorbing opening)를 형성한다. 가스 흡인구(40)는 2개의 수직으로 인접하는 웨이퍼 사이로 흐르는 처리 가스를 흡입하도록 되어 있다. 이 경우, 얇은 측벽(34)의 내면과 웨이퍼(W)의 둘레가장자리부 사이의 거리(L4)는 약 20 mm ∼ 50 mm 정도이다. 그러므로, 가스 흡인구(40)의 배기 컨턱턴스는 크다.
천장판(44: ceiling board)은 내측 실린더(6)의 천정부에 제공된다. 천정판(44)은 가스 흡인구(40)와 동일한 단면형상을 갖는 가스 배출구(42)를 갖는다.
이하, 제 1 실시예의 열처리 장치의 동작에 관해서 설명한다.
우선, 미처리의 반도체 웨이퍼(W)는 웨이퍼 보트(26)에 다단으로 적재된다. 그 다음, 웨이퍼 보트(26)는 보트 승강기구(28)에 의해 처리 용기(4)의 하단 개구부를 통해 예열상태의 내측 실린더(6)내로 로드한다. 다기관(10)의 개구부는 캡(20)에 의해 닫힌다. 즉, 처리 용기(4)는 기밀하게 밀폐된다. 여기서, 웨이퍼 보트(26)에 적재된 웨이퍼(W)의 수는 40 매이다.
그 다음, 도시하지 않은 히터에 공급된 전력은 예컨대 530℃ 정도의 처리 온도로 웨이퍼(W)를 가열하기 위해 승온된다. 그 다음, 유량이 제어된 SiH4가스 또는 N2가스와 같은 처리 가스는 가스 도입 노즐(16)로부터 처리 용기(4)내로 도입된다. 동시에 처리 용기(4)의 내측면은 배기구(30)를 통해 진공으로 되어, 소정의 처리 압력으로 유지된 후, 성막 처리가 개시된다.
구체적으로는, 가스 도입 노즐(16)의 선단(16A)으로부터 공급된 처리 가스는 내측 실린더(6)에 형성된 가스 도입구(38)내를 상승하면서 흐른다. 그 다음 처리 가스는 가로방향으로, 즉 수평방향으로 유출하여, 각 웨이퍼(W) 사이를 통과하면서 웨이퍼(W) 상에서 분해반응이 발생하게 한다. 그후, 처리 가스는 다른 측면의 가스 흡인구(40)로 흘러, 가스 출구(42) 및 배기구(30)를 통해 처리 용기(4)로부터 배출된다.
처리 가스가 웨이퍼 사이에 통과하는 때에, SiH4가스는 분해반응하여 SiH4막이 웨이퍼(W)상에 형성된다. 여기서, 두꺼운 측벽(32)의 내면과 웨이퍼 둘레가장자리부 사이의 간격(L3)은 너무 작아서[두꺼운 측벽(32)의 내면과 웨이퍼 둘레가장자리부는 너무 근접하여], 가스 도입구(38)의 배기 컨턱턴스가 작다. 반면, 얇은 측벽(34)의 내면과 웨이퍼 둘레가장자리부 사이의 간격(L4)은 너무 커서[두꺼운 측벽(32)의 내면과 웨이퍼 둘레가장자리부는 너무 떨어져 있어서], 가스 흡인구(40)의 배기 컨턱턴스가 크다. 결과적으로, 가스 도입구(38)와 가스 흡인구(40) 사이에 큰 차압력이 생긴다. 그러므로, 처리 가스는 웨이퍼(W)의 바깥둘레를 우회하지 않고, 웨이퍼 사이로 적극적으로 흐르게 된다. 더욱이, 처리 가스는 웨이퍼 면상을 신속히 통과하게 된다.
결과적으로, 처리 가스는 효과적으로 웨이퍼 사이를 흐르게 되고, 처리 가스가 웨이퍼 사이를 통과하고 체류하는 체류시간은 매우 짧게된다. 그러므로, 처리 가스가 고온에 노출되는 시간이 매우 짧아서, 기상의 반응이 억제되고, 표면 반응에 의한 성막 반응이 향상된다. 그러므로, 처리 가스의 유량이 많게 하고, 처리 압력이 종래 방법의 경우(최대 1. 5 Torr 정도)보다도 높게 할지라도, 표면반응은 주요 반응이 되고, 품질이 양호한 막이 퇴적될 수 있고, 생산성이 향상될 수 있다.
상술한 결과는 SiH4의 분해반응을 참조하여 시험된다. SiH4는 열분해에 의해 웨이퍼상의 Si 결정과 직접 분해반응하지만, SiH4는 열분해에 의해 SiH2를 구성하는 중간 생성물을 거쳐 Si 결정으로 분해될 수 있다. 반도체 웨이퍼들 사이의 SiH4및 SiH2농도는 도 4에 도시된다. 도 4에 나타낸 바와 같이, 열분해는 SiH4농도가 도입될 때 개시한다. 그 다음, SiH4농도는 점차적으로 감소하지만, SiH2농도는 점차로 증가한다. 어느 정도의 시간이 경과한 후, SiH4농도 및 SiH2농도가 모두 포화상태로 된다, 즉, 거의 일정하게 된다.
종래의 경우에 있어서는, 처리 가스는 매우 낮은 속도로 웨이퍼 사이를 흐른다. 그러므로, 웨이퍼 사이의 처리 가스의 조건은 도 4의 영역 (A)에 대응한다. 즉, 기상의 SiH2농도는 매우 높고, SiH2가 결정화된 Si 결정 막의 스텝 커버리지는 양호하지 않다. 즉, 종래의 경우에, 처리 압력은 기상반응이 촉진되어 SiH2에서 Si 결정화하는 것을 억제하기 위해서, 처리 압력을 낮추지 않으면 안되었지만, 그러나, 본 발명의 경우에, 웨이퍼 사이로 흐르는 처리 가스의 속도가 증가된다, 예를 들어, 처리 가스가 웨이퍼 사이의 통과 및 체류하는 체류시간은 매우 짧다. 그러므로, 웨이퍼 사이의 처리 가스의 조건은 도 4의 영역(B)에 대응한다. 즉, 기상의 SiH2농도는 꽤 낮게 된다. 그러므로, 예를 들어, 처리 가스의 공급량을 많게 함으로써, 처리 압력을 증가시킬지라도, 기상의 SiH2농도는 증가되지 않고, 기상 반응은 촉진되지 않는다. 그러므로, 표면반응이 주요 반응으로 되고, 품질이 양호한 막은 높은 성막 비율로 퇴적될 수 있다.
그 다음, 웨이퍼의 처리 가스의 속도에 관련하여, 종래 장치의 경우와 본 발명장치의 경우의 시뮬레이션 결과가 비교된다.
도 5는 종래 장치의 반도체 웨이퍼 상의 처리 가스의 유속분포에 관한 시뮬레이션을 도시한 도면이다. 도 6A는 본 발명의 제 1 실시예의 반도체 웨이퍼 상의 처리 가스의 유속분포에 관한 시뮬레이션 모델의 설명도이다. 도 6B는 본 발명의 제 1 실시예의 반도체 웨이퍼 상의 처리 가스의 유속분포에 관한 시뮬레이션 모델의 설명도이다.
각각의 도 5, 도 6A, 및 6B는 웨이퍼의 절반의 영역을 도시한다. 종래의 장치 및 제 1 실시예의 처리 조건은 동일하다. 공통의 처리 온도는 530℃이고, 처리 압력은 1.0 Torr이며, 처리 가스의 유량은 500 sccm이다. 도 5 및 6B에 도시한 화살표의 길이는 각각의 지점에서의 처리 가스의 속도를 표시하고 있다.
도 5에 나타낸 바와 같이, 도 5의 화살표는 거의 점과 같이 보인다, 즉, 종래의 장치에 의한 처리 가스의 속도는 대단히 느리다. 반면, 도 6B에 나타낸 바와 같이, 도 6 내의 화살표는 상당히 길다, 즉, 제 1 실시예에 의한 처리 가스의 속도는 예컨대 0.04 m/sec로 상당히 빠르다.
종래 장치와 제 1 실시예의 막질의 양부 및 성막 비율의 압력 의존성은 실제로 측정되었다. 그 결과에 관해서는 도 7을 참조하여 이하, 설명한다. 여기서, 종래 장치와 본 발명의 제 1 실시예의 막질은 각각 처리 압력이 각각 1.0 Torr 및 3.0 Torr에서 측정되었다. 다른 처리 조건은 동일하고, 즉, 처리 온도는 530℃이고, SiH4유량은 500 sccm 이다. 도 7에 있어서, 사선의 부분은 품질이 양호한 SiH4분해된 층(SiH4농도가 결정화된 Si 결정층)을 나타내고, 백색 부분은 품질이 불량인 SiH2분해된 층(SiH2농도가 결정화된 Si 결정층)을 나타낸다. 각 그래프상의 수치는 전체의 성막 비율에 대하여 SiH2분해된 층의 성막 기여율을 표시하고 있다.
도 7에 도시한 바와 같이, 처리 압력이 낮은 1.0 Torr의 경우에는, 종래 장치 및 본 발명의 제 1 실시예는 성막 비율이 약 15Å/분으로 낮다. 즉, 종래 장치와 본 발명의 제 1 실시예에 의한 성막 비율의 기본적인 차이는 없다. 처리 압력이 3.0 Torr의 경우에는, 종래 장치 및 본 발명의 제 1 실시예의 성막 비율은 각각 36Å/분 및 28Å/분이다. 즉, 성막 비율은 모두 높다. 이 경우, 종래 장치에 의한 성막 비율은 제 1 실시예에 비해 높지만, 종래의 장치에 의해 품질이 떨어지는 SiH2분해된 층의 기여도가 36.5%로 비교적 높다. 반면, 제 1 실시예의 경우에는 24.3%으로 비교적 낮다. 결과적으로 제 1 실시예에 의한 막질이 종래 장치에 의한 막질보다 우수한 것으로 판명되었다.
그 다음, 본 발명의 제 1 실시예의 열처리 장치 사용하여 처리 가스유량에 대하는 막질의 의존성에 관해서 설명한다. 결과는 도 8을 참조하여 설명한다.
여기서, 본 발명의 제 1 실시예의 열처리 장치는, 처리 온도가 530℃, 처리 압력이 3 Torr로 고정한다. SiH4유량은 500 sccm, 1000 sccm 및 1500 sccm으로 변화시키었다.
도 8에서 알 수 있는 바와 같이, SiH4유량이 증가함에 따라, 성막 비율은 점차로 조금씩 낮아진다. 이와 동시에 전체의 성막 비율에 대하는 품질이 뒤떨어지는 SiH2분해된 층의 기여율이, 24.3%, 14.9% 및 10.1%로 현저하게 감소하고 있다. 따라서, 전체의 성막 비율은 조금 떨어질지라도, 품질이 우수한 막을 얻는 수 있기 때문에, SiH4농도의 유량을 증가시키는 것이 바람직한 것으로 판명되었다.
그 다음, SiH4유량에 대한 SiH2농도의 처리 압력 의존성이 검토되었다. 결과는 도 9를 참조하여 설명한다.
여기서, 본 발명의 제 1 실시예의 열처리 장치는 처리 온도를 530 ℃로 고정시키고, SiH4농도의 유량을 변화시키었다. 또한, 처리 압력은 3 Torr, 4.5 Torr, 7 Torr에 대해서 검토하였다.
도 9에서와 같이, SiH4유량을 증가시킴에 따라, SiH2의 농도(SiH4로부터의 성막 비율)는 저하하고, 즉, 바람직하게 된다. 도 7에 있어서, 동일 조건의 복수의 데이터는 웨이퍼 보트(26)내의 상부 웨이퍼, 중간 웨이퍼, 하부 웨이퍼에 각각 대응한다.
도 9에 도시한 바와 같이, 처리 압력이 3 Torr, 4.5 Torr, 및 7 Torr인 경우의 각각의 성막 비율은 종래의 조건 보다 현저히 높다. 더욱이, 처리 압력이 높으면 높을수록, 성막 비율은 높아진다.
그러므로, SiH2의 농도를 허용치, 예컨대 10.5%(이 값에 대해서는 후술한다)이하로 억제하기 위해서는, SiH4의 유량은 처리 압력이 3 Torr인 경우에는 약1700 sccm 이상으로 설정해야 하고, 처리 압력이 4.5 Torr인 경우에는 약2700 sccm이상으로 설정해야 하며, 처리 압력이 7 Torr인 경우에는 4100 sccm 이상으로 설정해야 한다.
SiH2농도의 허용치가 10.5% 이하로 해야하는 이유는, 도 10에 나타내는 SiH2농도와 스텝 커버리지(홀 직경: cc 4㎛, 깊이: 1㎛) 사이의 관계를 나타내는 스텝 커버리지를 97% 이상으로 설정하기 위해, SiH의 농도를 10.5% 이하로 해야 한다.
본 발명의 제 1 실시예의 부재의 치수, 가스 도입구(38)의 형상, 가스 흡인구(40)의 형상 등은 단지 한 예를 나타낸 것에 지나가지 않고, 이에 한정되지 않는다. 예를 들어, 복수의 가스 도입구(38)는 두꺼운 측벽(32)내에 형성될 수 있다. 또한, 두꺼운 측벽(32)의 둘레방향의 길이는 더욱 길게 할 수 있고, 가스 흡인구(40)의 둘레방향의 길이는 짧게 할 수 있다.
또한, 이상 설명한 본 발명의 제 1 실시예의 열처리 장치에 있어서, 웨이퍼 보트(26)는 일반적인 것이고, 처리 용기(4)는 2중 실린더를 포함하고, 가스 도입구(38) 및 가스 흡인구(40)는 처리 용기(4)의 내측 실린더(6)의 형상을 고안함으로써, 형성된다. 그러나, 상술한 바는, 이에 한정되지 않는다. 예를 들어, 후술한 본 발명의 제 2 실시예에서와 같이, 처리 용기는 단일 실린더를 포함하고, 가스 도입구 및 가스 흡인구는 단일 실린더내에 형성되며, 웨이퍼 보트의 형상은 웨이퍼의 바깥둘레로 우회하는 처리 가스를 방지하여, 처리 가스가 웨이퍼 사이로 효율적으로 통과시키도록 한다.
도 11은 이러한 본 발명에 따른 제 2 실시예의 열처리 장치의 종단면도를 도시한 도면이다. 도 12는 도 11의 B-B 선을 따라 절취하여 도시한 횡단면도이다. 도 13은 피처리체 지지구로서 웨이퍼 보트의 사시도이다. 도 14는 도 13에 도시한 웨이퍼 보트의 부분단면 사시도이다. 도 15는 처리 용기의 부분단면 사시도이다. 도 16은 처리 가스의 공급계를 도시한 블럭도이다. 제 2 실시예에 있어서, 상술한 본 발명의 제 1 실시예의 동일부분에는 동일부호를 붙이고 설명을 생략한다.
도 11 내지 도 16에 도시한 바와 같이, 열처리 장치(50)는, 단일 실린더의 처리 용기(52)를 갖는다. 처리 용기의 내부를 진공으로 하기 위한 배기구(54)가 설치된다. 제 1 실시예와 유사하게, L 자 형상으로 만곡된 가스 도입 노즐(16)은 다기관(10)을 관통한다. 각각의 가스 도입 노즐(16)은 가스관(56)에 접속되어 처리 용기(52)내로 처리 가스 또는 필요로 하는 가스를 공급하도록 되어 있다. 도 11에는 1개의 노즐(16)이 도시되었으나, 실제로는 복수개의 노즐(16)이 배열된다.
작은 컨턱턴스를 갖는 가스 도입구(58)는 처리 용기(52)의 한 측면상의 측벽에 형성된다. 처리 용기(52)의 다른 측면에는 큰 컨덕턴스를 갖는 가스 흡인구(60)는 측벽을 부분적으로 외측으로 관통시켜 형성된다. 구체적으로는 도 15에 도시한 바와 같이, 가스 도입구(58)는 (복수의 피처리체가 배열된) 수직 방향으로 분할된 3개의 영역(zone)에 각각 대응하는 3개의 영역 슬릿(zone-slit: 62, 64, 66)내로 분할된다. 복수의 영역 슬릿(62, 64, 66)의 각각은 처리 용기(52)의 측벽의 주변 영역[복수의 피처리체의 둘레방향(A, B, C)]에 각각 대응하는 3개의 슬릿-부품(62A∼62C, 64A∼64C, 66A∼66C)으로 분할된다. 즉, 가스 도입구(58)는 9개의 슬릿-부품(62A∼62C, 64A∼64C, 66A∼66C)으로 분할된다. 동일한 주변 영역에 속하는 예를 들어, 3개의 슬릿-부재(62A, 64A, 66A)는 둘레 방향으로 나란히 배열된다.
각각의 3개의 슬릿-부재(62A∼62 C, 64A∼64C, 66A∼66C)는 처리 용기(52)의 측벽을 통해 관통한다. 석영제의 3개의 통로 형성 부재(68)는 용접 등에 의해 처리 용기(52)의 측벽의 외측면 부분에 접합되고, 각각의 이 부분은 공통의 주변영역의 3개의 슬릿-부재(62A, 64A, 66A)에 대응한다. 각각의 통로 형성 부재(68)에는, 3개의 홈(70A, 70B, 70C)이 형성된다. 3개의 홈(70A, 70B, 70C)은 서로 다른 길이로 되어 있다. 홈(70A)의 슬릿-부재[62A∼62C{(영역-슬릿(62)}]의 레벨에 대응한다. 홈(70B)의 슬릿-부재[64A∼64C(영역-슬릿(64)]의 레벨에 대응한다. 홈(70C)의 슬릿-부재[66A∼66C(영역-슬릿(66)]의 레벨에 대응한다. 통로 형성 부재(68)는, 각 홈(70A)이 슬릿 부재(62A, 62B, 62C)와 연통하고, 각 홈(70B)이 슬릿 부재(64A, 64B, 64C)와 연통하며, 각 홈(70C)이 슬릿 부재(66A, 66B, 66C)와 연통하는 방식으로 처리 용기(52)의 외측 면에 접합한다. 각각의 가스 도입 노즐(16)은 각각의 슬릿 부재에 별개로 대응한다. 이 경우, 처리 용기(52)는 실효 높이가 900 mm이다. 각 슬릿-부재의 길이(높이)는 300 mm이고, 폭은 10 mm이다. 각 홈(70A∼70C)의 단면의 가로 길이 및 세로 길이 모두는 10 mm이다.
각 슬릿-부재(62A∼62C, 64A∼64C, 66A∼66C)로의 처리 가스 공급계가 도 16에 도시된다. 동일 높이(동일 영역)로 배열된 슬릿-부재, 즉, 동일 슬릿 영역에 속하는 슬릿-부재는 공통 가스관(56)에 접속된다. 3개의 영역에 대응하는 3개의 가스관(56) 각각은 유량 제어기(72) 및 개폐 밸브(74)경유하여 공통 처리 가스원(76)에 접속된다. 유량 제어기(72)는 예를 들어, 개별로 제어가능한 매스플로우 컨트롤러일 수 있다.
도 13및 도 14에 나타낸 바와 같이, 피처리체 지지구로서의 웨이퍼 보트(80)는, 천정판(82), 바닥판(84), 및 천정판(82)과 바닥판(84)사이에 접합된 2개의 판부재(86)로 구성되어 있다. 각각의 판부재(86)는 원호 형상의 단면을 갖는다. 웨이퍼(W)의 하부면 둘레가장자리부를 지지하기 위한 복수의 지지 돌기(88)는 각각의 판부재(86)의 내측면에 소정 높이로 형성된다. 예를 들어, 소정 높이는, 90∼l00매의 웨이퍼(W)가 소정의 피치로 다단으로 지지할 수 있도록 결정된다. 지지 돌기(88)는 지지홈으로 대체될 수 있다.
도 12에 도시한 바와 같이, 2장의 판부재(86)는 가스 흡인구(60)의 개구폭(L8)에 대응하는 거리만큼 서로 이격되어 있다. 또한, 2 매의 판부재(86) 및 가스 흡인구(6)의 개구의 약 반원을 거의 차지한다. 판부재(86)의 두께(L6)는 예컨대 5∼7 mm로 비교적 두껍다. 판부재(86)와 처리 용기(52) 사이의 두께(L7)는 예를 들어 2∼5mm로 비교적 얇다. 그러므로, 처리 가스가 웨이퍼(W)의 주변으로의 우회하는 것을 억제한다, 즉, 웨이퍼(W)의 주변의 외측면 영역을 통과한다. 또한, 각각의 판부재(86)의 가스 흡인구로부터 먼 선단(86A)은 처리 가스의 우회 방향으로 웨이퍼 중심측으로 경사진 형상을 갖는다. 그러므로, 웨이퍼의 바깥둘레로 우회하고자 하는 처리 가스는 효율적으로 웨이퍼들 사이로 유도된다.
웨이퍼가 8 인치인 경우, 가스 흡인구(60)의 단면적의 폭(L8) 및 깊이(L9)는 각각 약 50∼100 mm 및 25∼50mm 이다. 그러나, 본 발명은 이 값에 제한되지 않는다.
다음에, 본 발명의 제 2 실시예를 사용하는 성막 방법에 관해서 도 17A∼도 19B도 참조하여 설명한다. 도 17A 및 17B는 제 2 실시예의 하단 부분에서의 처리 가스의 흐름을 도시한 횡단면도이다. 도 18A 및 18B는 제 2 실시예의 중단 부분에서의 처리 가스의 흐름을 도시한 횡단면도이다. 도 19A 및 19B는 제 2 실시예의 상단 부분에서의 처리 가스의 흐름을 도시한 횡단면도이다.
도 11에 나타낸 바와 같이, 성막시에 처리 가스원(76)(도 16 참조)부터 공급된 처리 가스는 각각 대응하는 노즐(16)을 통해 통로구(70A∼70C) (도 15참조)로 흐른다. 각 영역으로 공급된 처리 가스의 유량은 적절히 제어된다. 처리 가스는 각각의 통로구 위쪽으로 흘러, 슬릿-부재(62A∼62C, 64A∼64C, 66A∼66C)로부터[가스 도입구(58)로부터] 처리 용기(52)내로 방출된다. 이 방출된 처리 가스는 웨이퍼(W) 사이를 통과하여, 가스 흡인구(60)로 흘러, 가스 흡인구(60)의 아래로 흐르며, 배기구(54)로부터 외부로 배출된다.
각각의 영역 슬릿(62, 64, 및 66)[슬릿 부재(62A∼62C, 64A∼64C, 66A∼66C)내로 공급된 처리 가스량 가스 흡인구(60)에 입력된 배출구(54)에 근접한 부분에서의 가스 농도는 보다 엷게된다. 반면, 배출구(54)로부터 먼 부분에서의 가스 농도는 짙게된다. 이 경우, 웨이퍼들간의 성막 두께의 균일성을 보장하는 것은 어렵다. 즉, 배출구(54)에 근접 부분에서 웨이퍼(W)상에 형성된 막 두께는 배출구(54)로부터 먼 부분에서 다른 웨이퍼(W)상에 형성된 막 두께보다 얇을 수 있다. 이러한 문제점을 해결하기 위해, 배출구(54)에 비교적 가까운 슬릿-부재(66A∼66C)내로 공급된 처리 가스량이, 배출구(54)에서 비교적 먼 슬릿-부재(64A∼64C)내로 공급된 처리 가스량보다 많아지도록 각각의 영역에 대응하는 유량-제어기(72)를 조절하는 것이 바람직하다. 유사하게, 배출구(54)에 비교적 가까운 슬릿-부재(64A∼64C)내로 공급된 처리 가스량이, 배출구(54)에서 비교적 먼 슬릿-부재(62A∼62C)내로 공급된 처리 가스량보다 많아지도록 각각의 영역에 대응하는 유량-제어기(72)를 조절하는 것이 바람직하다. 즉, 처리 가스량은 슬릿-부재(62A∼62C)내로 공급된 처리 가스량, 슬릿-부재(64A∼64C)내로 공급된 처리 가스량, 슬릿-부재(66A∼66C)내로 공급된 처리 가스량 순으로 많아진다. 즉, 슬릿-부재가 배출구(54)에 비교적 가까운 경우, 슬릿-부재내로 공급된 처리 가스량이 보다 많아지도록 하는 것이 바람직하다. 그러므로, 처리 가스 농도는 배출구(54)로 부터의 거리에 무관하게 처리 용기(52)내에서 균일할 수 있으므로, 웨이퍼(W)상에 형성된 막의 두께는 웨이퍼간의 균일할 수 있다.
제 2 실시예에 있어서, 제 1 실시예와 유사하게, 가스 도입구(58)는 작은 배출 컨덕턴스를 갖고, 가스 흡인구(60)는 큰 배출 컨덕턴스를 갖는다. 그러므로, 가스 도입구(58)와 가스 흡인구(60)사이에는 큰 압력 차가 발생한다. 그러므로, 처리 가스는 웨이퍼들 사이에 효과적으로 흘러, 성막 처리가 SiH4의 열분해에 의해 효율적으로 수행된다.
특히, 제 2 실시예에 있어서, 웨이퍼 보트(86)를 형성하는 2개의 판부재(86)가 처리 용기의 측벽의 내측면에 매우 가까이 배열되었기 때문에, 판부재(86)는 처리 가스가 웨이퍼의 주변으로 우회하는 것을 방지하고, 웨이퍼들 사이로 처리가스를 유도하는 방해판(baffle plates)으로서 기능한다. 또한, 판부재(86)의 측면모서리(86A)가 경사져 있기 때문에, 웨이퍼의 주변으로 우회하는 처리 가스는 웨이퍼의 중심영역으로 보다 효과적으로 유도된다. 그러므로, 제 1실시예에 비해, 처리 가스는 대부분의 처리 가스가 웨이퍼들 사이로 통과하기 때문에 보다 효과적이다. 즉, 전체 공급된 처리 가스는 감소된다.
또한, 도 17A 내지 도 19B에 나타낸 바와 같이, 처리 가스가 수직 방향으로 분할된 3개의 영역, 둘레방향으로 환상으로 분할된 3개의 영역으로부터 공급되기 때문에, 처리 가스는 각 웨이퍼상에 보다 균일하게 공급된다, 그러므로, 웨이퍼내의 막 두께 균일도 및 웨이퍼간의 막 두께 균일도는 각각 높은 레벨로 유지될 수 있다. 이것은 도 20A 및 20B를 참조하여 설명된 시뮬레이션에 의해 검사되었다. 도 20A는 본 발명의 제2 실시예의 반도체 웨이퍼내상에 처리 가스의 유속 분포에 대한 시뮬레이션 모델의 설명도이다. 도 20B는 본 발명의 제 2 실시예의 반도체 웨이퍼사의 처리 가스의 유속 분포에 대한 시뮬레이션 결과의 설명도이다. 도 6B와 유사하게, 도시된 화살표의 길이는 이 지점에서 처리 가스의 유속을 나타낸다. 도 6B에 도시한 제 1 실시예의 결과에 있어서, 현저하게 많은 처리 가스는 웨이퍼의 주변 모서리와 처리 용기의 내측면사이에 밀집되어 흐른다. 한편, 도 20B도에 도시한 제 2 실시예의 결과에 있어서, 판부재(86)는 처리가스가 웨이퍼의 주변 외측으로의 통과를 방지하게 함으로써, 소량의 처리 가스가 웨이퍼 주변으로 우회하고, 대부분의 처리 가스는 웨이퍼 상으로 흐른다. 즉, 처리 가스가 제 2 실시예에서 보다 효과적으로 사용될 수 있는 것으로 판명되었다. 또한, 제 2 실시예내의 처리 가스의 유속는 도 6B에 도시한 제1 실시예의 0.04m/sec 보다 현저히 높은 약 0.15m/sec이다. 그러므로, 처리 가스의 필요 가스 유량은 1/4정도로 감소시킬 수 있다.
또한, 제 2 실시예에 있어서, 가스 도입구(58)는 3 개의 영역 슬릿(62, 64, 66)으로 분할되고, 각각의 영역 슬릿은 3 개의 슬릿 부재(62A∼62C, 64A∼64C, 66A∼66C)로 분할된다. 그러나, 가스 도입구(58)는 임의 수의 영역 슬릿으로 분할될 수 있고, 각각의 영역 슬릿은 임의 수의 슬릿부재로 분할될 수 있다.
또한, 열처리는 폴리실리콘막, 아모퍼스막, 등을 형성하기 위한 성막 처리에 제한되지 않지만, 산화 확산 처리, 어닐 처리 등의 다른 열처리에도 적용할 수 있는 것은 물론이다.
또한, 피처리체로서는, 반도체 웨이퍼에 한정되지 않고, LCD기판, 유리기판 등에도 적용할 수 있다.
이상 설명한 바와 같이, 본 발명의 열처리 방법 및 열처리 장치에 의하면, 다음과 같은 장점이 얻어질 수 있게 된다.
우선, 처리 가스가 작은 컨덕턴스를 갖는 가스 도입구로부터 큰 컨덕턴스를 갖는 가스 흡인구로 흐르기 때문에, 처리 가스는 피처리체들 사이로 효과적으로 흐르게 된다. 그러므로, 처리 가스에 의한 열처리가 향상되어 열처리 비율이 보다 높아질 수 있다. 예를 들어, 열처리가 성막 공정인 때, 품질이 우수한 막이 높은 성막 비율로 형성될 수 있다.
가스 도입구가, 복수의 피처리체가 배열된 방향으로 분할된 복수의 영역에 각각 대응하는 복수의 영역 슬릿으로 분할되고, 각각의 영영 슬릿 내로 공급된 처리가스의 유량이 개별적으로 제어되는 경우, 처리 가스는 복수의 피처리체가 배열된 방향으로 거의 균일하게 공급될 수 있다. 그러므로, 웨이퍼 간의 열처리의 균일성은 개선될 수 있다.
가스 도입구가, 복수의 피처리체의 주변 방향으로 환형으로 분할된 복수의 영역에 각각 대응하는 복수의 영역 슬릿으로 분할되는 경우, 처리 가스는 복수의 피처리체가 배열된 방향으로 거의 균일하게 공급될 수 있다. 그러므로, 각각의 웨이퍼내의 열처리의 균일성은 개선될 수 있다.
방해판으로 작용하는 판부재가, 피처리체의 주변의 외측면으로 흐르는 처리 가스를 방지하기 위해 제공되는 경우, 보다 많은 처리 가스가 웨이퍼들 사이로 흐른다. 즉, 열처리의 성막 비율이 개선될 수 있다.

Claims (17)

  1. 복수의 피처리체가 소정의 피치로 배열되도록 복수의 피처리체를 지지할 수 있는 피처리체 지지구,
    상기 피처리체 지지구를 수용하고, 내측면이 진공 상태로 된 처리 용기,
    상기 피처리체 지지구에 의해 지지된 상기 복수의 피처리체의 주변 영역중 한 부분에 설치되어 작은 컨덕턴스를 갖고, 상기 복수의 피처리체가 배열된 방향으로 연장되어, 열처리용 처리가스를 상기 처리 용기로 공급하는 가스 도입구,
    상기 피처리체 지지구에 의해 지지된 상기 복수의 피처리체의 주변 영역중 다른 부분에 설치되어 큰 컨덕턴스를 갖고, 상기 복수의 피처리체가 배열된 방향으로 연장하는 가스 흡인구, 및
    상기 피처리체 지지구를 상기 처리 용기의 내외로 로드 및 언로드하는 로딩 기구
    를 포함하는 것을 특징으로 하는 열처리 장치.
  2. 제 1 항에 있어서,
    상기 처리 용기는 피처리체 지지구를 수용하기 위한 내측 실린더 및 상기 내측 실린더를 둘러싸는 외측 실린더를 갖고,
    상기 가스 도입구 및 가스 흡인구는 상기 내측 실린더내에 형성된 것을 특징으로 하는 열처리 장치.
  3. 제 1 항에 있어서,
    상기 가스 도입구는, 상기 처리 용기의 측벽의 일부분을 따라 형성되고,
    상기 가스 흡인구는 상기 처리 용기의 측벽의 다른 부분을 바같쪽을 우회함으로써, 형성되는 것을 특징으로 하는 열처리 장치.
  4. 제 1 항에 있어서, 상기 도입구는, 상기 복수의 피처리체가 배열된 방향으로 분할된 복수의 영역에 각각 대응하는 복수의 영역 슬릿으로 분할되는 것을 특징으로 하는 열처리 장치.
  5. 제 4 항에 있어서, 상기 복수의 영역 슬릿은 상기 복수의 영역에 각각 대응하는 복수의 유량 제어기에 각각 접속되는 것을 특징으로 하는 열처리 장치.
  6. 제 4 항에 있어서, 상기 복수의 영역-슬릿 각각은 상기 복수의 피처리체의 복수의 주변영역에 각각 대응하는 복수의 슬릿 부품으로 분할되는 것을 특징으로 하는 열처리 장치.
  7. 제 5 항에 있어서, 상기 복수의 영역-슬릿 각각은 상기 복수의 피처리체의 복수의 주변영역에 각각 대응하는 복수의 슬릿 부품으로 분할되는 것을 특징으로 하는 열처리 장치.
  8. 제 1 항에 있어서, 상기 가스 도입구는 상기 복수의 피처리체의 복수의 주변영역에 각각 대응하는 복수의 슬릿 부품으로 분할되는 것을 특징으로 하는 열처리 장치.
  9. 제 1 항에 있어서, 상기 기판 지지구는 상기 기판 흡인구의 양측면상의 상기 복수의 주변 부분과 접촉하게 하는 판부재를 갖고, 상기 판부재는 원호 단면 및 상기 복수의 피처리체의 방사 방향으로 상기 복수의 피처리체주변 부분으로부터 연장하는 소정 두께를 갖는 것을 특징으로 하는 열처리 장치.
  10. 복수의 피처리체가 소정의 피치로 배열되도록 상기 복수의 피처리체를 지지하는 단계, 및
    가스 도입구로부터 가스 흡인구로 열처리용 처리 가스를 공급하여 상기 처리 가스가 상기 복수의 피처리체중 소정의 이웃하는 2개의 피처리체 사이로 통과하게 하여, 상기 가스 도입구가 상기 복수의 피처리체의 주변 영역중 일부분에 설치되어 작은 컨덕턴스를 갖고, 상기 복수의 피처리체가 배열된 방향으로 연장되고, 상기 가스 흡인구가 상기 복수의 피처리체의 주변 영역중 다른 부분에 설치되어 큰 컨덕턴스를 갖고, 상기 복수의 피처리체가 배열된 방향으로 연장하는 단계
    를 포함하는 것을 특징으로 하는 열처리 방법.
  11. 제 10 항에 있어서, 상기 복수의 피처리체가 배열된 방향으로 분할된 복수의 영역에 각각 대응하는 복수의 영역 슬릿으로 분할되는 것을 특징으로 하는 열처리 방법.
  12. 제 11 항에 있어서, 상기 복수의 영역 슬릿의 각각에 공급된 열처리 용 처리 가스의 유량은 개별적으로 제어되는 것을 특징으로 하는 열처리 방법.
  13. 제 12 항에 있어서, 상기 복수의 영역 슬릿의 각각에 공급된 열처리 용 처리 가스의 유량은, 영역 슬릿이 상기 가스 흡인구에 접속된 배출구에 근접할 때, 상기 유량이 많아지도록 제어되는 것을 특징으로 하는 열처리 방법.
  14. 복수의 피처리체가 소정의 피치로 배열되도록 상기 복수의 피처리체를 지지하는 단계, 및
    가스 도입구로부터 가스 흡인구로 성막 처리용 처리 가스를 공급하여 상기 처리 가스가 상기 복수의 피처리체중 소정의 이웃하는 2개의 피처리체 사이로 통과하게 하여, 상기 가스 도입구가 상기 복수의 피처리체의 주변 영역중 일부분에 설치되어 작은 컨덕턴스를 갖고, 상기 복수의 피처리체가 배열된 방향으로 연장되고, 상기 가스 흡인구가 상기 복수의 피처리체의 주변 영역중 다른 부분에 설치되어 큰 컨덕턴스를 갖고, 상기 복수의 피처리체가 배열된 방향으로 연장하는 단계
    를 포함하는 것을 특징으로 하는 성막 처리 방법.
  15. 제 14 항에 있어서, 상기 복수의 피처리체가 배열된 방향으로 분할된 복수의 영역에 각각 대응하는 복수의 영역 슬릿으로 분할되는 것을 특징으로 하는 성막 처리 방법.
  16. 제 15 항에 있어서, 상기 복수의 영역 슬릿의 각각에 공급된 성막 처리용 처리 가스의 유량은 개별적으로 제어되는 것을 특징으로 하는 성막 처리 방법.
  17. 제 16 항에 있어서, 상기 복수의 영역 슬릿의 각각에 공급된 성막 처리용 처리 가스의 유량은, 영역 슬릿이 상기 가스 흡인구에 접속된 배출구에 근접할 때, 상기 유량이 많아지도록 제어되는 것을 특징으로 하는 성막 처리 방법.
KR1020000019574A 1999-04-14 2000-04-14 성막처리방법, 열처리 방법 및 열처리 장치 KR100604019B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP10730499A JP4045689B2 (ja) 1999-04-14 1999-04-14 熱処理装置
JP107304 1999-04-14

Publications (2)

Publication Number Publication Date
KR20000071699A true KR20000071699A (ko) 2000-11-25
KR100604019B1 KR100604019B1 (ko) 2006-07-24

Family

ID=14455708

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000019574A KR100604019B1 (ko) 1999-04-14 2000-04-14 성막처리방법, 열처리 방법 및 열처리 장치

Country Status (3)

Country Link
US (1) US6444262B1 (ko)
JP (1) JP4045689B2 (ko)
KR (1) KR100604019B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101504910B1 (ko) * 2011-02-09 2015-03-23 도쿄엘렉트론가부시키가이샤 성막 장치

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
JP2005056908A (ja) * 2003-08-05 2005-03-03 Hitachi Kokusai Electric Inc 基板処理装置
US20050098107A1 (en) * 2003-09-24 2005-05-12 Du Bois Dale R. Thermal processing system with cross-flow liner
US20070137794A1 (en) * 2003-09-24 2007-06-21 Aviza Technology, Inc. Thermal processing system with across-flow liner
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
JP2005302908A (ja) * 2004-04-09 2005-10-27 Renesas Technology Corp 半導体装置の製造方法
JP2006176826A (ja) * 2004-12-22 2006-07-06 Canon Anelva Corp 薄膜処理装置
US8039049B2 (en) * 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7785418B2 (en) * 2005-12-28 2010-08-31 Macronix International Co., Ltd. Adjusting mechanism and adjusting method thereof
JP4857849B2 (ja) * 2006-03-24 2012-01-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20070240644A1 (en) * 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
JP5157100B2 (ja) * 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
JP2008172205A (ja) * 2006-12-12 2008-07-24 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法、および反応容器
US20080173238A1 (en) * 2006-12-12 2008-07-24 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and reaction vessel
JP5082595B2 (ja) * 2007-05-31 2012-11-28 東京エレクトロン株式会社 成膜装置
JP5284182B2 (ja) * 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5222652B2 (ja) * 2008-07-30 2013-06-26 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9068263B2 (en) * 2009-02-27 2015-06-30 Sandvik Thermal Process, Inc. Apparatus for manufacture of solar cells
JP2011195863A (ja) * 2010-03-18 2011-10-06 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置及び原子層堆積方法
JP2012004408A (ja) * 2010-06-18 2012-01-05 Tokyo Electron Ltd 支持体構造及び処理装置
TWI562204B (en) 2010-10-26 2016-12-11 Hitachi Int Electric Inc Substrate processing apparatus, semiconductor device manufacturing method and computer-readable recording medium
JP2012195565A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法
JP5702657B2 (ja) * 2011-04-18 2015-04-15 東京エレクトロン株式会社 熱処理装置
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
KR101364701B1 (ko) * 2011-11-17 2014-02-20 주식회사 유진테크 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
KR101408084B1 (ko) * 2011-11-17 2014-07-04 주식회사 유진테크 보조가스공급포트를 포함하는 기판 처리 장치
KR101371435B1 (ko) * 2012-01-04 2014-03-12 주식회사 유진테크 처리유닛을 포함하는 기판 처리 장치
CN104178806A (zh) * 2014-08-20 2014-12-03 中国科学院半导体研究所 悬挂式双面外延生长装置
JP6468901B2 (ja) 2015-03-19 2019-02-13 東京エレクトロン株式会社 基板処理装置
JP6435967B2 (ja) * 2015-03-31 2018-12-12 東京エレクトロン株式会社 縦型熱処理装置
KR101715192B1 (ko) * 2015-10-27 2017-03-23 주식회사 유진테크 기판처리장치
WO2017138087A1 (ja) * 2016-02-09 2017-08-17 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP2019047027A (ja) * 2017-09-05 2019-03-22 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0164928A3 (en) 1984-06-04 1987-07-29 Texas Instruments Incorporated Vertical hot wall cvd reactor
US4792890A (en) 1985-12-31 1988-12-20 International Business Machines Corp. Method for resolving conflicts between interrupt sources sharing the same priority level
JPH0682626B2 (ja) 1987-10-22 1994-10-19 日本電気株式会社 気相成長装置
JPH0732137B2 (ja) 1988-02-29 1995-04-10 東京エレクトロン東北株式会社 熱処理炉
JPH01228123A (ja) * 1988-03-09 1989-09-12 Fujitsu Ltd 半導体装置用処理装置
JP2727090B2 (ja) 1988-09-29 1998-03-11 東京エレクトロン株式会社 縦型熱処理装置
JPH0760120B2 (ja) 1989-09-30 1995-06-28 アンリツ株式会社 光パワーセンサ
JPH04139820A (ja) 1990-10-01 1992-05-13 Nec Corp 縦型減圧cvd装置
JP3067350B2 (ja) * 1991-12-03 2000-07-17 日本電気株式会社 縦型減圧化学気相成長装置
JPH05251391A (ja) 1992-03-04 1993-09-28 Tokyo Electron Tohoku Kk 半導体ウエハーのプラズマ処理装置
JP3250628B2 (ja) * 1992-12-17 2002-01-28 東芝セラミックス株式会社 縦型半導体熱処理用治具
JP3348936B2 (ja) * 1993-10-21 2002-11-20 東京エレクトロン株式会社 縦型熱処理装置
JPH0722319A (ja) 1993-06-30 1995-01-24 Kawasaki Steel Corp 減圧cvd装置
US5902103A (en) * 1995-12-29 1999-05-11 Kokusai Electric Co., Ltd. Vertical furnace of a semiconductor manufacturing apparatus and a boat cover thereof
JP2973971B2 (ja) 1997-06-05 1999-11-08 日本電気株式会社 熱処理装置及び薄膜の形成方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101504910B1 (ko) * 2011-02-09 2015-03-23 도쿄엘렉트론가부시키가이샤 성막 장치

Also Published As

Publication number Publication date
JP4045689B2 (ja) 2008-02-13
JP2000299287A (ja) 2000-10-24
US6444262B1 (en) 2002-09-03
KR100604019B1 (ko) 2006-07-24

Similar Documents

Publication Publication Date Title
KR100604019B1 (ko) 성막처리방법, 열처리 방법 및 열처리 장치
KR0155151B1 (ko) 반응처리 장치 및 방법
KR100372956B1 (ko) 성막장치
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
US6248672B1 (en) Method of producing a semiconductor device in a heating furnace having a reaction tube with a temperature-equalizing zone
TWI325600B (ko)
KR101852233B1 (ko) 성막 방법
US20010015261A1 (en) Processing method and apparatus for removing oxide film
KR20010034921A (ko) 정화 가스 채널과 펌핑 시스템을 갖는 기판 지지 부재
JPH04264715A (ja) 縦型バッチ処理装置
JP2003504883A (ja) 半導体ウエハ上に窒化珪素層を形成するための方法
EP1001454B1 (en) Surface treatment method
JP2002176052A (ja) 被処理体の酸化方法及び酸化装置
JP2000208498A (ja) 表面処理方法及びその装置
JPH021116A (ja) 熱処理装置
JP2000311862A (ja) 基板処理装置
JPH10321556A (ja) 成膜方法
KR20030074418A (ko) 기판 처리 방법 및 장치
JP3056241B2 (ja) 熱処理装置
JP4561793B2 (ja) 熱処理方法
JP2004273605A (ja) 基板処理装置
JPH05190471A (ja) 成膜処理装置
JP4620288B2 (ja) バッチ式熱処理装置
JP2002025914A (ja) 基板処理装置
JPH1050615A (ja) 枚葉式気相成長装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120621

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130621

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee