KR102519095B1 - 기판 온도를 변경하기 위한 기판 트레이를 이용한 사전-세정 챔버 및 공정 - Google Patents

기판 온도를 변경하기 위한 기판 트레이를 이용한 사전-세정 챔버 및 공정 Download PDF

Info

Publication number
KR102519095B1
KR102519095B1 KR1020160028788A KR20160028788A KR102519095B1 KR 102519095 B1 KR102519095 B1 KR 102519095B1 KR 1020160028788 A KR1020160028788 A KR 1020160028788A KR 20160028788 A KR20160028788 A KR 20160028788A KR 102519095 B1 KR102519095 B1 KR 102519095B1
Authority
KR
South Korea
Prior art keywords
substrate
cooling body
substrate tray
tray
halogen
Prior art date
Application number
KR1020160028788A
Other languages
English (en)
Other versions
KR20160110218A (ko
Inventor
존 톨레
알. 에릭 힐
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20160110218A publication Critical patent/KR20160110218A/ko
Application granted granted Critical
Publication of KR102519095B1 publication Critical patent/KR102519095B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C9/00Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important
    • B05C9/08Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation
    • B05C9/14Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation the auxiliary operation involving heating or cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67333Trays for chips

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)

Abstract

기판 온도를 변경하기 위한 기판 트레이를 이용한 사전-세정 챔버 및 공정
요약
기판의 표면으로부터 옥사이드 물질을 제거하기 위한 시스템이 기판을 수용하기 위한 기판 트레이, 및 기판 트레이를 수용하기 위한 냉각체를 포함할 수 있다. 상기 시스템은 기판 트레이의 온도를 제어하도록 구성된 제1 온도 제어 요소 및 냉각체의 온도를 제어하도록 구성된 제2 온도 제어 요소를 포함할 수 있고, 상기 제1 온도 제어 요소와 제2 온도 제어 요소는 독립적으로 제어될 수 있다. 기판의 표면으로부터 옥사이드 물질을 제거하기 위한 방법이 가열 요소를 갖는 기판 트레이 상에 기판을 제공하는 단계, 기판 트레이로부터 냉각체로 열을 전달시킴으로써 기판을 냉각시키는 단계, 기판이 냉각체 상에 있는 동안 냉각된 기판 상에 할로겐-함유 물질을 증착시키는 단계, 및 상기 기판 트레이로부터 상기 기판으로 열을 전달시켜 냉각된 기판을 가열함으로써 할로겐-함유 물질을 차후 승화시키는 단계를 포함할 수 있다.

Description

기판 온도를 변경하기 위한 기판 트레이를 이용한 사전-세정 챔버 및 공정{PRE-CLEAN CHAMBER AND PROCESS WITH SUBSTRATE TRAY FOR CHANGING SUBSTRATE TEMPERATURE}
본 발명은 집적 회로의 제조와 관련되며, 특히 기판을 사전-세정(pre-cleaning)하기 위한 방법 및 장치와 관련된다.
집적 회로의 제조는 종종 기판 표면 상으로의 하나 이상의 물질 층의 형성을 포함할 수 있다. 이들 물질 층은, 예를 들어, 단결정질, 다결정질, 및/또는 비정질 물질 층을 포함할 수 있다. 다양한 박막 증착 기법, 가령, 다양한 물리적(가령, 물리 스퍼터링) 및/또는 화학적(가령, 화학 기상 증착, 원자층 증착, 및/또는 에피택시 증착) 증착 기법을 이용해 물질 층의 형성이 이뤄질 수 있다. 예를 들어, 단결정질 반도체 물질(가령, 단결정질 실리콘)의 형성을 위해, 에피택시 증착 공정을 이용해 기판 표면 상에서의 단결정질 물질 형성이 수행될 수 있다.
기판 표면 상의 중간 물질(가령, 네이티브 옥사이드(native oxide) 층, 가령, 실리콘 기판 상의 실리콘 옥사이드 물질 층)의 존재가 기판 표면 상에서의 원하는 물질 층의 형성과 간섭을 일으킬 수 있다. 예를 들어, 중간 물질은 원하는 물질 층의 구조물에 증가된 수의 결함의 도입을 초래하거나, 및/또는 원하는 물질 층의 전기적 성능에 부정적 영향을 미칠 수 있다. 일부 실시예에서, 집적 회로 제조 공정 동안 기판이 산소에 노출되는 것(가령, 제조 시스템들 간 기판의 이송 동안 주변 공기로의 노출 및/또는 제조 시스템 내에서 잔여 산화제에의 노출) 때문에, 중간 물질, 가령, 네이티브 옥사이드 물질이 기판 표면 상에 형성될 수 있다.
따라서 기판 표면 상에 고품질 층을 형성하기 위한 장치 및 공정에 대한 계속되는 요구가 있다.
집적 회로 제조를 위한 시스템이 기판을 처리하기 위한 반응 챔버, 상기 반응 챔버 내에서 사기 기판을 수용하기 위한 기판 트레이, 및 상기 기판 트레이를 수용하기 위한 냉각체를 포함할 수 있다. 상기 시스템은 기판 트레이의 온도를 제어하도록 구성된 제1 온도 제어 요소 및 상기 냉각체의 온도를 제어하도록 구성된 제2 온도 제어 요소를 포함할 수 있고, 상기 제1 온도 제어 요소 및 제2 온도 제어 요소는 기판 트레이의 온도와 냉각체의 온도를 독립적으로 제어하도록 구성된다.
집적 회로 제조의 방법이 기판의 표면으로부터 옥사이드 물질을 제거하는 단계를 포함할 수 있으며, 상기 표면은 실리콘을 포함한다. 상기 옥사이드 물질을 제거하는 단계는 가열 요소를 갖는 기판 트레이 상에 기판을 제공하는 단계, 상기 기판 트레이로부터 냉각체로 열을 전달시킴으로써 상기 기판을 냉각시키는 단계, 상기 기판이 기판 트레이 상에 위치하는 동안 냉각된 기판 상에 할로겐-함유 물질을 증착시키는 단계, 및 상기 기판 트레이로부터 상기 기판으로 열을 전달시켜 냉각된 기판을 가열함으로써 할로겐-함유 물질을 차후 승화시키는 단계를 포함할 수 있다.
집적 회로 제조를 위한 방법은 기판의 표면으로부터 옥사이드 물질을 제거하는 단계를 포함할 수 있다. 상기 표면은 실리콘을 포함할 수 있다. 옥사이드 물질을 제거하는 단계는 기판 트레이 상에 기판을 배치하는 단계를 포함할 수 있고, 여기서 상기 기판 트레이는 냉각체 위에 위치한다. 상기 방법은 표면 상에 할로겐-함유 물질을 증착하는 단계, 및 냉각체의 온도에 독립적으로 기판 트레이의 온도를 제어함으로써 할로겐-함유 물질을 승화시키는 단계를 포함할 수 있다.
본 발명의 다양한 특징부, 양태, 및 이점이 특정 실시예의 도면을 참조하여 기재되며, 상기 도면은 특정 실시예를 도시하기 위한 것이며 본 발명을 한정하려는 것이 아니다.
도 1은 일부 실시예에 따르는 사전-세정 시스템의 개략도이다.
도 2a는 일부 실시예에 따르는 사전-세정 공정을 수행하도록 구성된 반응 챔버의 하나의 예시의 측방 횡단면도를 도시한다.
도 2b는 일부 실시예에 따르는 기판 트레이의 하나의 예시의 측방 횡단면도를 도시한다.
도 3a, 3b, 및 3c는 일부 실시예에 따라, 기판 트레이 및 냉각체의 측방 횡단면도를 도시한다.
도 4는 일부 실시예에 따르는 기판 표면을 사전-세정하기 위한 공정의 하나의 예시를 도시한다.
본 명세서에서 기판의 노출된 표면으로부터 옥사이드 물질을 제거하기 위한 사전-세정 장치 및 공정과 관련하여 다양한 실시예가 기재된다. 최종 사전-세정된 표면이 물질의 고품질 층의 사후 형성, 가령, 실리콘의 에피택시 성장을 촉진시키는 표면을 제공할 수 있음이 이해될 것이다.
일부 실시예에서, 집적 회로 제조를 위한 시스템이 바람직하지 않은 물질, 가령, 옥사이드 물질을 제거함으로써 기판 표면을 사전-세정하기 위해 공정에 적용될 수 있는 둘 이상의 온도 간 고속 사이클링을 제공할 수 있다. 상기 시스템은 기판을 수용하기 위한 기판 트레이(substrate tray) 및 상기 기판 트레이를 수용하기 위한 냉각체(cooling body)를 포함할 수 있다. 예를 들어, 기판은 기판 트레이 위에 그리고 상기 기판 트레이와 직접 접촉하여 수용될 수 있고, 상기 기판 트레이는 상기 냉각체 위에 그리고 선택사항으로서 상기 냉각체와 직접 접촉하여 수용될 수 있다. 시스템은 기판 트레이의 온도를 제어하도록 구성된 제1 온도 제어 요소 및 냉각체의 온도를 제어하도록 구성된 제2 온도 제어 요소를 포함할 수 있다. 제1 온도 제어 요소와 제2 온도 제어 요소는 독립적으로 제어될 수 있다. 예를 들어, 기판 트레이의 온도가 냉각체의 온도에 대해 독립적으로 제어될 수 있다. 일부 실시예에서, 기판 트레이 및 냉각체는 열전대 및 가열 요소 및/또는 냉각 요소의 개별 세트, 즉 기판 트레이와 냉각체 각각에 대해 서로 다른 세트를 이용하여 유지되는 서로 다른 온도 설정점을 가질 수 있다. 일부 실시예에서, 기판 트레이가 냉각 요소를 포함하지 않고 반면에 냉각체는 냉각 요소를 포함한다.
기판 트레이의 가열 요소는 트레이 및 상기 트레이 상의 기판을 가열할 수 있고, 냉각체는 기판 트레이로부터의 열을 전달하기 위한 배출구를 제공하여 기판을 냉각할 수 있다. 바람직하게는, 냉각체의 질량이 기판 트레이의 질량보다 실질적으로 많다. 일부 실시예에서, 기판 트레이의 질량에 대한 냉각체의 질량의 비가 약 20:1 이상, 가령, 약 10:1 내지 약 50:1 또는 약 10:1 내지 약 30:1이다. 일부 실시예에서, 기판 트레이의 질량에 대한 냉각체의 질량의 비는 약 19:1일 수 있다. 기판 트레이는 이의 비교적 낮은 질량 때문에 빠르게 가열될 수 있고, 반면에 트레이와 냉각체 간 열적 접촉이 있으면 냉각체로 열이 전달됨으로써, 냉각체의 비교적 높은 질량이 트레이가 빠르게 냉각될 수 있게 한다. 따라서 트레이 상의 기판의 빠른 가열 및 냉각이 이뤄질 수 있다. 온도들 간 이러한 빠른 사이클링이 기판을 사전-세정하고, 옥사이드의 제거를 위한 높은 선택비를 이룬다는 이점을 제공할 수 있다.
일부 실시예에서, 냉각체는 기판 트레이에 대해 이동되어 트레이를 가열 및 냉각할 수 있다. 예를 들어, (간격이 트레이에서 냉각체로의 열 손실을 막거나 감소시키도록) 냉각체가 기판 트레이로부터 멀어지는 방향으로 이동되어 트레이를 가열할 수 있다. (트레이로부터의 열이 냉각체로 전달되도록) 기판 트레이 및 냉각체를 서로 직접 접촉하게 함으로써 냉각이 이뤄질 수 있다.
또 다른 일부 실시예에서, 트레이가 냉각체에 부착된다. 이러한 배열에서, 상기 트레이의 가열 요소로 가열 파워(heating power)를 제공하거나 이를 증가시킴으로써, 트레이가 가열될 수 있고, 반면에 상기 트레이의 가열 요소로의 가열 파워를 차단하거나 감소시킴으로써 트레이가 냉각될 수 있다. 전체적으로, 냉각체는 트레이보다 낮은 온도로 유지될 수 있고 트레이와 접촉하는 히트 싱크(heat sink)로서 역할 한다.
본 명세서에 기재된 바와 같이, 기판 트레이 및 냉각체에 의해 제공되는 열 사이클링이 사전-세정 기판으로 인가되는 것이 바람직할 수 있다. 일부 실시예에서, 집적 회로 제조를 위한 공정은 표면으로부터 옥사이드 물질을 제거하기 위해 기판 표면을 사전-세정하는 단계를 포함한다. 상기 옥사이드 물질은 표면 상에 형성된 실리콘 및/또는 게르마늄을 포함하는 네이티브 옥사이드 물질, 예를 들어, 실리콘의 옥사이드, 게르마늄의 옥사이드, 및/또는 게르마늄 및 실리콘의 옥사이드(가령, 실리콘 옥사이드, 게르마늄 옥사이드, 실리콘 게르마늄 옥사이드)를 포함할 수 있다. 바람직하게는 사전-세정 물질의 증착 및 그 이후의 사전-세정 물질의 휘발이 기판 표면으로부터 옥사이드 물질의 제거를 야기할 수 있다.
이론에 구애 받지 않고, 사전-세정 물질을 형성하기 위해, 사전-세정 공정 동안 반응 챔버로 유동되는 반응 화학종이 기판 표면 상의 바람직하지 않은 물질과 화학적으로 상호작용할 수 있다. 일부 실시예에서, 사전-세정 물질은 반응 화학종과 기판 표면 옥사이드 물질 간 화학 반응 및/또는 반응 화학종들 자체 간 화학 반응으로부터 생성된 하나 이상의 성분을 포함할 수 있다. 예를 들어, 사전-세정 공정이 할로겐을 포함하는 반응 기체를 이용하여, 할로겐-함유 반응 기체가 기판 표면 실리콘 옥사이드와 화학적으로 반응하여, 그 후 승화될 수 있는 할로겐 및 실리콘을 포함하는 할로겐-함유 사전-세정 물질을 형성하여, 실리콘 옥사이드를 제거할 수 있다. 일부 실시예에서, 반응 기체는 수소-함유 기체(가령, 암모니아)를 더 포함할 수 있다. 일부 실시예에서, 반응 기체가 운반 기체(가령, 비활성 기체)를 더 포함할 수 있다.
일부 실시예에서, 본 명세서에 기재된 하나 이상의 장치 및 공정이 적용되어 사전-세정 물질을 형성하고 그 후 동일한 반응 챔버에서 사전-세정 물질을 제거할 수 있다. 사전-세정 물질은 반응 챔버의 주변 온도보다 높은(가령, 실온보다 높은) 온도에서 형성될 수 있음이 자명할 것이다. 일부 실시예에서, 기판 상의 사전-세정 물질 형성을 위한 적절한 온도를 확립하는 것을 촉진하기 위해 냉각체는 주변 온도보다 높을 수 있다. 따라서 사전-세정 물질의 형성 동안 열이 냉각체에 인가될 수 있으며, 반면에 열이 기판 트레이에 전혀 또는 거의 인가되지 않는다. 예를 들어, 냉각체에 연결되는 가열 요소가 켜질 수 있고, 기판 트레이에 연결되는 가열 요소가 비교적 낮은 레벨로 설정되거나 사전-세정 물질의 형성 동안 꺼진다. 또 다른 일부 실시예에서, 냉각체에 연결된 가열 요소가 사전-세정 물질의 형성 동안 켜지지 않는다. 일부 실시예에서, 냉각체에 연결된 가열 요소와 냉각 요소 모두 켜져서 사전-세정 물질의 형성 동안 냉각체의 온도를 조절할 수 있다.
일부 실시예에서, 사전-세정 물질을 휘발시킴으로써, 가령, 물질을 승화시킴으로써, 제거가 이뤄진다. 일부 실시예에서, 열이 기판 트레이로 인가되어 기판을 승화 온도까지로 가열하여 사전-세정 물질의 원하는 승화를 촉진시킬 수 있다. 예를 들어, 냉각체의 온도 설정점을 기판 트레이의 온도 설정점보다 낮은 값으로 유지하면서, 승화 동안 기판 트레이에 연결된 가열 요소가 켜지거나 승온될 수 있다. 일부 실시예에서, 냉각체의 온도 설정점이 승화를 위해 기판 트레이의 온도 설정점보다, 약 75℃ 이상, 또는 약 100℃ 이상, 또는 약 150℃ 이상, 또는 약 200℃ 이상 낮을 수 있다. 예를 들어, 기판 트레이는 기판이 최대 약 400℃의 온도이도록 하는 온도까지 가열될 수 있다. 일부 실시예에서, 사전-세정 물질의 승화 동안 기판 트레이와 냉각체는 서로 접촉한다. 이러한 실시예에서, 일부 열이 냉각체로 소실될 수 있다. 그러나 그럼에도 기판 트레이의 낮은 질량 및 (가열 요소가 기판 트레이의 일부이거나 기판 트레이에 바로 인접하기 때문에) 기판과 가열 요소의 근접성 때문에 기판의 빠른 가열이 이뤄질 수 있다. 원하는 승화 후 기판 트레이에 인가되는 열이 단절되거나 감소될 수 있고, 기판 트레이와 냉각체 간 접촉이 기판 트레이의 차후 냉각을 촉진시킬 수 있다.
일부 실시예에서, 냉각체와 기판 트레이는 사전-세정 물질의 형성 동안 서로 접촉할 수 있고, 사전-세정 물질의 형성 후 차후 분리된다(가령, 냉각체가 기판 트레이로부터 이격되도록 냉각체가 이동된다). 예를 들어, 냉각체와 기판 트레이는 사전-세정 물질의 승화 전에 서로 분리되고, 분리 후에 승화 온도까지로 가열될 수 있다. 일부 실시예에서, 냉각체가 원하는 승화 후에 이동되어 상기 냉각체가 원하는 승화가 이뤄진 후 기판 트레이와 접촉하도록 할 수 있다.
일부 실시예에서, 사전-세정 공정은 하나 이상의 사이클을 포함하며, 여기서 각각의 사이클은 사전-세정 물질을 증착하고 그 후 사전-세정 물질을 제거하는 것을 포함한다. 일부 실시예에서, 하나 이상의 사이클은 기판을 상이한 챔버로 이송하지 않고 동일한 반응 챔버 내에서 수행된다. 단일 반응 챔버에서 하나 이상의 사이클을 수행하는 것은 증가된 처리율을 촉진시킬 수 있다. 바람직하게도 둘 이상의 사이클을 포함하는 사전-세정 공정은 특히 높은 선택비(selectivity)를 보일 수 있다. 예를 들어, 사전-세정 공정의 단일 사이클의 선택비 성능에 비교할 때, 사전-세정 공정의 두 번째 사이클 및/또는 또 다른 차후 사이클이 다른 물질, 가령, 실리콘 니트라이드의 기판 표면으로부터의 제거에 비해 실리콘 옥사이드의 기판 표면으로부터의 상당히 더 높은 선택적 제거를 보임을 발견했다. 결함, 가령, 기판 표면 상의 하나 이상의 특징부의 바람직하지 않은 막힘(clogging) 및/또는 과다 에칭(over-etching)의 수를 바람직하게도 낮게 유지하면서, 사전-세정 물질을 증착 및 제거하는 복수의 사이클을 포함하는 사전-세정 공정은 옥사이드 물질 제거를 촉진시킬 수 있다.
일부 실시예에서, 타깃 물질의 차후 증착을 위한 고품질 표면이, 제1 반응 챔버에서 사전-세정 공정을 완료하고, 그 후 기판을 제2 챔버로 이송하여 타깃 물질을 기판 상에 형성함으로써 제공된다. 일부 실시예에서, 타깃 물질은 전도성 물질이다. 전도성 물질의 비-제한적 예를 들면, 반도체 함유 물질(가령, 실리콘-함유 물질), 금속-함유 물질 또는 이들의 조합일 수 있다. 본 명세서에서 사용될 때, 타깃 물질은 사전-세정된 기판 표면과 직접 접촉하여 증착되는 물질이다. 덧붙여, 사전-세정 물질을 제거하는 것은 상기 사전-세정 물질의 일부 또는 전부를 기판으로부터 제거하는 것을 포함할 수 있다. 일부 실시예에서, 옥사이드 물질이 제거되는 기판이 패터닝될 수 있다(가령, 표면 상에 오목부, 가령, 트렌치의 패턴을 가진다). 일부 실시예에서, 기판은 노출된 전자 소자(가령, 트랜지스터 구조물)를 포함할 수 있다.
일부 실시예에서, 제2 반응 챔버가 에피택시 증착 챔버일 수 있고 타깃 물질이 단결정질 실리콘일 수 있다. 예를 들어, 제1 반응 챔버에서 승화에 의해 사전-세정 물질은 기판 표면으로부터 제거되어 사전-세정된 기판 표면을 제공할 수 있고, 그 후 제2 반응 챔버에서 실리콘의 에피택시 성장이 사전-세정된 기판 표면 상에서 수행되어 기판 상에 단결정질 실리콘 층을 형성할 수 있다.
타깃 물질이 세정된 기판 상에 차후에 형성되는 반응 챔버와 상이한 반응 챔버에서 사전-세정 물질이 제거됨으로써, 바람직하게도 사전-세정 물질 공정에 의해 생성될 수 있는 오염 물질이 없는 또는 실질적으로 없는 반응 공간에서 타깃 물질의 형성이 제공될 수 있다. 예를 들어, 타깃 물질이 차후에 형성되는 것과 동일한 반응 챔버에서의 사전-세정 물질의 제거는 감소된 결함 수 및/또는 개선된 전기적 성능을 촉진시킬 수 있다.
이하에서 도면이 참조될 것이며, 도면 전체에서 유사한 도면부호가 유사한 특징부를 지칭한다.
도 1은 일부 실시예에 따라, 기판의 표면을 사전-세정하기 위한 사전-세정 시스템(100)의 개략도를 도시한다. 사전-세정 시스템(100)은 반응 챔버(102), 반응 기체 소스(104), 플라스마 유닛(106) 및 제어 유닛(108)을 포함할 수 있다. 상기 반응 챔버(102)는 사전-세정 공정을 수행하도록 구성될 수 있고 상기 기판을 수용하기 위한 반응 공간을 포함할 수 있다. 상기 반응 기체 소스(104)는 사전-세정 공정을 위한 반응 기체의 하나 이상의 성분을 공급하도록 구성될 수 있다. 예를 들어, 반응 기체 소스(104)는 반응 기체 또는 반응 기체의 성분을 각각 담는 하나 이상의 컨테이너를 포함할 수 있다. 플라스마 유닛(104)은 반응 기체의 하나 이상의 성분을 활성화시키도록 구성된 플라스마 생성기를 포함할 수 있다. 일부 실시예에서, 플라스마 유닛(106)은 원격 플라스마 유닛을 포함한다. 상기 반응 기체 소스(104)는 플라스마 유닛(106)과 유체 연통하여, 반응 챔버(102)로 도입되기 전에 플라스마 유닛(106)에 의해 반응 기체의 하나 이상의 성분이 활성화되게 할 수 있다. 반응 챔버(102)는 반응 기체 소스(104)와 플라스마 유닛(106) 중 어느 하나 또는 둘 모두와 유체 연통할 수 있다. 반응 기체의 활성화된 및/또는 활성화되지 않은 성분이 반응 챔버(102)로 유동될 수 있다. 예를 들어, 활성화 및 활성화되지 않은 반응 기체 성분 모두가 반응 챔버(102)로 동시에 또는 순차적으로 유동될 수 있다. 제어 유닛(108)은 사전-세정 공정의 하나 이상의 파라미터를 제어하도록 구성될 수 있다. 일부 실시예에서, 제어 유닛(108)은 반응 챔버(102), 반응 기체 소스(104) 및 플라스마 유닛(106) 중 하나 이상과 전기 통신할 수 있다. 예를 들어, 제어 유닛(108)은 플라스마 유닛(106)의 동작 파워를 제어하기 위해 상기 플라스마 유닛(106)과 통신하고, 반응 기체 소스(104)로부터의 반응 기체의 흐름을 제어하기 위해 반응 기체 소스(104)와 통신하며, 및/또는 하나 이상의 공정 조건을 제어하기 위해 반응 챔버(102)와 통신할 수 있다.
일부 실시예에서, 반응 챔버(102)는 이송 튜브(transfer tube)(110)를 통해 원격 플라스마 유닛(106)과 유체 연통할 수 있다. 상기 이송 튜브(110)는 반응 챔버(102)에 대한 반응 챔버 기체 유입구를 통해 반응 기체(가령, 수소-함유 기체를 포함하는 반응 기체, 불소-함유 기체, 및/또는 운반 기체)를 반응 챔버(102)로 전달하도록 구성될 수 있다. 일부 실시예에서, 이송 튜브(110)는 원격 플라스마 유닛에 의해 활성화되지 않은 반응 기체의 하나 이상의 성분의 반응 챔버로의 도입을 가능하게 하도록 구성된 이송 튜브 기체 유입구를 포함할 수 있다. 상기 이송 튜브(110)의 기체 유입구는 반응 챔버 기체 유입구에 근접하게 또는 이송 튜브(110) 상의 또 다른 적합한 위치에 위치할 수 있다. 일부 실시예에서, 이송 튜브(110)의 적어도 일부분이 원하는 온도로 유지될 수 있다. 예를 들어, 이송 튜브의 일부분, 예컨대, 이송 튜브의 기체 유입구와 반응 챔버의 기체 유입구 사이의 부분에 인접한 및/또는 이 부분을 둘러싸는 이송 튜브(110)의 일부분이 (가령, 약 60℃ 내지 약 115℃, 가령, 약 80℃ 내지 약 115℃의 온도까지) 가열될 수 있다. 일부 실시예에서, 이송 튜브(110)의 전체 길이 또는 실질적으로 전체 길이가 원하는 온도로 유지(가령, 약 30℃ 내지 약 120℃의 온도까지 가열)된다.
일부 실시예에서, 가열기 자켓(heater jacket)을 이용함으로써(가령, 가열기 자켓으로 이송 튜브(110)의 외부 표면의 적어도 일부분을 덮음으로써), 및/또는 물질 코팅을 이용함으로써(가령, 물질 코팅, 가령, 알루미나를 포함하는 물질 코팅재, 가령, 열분해 알루미나에 의해 이송 튜브(110)의 외부 표면의 적어도 일부분을 코팅함으로써), 이송 튜브(110)의 적어도 일부분이 원하는 온도로 유지될 수 있다. 일부 실시예에서, 이송 튜브(110)를 따르는 하나 이상의 위치에 위치하는 하나 이상의 열전대를 이용해 이송 튜브(110)의 온도가 모니터링될 수 있다. 이송 튜브(110)의 가열된 부분을 따르는 온도는 균일하거나 균일하지 않을 수 있다. 일부 실시예에서, 이송 튜브(110)의 가열된 부분을 따르는 온도가 하나 또는 실질적으로 하나의 원하는 온도로 유지될 수 있다. 일부 실시예에서, 이송 튜브(110)의 하나의 가열된 부분의 온도가 이송 튜브(110)의 또 다른 가열된 부분의 온도와 상당히 상이할 수 있다.
일부 실시예에서, 반응 기체의 하나 이상의 성분, 가령, 운반 기체(가령, 비활성 기체, 가령, 아르곤) 및 불소-함유 기체(가령, 질소 트리플루오라이드)가, 원격 플라스마 유닛(106)을 통과해 유동됨으로써 활성화될 수 있다. 일부 실시예에서, 원격 플라스마 유닛(106)에 의해 활성화되지 않는 반응 기체의 하나 이상의 구성성분, 예를 들어, 수소-함유 기체, 가령, 암모니아 기체가, 이송 튜브의 기체 유입구를 통해 이송 튜브(110)를 따르는 위치에서, 원격 플라스마 유닛(106)의 하류에서 도입될 수 있다. 이송 튜브 기체 유입구에 근접한 이송 튜브(110)의 일부분 및/또는 이송 튜브 기체 유입구 자체가 가열되어, 반응 기체의 활성화되지 않은 성분 중 하나 이상, 가령, 활성화되지 않은 암모니아가 원하는 온도로 반응 챔버(102)로 전달될 수 있다.
도 2a는 본 명세서에 기재된 사전-세정 공정의 적어도 일부분을 수행하도록 구성된 반응기(200)의 하나의 예시의 개략도를 도시한다. 일부 실시예에서, 사전-세정 물질을 기판 표면 상으로 증착하는 것과 증착된 사전-세정 물질을 제거하는 것 모두 반응기(200)의 반응 챔버(201) 내에서 수행될 수 있다. 상기 반응 챔버(201)는 사전-세정 시스템의 일부일 수 있고 도 1의 반응 챔버(102)에 대응할 수 있다. 일부 실시예에서, 반응 챔버(201)는 다중-챔버 공정 시스템의 일부일 수 있어서, 주변 공기에 노출되지 않으면서 또는 실질적으로 노출되지 않으면서 반응 챔버(201)에 의해 가공되는 기판이 제2 반응 챔버로 이송될 수 있다. 예를 들어, 반응 챔버(201)는 클러스터 툴(cluster tool) 시스템의 일부일 수 있다. 그 밖의 다른 일부 실시예에서, 반응 챔버(201)는 자립형 챔버일 수 있고 다중-챔버 공정 시스템의 일부가 아닐 수 있다.
도 2a를 계속 참조하면, 반응기(200)는 반응 챔버(201) 내에 기판 트레이(206)를 포함할 수 있다. 상기 기판 트레이(206)는 기판(가령, 웨이퍼, 예컨대 300㎜ 웨이퍼)를 수용하도록 구성될 수 있다. 상기 기판 트레이(206)는 냉각체(208) 위에 위치할 수 있다. 일부 실시예에서, 냉각체(208)는 서셉터(susceptor)이다. 도 2a에 도시된 바와 같이, 샤워헤드(202)는 기판 트레이(206) 위에 위치할 수 있다. 냉각체(208) 및 기판 트레이(206)가 상기 샤워헤드(202)로부터 원하는 거리에 유지될 수 있다. 상기 샤워헤드(202)(가령, 기체 분산 플레이트)는 반응 챔버(201)의 반응 기체 유입구의 하류에 위치할 수 있다. 예를 들어, 샤워헤드(202)는 기판 트레이(206) 상에 실린 기판 위로의 기체 화학종의 분산의 개선된 균일도를 촉진시키도록 구성될 수 있다.
도 2a를 참조하여, 기판 트레이(206)는 상부 표면(216) 및 대향하는 하부 표면(218)을 가질 수 있다. 기판은 상부 표면(216)의 적어도 일부분 위에 위치할 수 있고, 대향하는 하부 표면(218)은 냉각체(208)를 대면할 수 있다. 기판 트레이(206)의 상부 표면(216)은 기판을 수용하도록 구성될 수 있는데, 예를 들어, 기판을 수용하도록 구성된 형태(가령, 원형) 및 측방 치수를 가질 수 있다. 예를 들어, 상기 상부 표면(216)의 적어도 일부분을 따라 기판이 기판 트레이(206) 상에 그리고 상기 기판 트레이와 직접 접촉하여 위치될 수 있도록, 상부 표면(216)의 평판형 부분의 치수가 정해질 수 있다. 일부 실시예에서, 기판 트레이(206)의 상부 표면(216)이 평평하거나 실질적으로 평평할 수 있다. 예를 들어, 기판 트레이(206)의 상부 표면(216)은 임의의 돌출형 변부 부분 없이 형성될 수 있다. 일부 실시예에서, 예를 들어, 기판 트레이(206)로부터 기판의 신뢰할만한 배치 및 제거를 제공하도록 상부 표면(216)의 평판형 부분이 기판의 대응하는 측방 치수를 초과하는 추가 마진(margin)을 포함하는 측방 치수를 가질 수 있다. 예를 들어, 기판 트레이(206)로부터 기판의 신뢰할만한 배치 및 제거를 제공하기 위해 평판형 부분은 약 2㎜ 내지 약 5㎜, 가령, 약 2㎜ 내지 약 3㎜의 마진을 포함하는 측방 치수를 포함할 수 있다. 일부 실시예에서, 기판은 300밀리미터(㎜) 웨이퍼일 수 있고 상부 표면(216)의 평판형 부분은 300㎜ 웨이퍼를 수신하도록 치수가 정해질 수 있다. 예를 들어, 상부 표면(216)의 평판형 부분은 300㎜ 웨이퍼의 변부 주변에 약 2.5㎜의 마진을 포함하는 측방 치수를 가질 수 있다(가령, 300㎜ 웨이퍼를 수용하기 위해 상부 표면(216)의 평판형 부분이 약 305㎜의 측방 치수를 가짐).
일부 실시예에서, 기판 트레이(206)는 하나 이상의 원하는 특성을 제공하기 위한 두께를 가질 수 있다. 예를 들어, 기판에 대해 원하는 기계적 지지, 기판과 냉각체(208) 간 열을 전달하기 위한 열 보유 및/또는 열 전달 속성을 제공하도록 기판 트레이(206)의 두께가 선택될 수 있다. 일부 실시예에서, 기판 트레이(206)는 약 1㎜ 내지 약 5㎜, 가령, 약 1㎜ 내지 약 4㎜, 가령, 약 3㎜의 두께를 가질 수 있다. 예를 들어, 기판과 접촉하는 기판 트레이(206)의 부분의 두께는 약 3㎜의 두께를 가질 수 있다.
일부 실시예에서, 기판 트레이(206)는 기판을 수용하도록 구성된 오목부(도시되지 않음)를 포함하는 상부 표면을 가질 수 있다. 예를 들어, 기판 트레이(206)는 기판을 수용하도록 치수가 정해진 오목부를 둘러싸는 돌출형 변부 부분을 가질 수 있다. 일부 실시예에서, 기판은 오목부 내에 전체적으로 또는 부분적으로 안착될 수 있다.
도 2a를 참조하면, 기판 트레이(206)의 하부 표면(218)이 냉각체(208)의 상부 표면 상의 오목부(214)에서 냉각체(208)와 접촉할 수 있다. 예를 들어, 기판 트레이(206)의 전체 또는 실질적으로 전체 하부 표면(218)이 오목부(214) 내에 안착될 수 있고 오목부(214) 내에 냉각체(208)의 대응하는 부분과 직접 접촉할 수 있다.
일부 실시예에서, 상부 표면(216)은 평평하거나 평판형 또는 실질적으로 평평하거나 평판형이며, 이는 기판 트레이(206)와 대응하는 평평한 기판 사이에서 접촉하는 표면 영역을 증가시키는 것을 촉진시킬 수 있다. 일부 실시예에서, 기판 트레이의 하부 표면(218)과 냉각체의 오목부(214)의 표면이 평평하거나 실질적으로 평평하여, 기판 트레이(206)와 냉각체(208) 간 접촉을 촉진시킬 수 있다. 기판 트레이(206)와 기판 간 물리적 접촉이 기판 트레이(206)와 기판 간 원하는 열 전달을 촉진시킬 수 있고 기판 트레이(206)와 냉각체(208) 간 물리적 접촉이 기판 트레이(206)와 냉각체(208) 간 원하는 열 전달(가령, 전도를 통한 열 전달)을 촉진시킬 수 있다. 일부 실시예에서, 열이 기판과 기판 트레이(206) 간에, 또는 기판 트레이(206)과 냉각체(208) 간에 전달될 수 있는 편의를 증가시키는 것이 기판의 온도의 신속한 변화를 촉진시킬 수 있다.
일부 실시예에서, 하부 표면(218)은 기판 트레이(206)와 냉각체(208) 간 원하는 열 전달을 촉진시키기 위해 기판 트레이(206)와 냉각체(208) 간 원하는 접촉을 이루도록 구성된 하나 이상의 비-평판형 부분(도시되지 않음)을 가질 수 있다. 예를 들어, 기판 트레이(206)와 냉각체(208) 간 원하는 표면 영역 접촉을 촉진하기 위해, 하부 표면(218)은 냉각체(208)의 상부 표면 상의 하나 이상의 오목형 또는 돌출부 특징부에 대응하는 하나 이상의 돌출형 또는 오목형 특징부를 가질 수 있다.
일부 실시예에서, 기판 트레이(206)는 본 명세서에 기재된 바와 같이 사전-세정을 위해 사용되는 화학종에 노출되는 조건 하에서 적절한 다양한 물질을 포함한다. 예를 들어, 기판 트레이는 실리콘 카바이드를 포함할 수 있다. 일부 실시예에서, 기판은 알루미늄 니트라이드를 포함한다. 일부 실시예에서, 냉각체(가령, 냉각체(208))가 하나 이상의 전도성 물질, 가령, 금속 물질을 포함한다. 예를 들어, 냉각체는 알루미늄을 포함할 수 있다.
도 2a에 도시된 바와 같이, 냉각체(208)는 하나 이상의 냉각체 온도 제어 특징부를 포함할 수 있다. 상기 냉각체(208)는 냉각체(208)의 온도의 원하는 증가를 촉진시키도록 구성된 하나 이상의 가열 요소(210) 및 냉각체(208)의 온도의 원하는 감소를 촉진시키도록 구성된 하나 이상의 냉각 요소(212)를 포함할 수 있다. 가열 요소(210) 및 냉각 요소(212) 중 하나 또는 둘 모두가 냉각체(208)의 하나 이상의 부분 내에 매립될 수 있다. 예를 들어, 복수의 가열 요소(210) 및/또는 복수의 냉각 요소(212)가 기판 스테이지(208)의 측방 치수에 걸쳐 분산되어, 냉각체(208)에 걸쳐 원하는 온도 제어를 촉진시킬 수 있다(가령, 냉각체(208)의 측방 치수에 걸쳐 원하는 온도 프로파일, 가령, 균일한 또는 불균일한 온도 프로파일을 얻을 수 있다). 일부 실시예에서, 가열 요소(210) 및 냉각 요소(212) 중 하나 또는 둘 모두가 냉각체의 측방 치수에 걸쳐 분산되어 다중 구역 온도 제어(가령, 중앙 부분에서의 냉각체(208)의 온도 및 변부 부분에서의 냉각체(208)의 온도의 제어를 촉진시키기 위한 이중 구역 온도 제어)를 촉진시킬 수 있다. 도 2a에 도시된 바와 같이, 가열 요소(210)와 냉각 요소(212) 모두가 기판 스테이지(208)의 변부 부분과 중앙 부분 모두에 또는 이에 근접한 다양한 위치에 매립될 수 있다.
일부 실시예에서, 냉각체 가열 요소(210)는 저항성 가열기를 포함한다. 일부 실시예에서, 냉각 요소(210)는 냉각체(208)에 냉각수를 공급하도록 구성되는 냉각 라인을 포함한다.
도 2a에 도시된 바와 같이, 냉각체(208)의 온도를 측정하도록 구성된 하나 이상의 냉각체 열전대(220)가 냉각체(208)로 연결될 수 있다. 예를 들어, 하나 이상의 냉각체 열전대(220)가 냉각체(208)의 외부 표면에 연결될 수 있다. 도 2a에서, 냉각체 열전대(220)가 냉각체(208)의 측면에 연결되는 것처럼 나타난다. 일부 실시예에서, 냉각체 열전대(220)는 냉각체(208)의 또 다른 외부 표면에 연결될 수 있다. 냉각체 열전대(220)의 배치가 원하는 온도 측정을 제공하도록 선택될 수 있다. 예를 들어, 둘 이상의 냉각체 열전대(220)가 냉각체(208) 상의 또는 냉각체 내의 서로 다른 위치로 연결되어, 냉각체(208) 상의 다양한 위치에서의 온도 측정이 이뤄질 수 있다(가령, 다중-구역 온도 측정을 촉진시킬 수 있다). 일부 실시예에서, 하나 이상의 냉각체 열전대(220)는 냉각체(208) 내에 매립될 수 있다(도시되지 않음). 예를 들어, 하나 이상의 냉각체 열전대(220)가 냉각체(208) 내에 다양한 위치에서 매립되어 냉각체(208) 내에서 원하는 온도 측정을 촉진시킬 수 있다(가령, 다중-구역 온도 측정을 촉진시킬 수 있다).
일부 실시예에서, 기판 트레이(206)는 기판 트레이(206)의 온도를 제어하도록 구성된 하나 이상의 기판 트레이 온도 제어 특징부를 포함할 수 있다. 도 2a에서 도시되는 바와 같이, 하나 이상의 기판 트레이 열전대(222)는 기판 트레이(206)의 표면으로 연결될 수 있다. 도 2a는 기판 트레이(206)의 측면으로 연결된 기판 트레이 열전대(222)를 도시한다. 일부 실시예에서, 기판 트레이 열전대(222)는 기판 트레이(206)의 또 다른 외부 표면으로 연결될 수 있다. 예를 들어, 둘 이상의 기판 트레이 열전대(222)가 기판 트레이(206)의 하나 이상의 외부 표면을 따르는 서로 다른 위치에 연결되어, 기판 트레이(206) 상의 다양한 위치에서의 온도 측정이 이뤄질 수 있다(가령, 다중-구역 온도 측정을 촉진시킬 수 있다). 일부 실시예에서, 하나 이상의 기판 트레이 열전대(222)는 기판 트레이(206) 내에 매립될 수 있다(도시되지 않음). 예를 들어, 하나 이상의 기판 트레이 열전대(222)는, 기판 트레이(206) 내에서 원하는 온도 측정을 촉진시키기 위한(가령, 다중-구역 온도 측정을 촉진시키기 위한) 다양한 위치에서 기판 트레이(206) 내에 매립될 수 있다.
도 2b는 기판 트레이(206)의 하나의 예시의 개략도이다. 상기 기판 트레이(206)는 외부 측면 상의 열전대(222)를 포함할 수 있다. 도 2b에 도시된 바와 같이, 일부 실시예에서, 기판 트레이(206)는 하나 이상의 가열 요소(230)를 포함할 수 있다.
일부 실시예에서, 하나 이상의 기판 트레이 가열 요소(230)는 기판 트레이(206)의 하나 이상의 외부 표면에 연결될 수 있다. 예를 들어, 하나 이상의 가열 요소(230)는 기판을 대면하는 표면, 가령, 기판 트레이(206)의 하부 표면(218)에 대향하는 기판 트레이(206)의 외부 표면에 적층(가령, 본딩 및/또는 물리적으로 고정(fasten))될 수 있다. 하나 이상의 가열 요소(230)는 냉각체를 대면하는 기판 트레이의 표면에 적층될 수 있다. 도 2b에 도시된 바와 같이, 일부 실시예에서, 하나 이상의 가열 요소(230)가 기판 트레이 하부 표면(218)의 다양한 부분으로 적층되어 기판 트레이(206)의 측방 치수에 걸쳐 원하는 온도 제어를 촉진시킬 수 있다. 일부 실시예에서, 가열 요소(230)는 기판 트레이(206)의 측방 치수에 걸쳐 원하는 온도 프로파일을 촉진시키기 위한(가령, 다중-구역 온도 제어를 획득하기 위한) 패턴으로 기판 트레이(206)의 하부 표면(218)에 걸쳐 분포될 수 있다. 예를 들어, 도 2b에 도시된 바와 같이, 복수의 가열 요소(230)가 하부 표면(218)의 변부 부분과 중앙 부분 모두에 부착 또는 이에 근접하게 위치하여, 기판 트레이(206)의 변부 부분 및 중앙 부분의 원하는 가열을 촉진시킬 수 있다. 일부 실시예에서, 기판 트레이(206)의 측방 치수에 걸쳐 상이한 위치에서 기판 트레이(206)에 적층된 가열 요소(230)가 독립적으로 제어되어 기판(206)의 측방 치수에 걸쳐 원하는 온도 프로파일을 이루는 것을 촉진시킬 수 있다(가령, 기판 트레이의 하나의 부분에 적용되는 가열이 기판 트레이의 또 다른 부분에 적용되는 가열과 상이할 수 있다).
도 2b를 다시 참조하면, 일부 실시예에서, 하나 이상의 기판 트레이 가열 요소(230)는 기판 트레이(206) 내에 매립될 수 있다. 예를 들어, 하나 이상의 가열 요소(230)가 기판 트레이(206) 내에 기판 트레이(206)에 대한 다중-구역 온도 제어를 촉진하기 위한 다양한 위치에 분산될 수 있다. 예를 들어, 복수의 가열 요소(230)는 하부 표면(218)의 변부 부분과 중앙 부분 모두에서, 또는 그 근접부에서 매립되어 기판 트레이(206)의 변부 및 중앙 부분의 원하는 가열을 촉진시킬 수 있다. 일부 실시예에서, 기판 트레이(206)의 측방 치수에 걸쳐 서로 다른 위치에 매립되는 가열 요소(230)가 독립적으로 제어되어 기판 트레이(206)의 측방 치수에 걸쳐 원하는 온도 프로파일을 획득하는 것을 촉진시킬 수 있다. 일부 실시예에서, 기판 트레이(206)는 매립된 가열 요소(230)이거나 외부 표면 상의 가열 요소(230)이거나 둘 모두가 아닐 수 있다. 그 밖의 다른 일부 실시예에서, 기판 트레이(206)는 매립된 가열 요소와 외부에서 부착된 가열 요소(230) 모두를 포함할 수 있다.
일부 실시예에서, 기판 트레이 가열 요소(230)는 저항성 가열기일 수 있다. 일부 실시예에서, 기판 트레이 가열 요소(230)는 원하는 열적 안정성을 제공하면서, 원하는 속도로 타깃 온도까지로 가열될 수 있는 전도성 물질을 포함한다. 일부 실시예에서, 기판 트레이 가열 요소(230)는 텅스텐을 포함한다. 일부 실시예에서, 기판 트레이 가열 요소(230)는 흑연을 포함한다. 예를 들어, 텅스텐 및/또는 흑연을 포함하는 하나 이상의 가열 요소(230)가 기판 트레이의 하부 표면에, 가령, 하부 표면 전체에서 다양한 위치에 적층되어, 다중-구역 온도 제어를 촉진시킬 수 있다. 일부 실시예에서, 텅스텐 및/또는 흑연을 포함하는 가열 요소(230)는 기판 트레이(206) 내에 매립될 수 있다.
일부 실시예에서, 기판 트레이(206)는 승화 온도까지로 가열되어 기판 표면으로부터의 사전-세정 물질의 원하는 승화를 촉진시킬 수 있다. 예를 들어, 기판 트레이(206)는 약 100℃ 내지 약 500℃, 가령, 약 100℃ 내지 약 150℃, 약 350℃ 내지 약 500℃ 및 약 350℃ 내지 약 400℃의 온도까지로 가열될 수 있다. 예를 들어, 기판 트레이(206)는 약 120℃ 또는 약 380℃의 온도까지로 가열될 수 있다. 앞서 언급된 온도에서 자명한 바와 같이, 일부 실시예에서, 기판 트레이(206)는 서로 다른 때에 서로 다른 온도 설정점으로 가열 및 유지되어 사전-세정 물질의 더 완전한 승화를 이룰 수 있다. 예를 들어, 트레이(206)는 먼저 낮은 온도 설정점으로 유지될 수 있고 그 후 더 높은 두 번째 온도 설정점까지 더 가열되고 유지될 수 있다.
냉각체(208)의 온도 및 온도 제어 특징부가 기판 트레이(206)의 온도 제어 특징부로부터 독립적으로 제어될 수 있다. 예를 들어, 냉각체(208)의 온도 제어 특징부를 켜거나, 승온 또는 감온시키거나, 끄지 않고, 기판 트레이(206)의 온도 제어 특징부가 켜지거나, 승온 또는 감온 또는 꺼질 수 있다. 예를 들어, 냉각체(208)의 가열 요소(210)로부터 독립적으로 사전-세정 공정 동안 기판 트레이(206)의 하나 이상의 가열 요소(230)가 켜지거나 및/또는 꺼질 수 있다. 일부 실시예에서, 냉각체(208)와 연관된 임의의 온도 제어 특징부(가령, 냉각체(208)의 하나 이상의 가열 요소(210) 및/또는 냉각 요소(212))의 제어와 독립적으로, 사전-세정 공정 동안 기판 트레이(206)의 하나 이상의 가열 요소(230)가 켜져서 기판 표면 상에 증착된 사전-세정 물질의 승화를 촉진시킬 수 있다. 일부 실시예에서, 하나 이상의 가열 요소(230)는 꺼지거나 감온되어 기판이 사전-세정 물질의 형성을 위해 더 낮은 온도로 유지될 수 있다.
본 명세서에 기재된 바와 같이, 기판 트레이(206)는 냉각체(208)와 직접 접촉할 수 있다. 일부 실시예에서, 기판 트레이(206)는 사전-세정 공정의 적어도 일부분 동안, 가령, 사전-세정 물질의 증착 동안, 냉각(208)와 직접 접촉을 유지한다. 예를 들어, 이 증착 동안 기판 트레이(206)와 냉각체(208) 모두는 정지상태(stationary)(가령, 서로 직접 접촉)를 유지할 수 있다. 일부 실시예에서, 기판 트레이(206) 및 냉각체(208)는 사전-세정 공정 전체에서, 가령, 사전-세정 물질의 증착과 뒤 이어지는 상기 사전-세정 물질의 승화 모두 동안에서, 정지상태를 유지하고 서로 접촉할 수 있다. 예를 들어, 사전-세정 공정 동안의 원하는 주기 동안 기판 트레이(206)로 연결된 하나 이상의 가열 요소(230)가 켜질 수 있고, 반면에 냉각체(208)는 비교적 더 차갑게 유지되도록 냉각체(208)의 하나 이상의 가열 요소(210)가 켜지지 않거나 더 낮은 수준의 열을 제공할 수 있다. 일부 실시예에서, 사전-세정 물질의 승화 동안 기판 트레이(206)의 하나 이상의 가열 요소(230)가 활성화될 수 있고 그 후 원하는 승화가 이뤄지면 꺼질 수 있다. 그 후 냉각체(208)와의 접촉에 의해, 예를 들어, 기판 트레이(206)와 냉각체(208) 간 열 전달로 인해, 기판 트레이(206)가 냉각될 수 있다. 이러한 냉각은 기판 또는 기판 트레이(206)의 적절한 증착 온도를 확립함으로써 사전-세정 물질의 차후 증착을 위한 기판 또는 기판 트레이(206)를 준비한다. 일부 실시예에서, 그 후 기판은 사전-세정 물질의 증착 및 사전-세정 물질의 승화의 또 다른 사이클의 대상이 될 수 있다. 그 밖의 다른 일부 실시예에서, 기판이 반응 챔버로부터 제거될 수 있고 다른 기판이 상기 다른 기판 상의 사전-세정 공정을 위해 반응 챔버로 로딩될 수 있다.
바람직하게는, 정지상태 기판 트레이(206) 및 냉각체(208)를 유지하는 것이 증가된 처리율 및/또는 감소된 제조 비용을 촉진시킬 수 있다. 예를 들어, 기판 트레이(206) 및 냉각체(208)를 이동시킬 필요 없이 사전-세정 공정을 수행하는 것이 더 짧은 공정 시간(가령, 복수의 사이클을 포함하는 사전-세정 공정의 경우), 단순화된 반응 챔버 하드웨어 구성성분 및/또는 감소된 설비 유지관리 비용을 촉진시킬 수 있다.
일부 실시예에서, 기판 트레이(206)와 냉각체(208) 중 하나 또는 둘 모두가 서로에 대해 이동할 수 있다. 일부 실시예에서, 냉각체(208)가 기판 트레이(206)에 대해 이동되는 동안, 기판 트레이(206)는 정지 상태를 유지한다. 예를 들어, 냉각체(208)는 사전-세정 물질의 승화를 위해 기판 트레이(206)에서 멀어지는 방향으로 이동될 수 있고 그 후 원하는 승화가 이뤄진 후 기판 트레이(206)와 접촉하게 되어 기판을 냉각시킬 수 있다. (가령, 기판 트레이(206)를 가열하기 위해 기판 트레이(206)의 가열 요소에 의해 생성된 열을 이용하고 냉각체(208)를 이용하지 않는 것 때문에) 기판 트레이(206)를 가열시키면서 기판 트레이(206)로부터 냉각체(208)를 이격시키는 것이 기판 트레이(206)의 고속 가열을 촉진시키고, 반면에 냉각체(208)는 상당히 더 저온으로 유지될 수 있다. 기판 트레이(206)와 상당히 더 냉각된 냉각체(208) 간 차후 접촉이, 기판 트레이(206)에서 냉각체(208)로 열을 전달함으로써, 기판 트레이(206)의 빠른 냉각을 촉진시킬 수 있다.
일부 실시예에서, 냉각체(208)는 기판 트레이(206)의 질량보다 상당히 더 높은 질량을 가질 수 있다. 어떠한 특정 이론 또는 동작 모드에 구애 받지 않고, 이러한 냉각체(208)는 기판 트레이(206)의 고속 가열 및 냉각을 촉진시킬 수 있다. 예를 들어, 가령, 냉각체(208)가 가열된 기판 트레이(206)와 접촉하는 경우, 상당히 큰 질량을 갖는 냉각체(208)가 기판 트레이(206)의 가열 동안 충분한 저온에서 냉각체(208)의 온도를 유지하는 것을 촉진시킬 수 있다. 예를 들어 기판 트레이(206)의 하나 이상의 가열 요소(230)가 꺼진 후, 바람직하게 저온으로 유지되는 냉각체(208)와 가열된 기판 트레이(206)의 직접 접촉이 원하는 속도로 기판 트레이(206)의 차후 냉각을 촉진시킬 수 있다.
일부 실시예에서, 냉각체(208)의 질량이 기판 트레이(206)의 질량에 비해 충분히 커서, 사전-세정 물질을 증착하기 위한 온도에서 냉각체(208)의 온도를 유지하는 것을 촉진시킬 수 있다. 바람직하게는, 가령, 냉각체가 가열된 기판 트레이(206)와 접촉하는 경우, 냉각체(208)의 온도가 기판 트레이(206)의 가열 동안 실질적 변화를 보이지 않는다. 예를 들어, 기판 트레이(206)의 가열 동안 및/또는 기판 트레이(206)의 가열의 중단 후, 기판 트레이(206)와 직접 접촉하는 냉각체(208)의 벌크 온도가 변화하지 않은 채 또는 실질적으로 변화하지 않은 채 유지될 수 있다. 일부 실시예에서, 냉각체(208)는 약 10℃ 내지 약 50℃, 가령, 약 15℃ 내지 약 30℃, 약 25℃ 내지 약 30℃의 온도로 유지될 수 있다. 예를 들어, 기판 트레이(206)가 가열되는 동안, 냉각체(208)는 약 10℃ 내지 약 15℃의 온도로 유지될 수 있다.
일부 실시예에서, 기판 트레이(206)의 질량에 대한 냉각체(208)의 질량의 비가 약 10:1 내지 약 60:1. 가령, 약 10:1 내지 약 40:1, 약 15:1 내지 약 30:1, 또는 약 15:1 내지 약 25:1일 수 있다. 예를 들어, 냉각체(208)에 대한 기판 트레이(206)의 질량 비는 약 1:20일 수 있다.
도 3a 내지 3c는 기판 트레이(206)에 대한 냉각체(208)의 운동의 예시를 도시한다. 도 3a는 기판 트레이(206) 상의 기판(302) 및 냉각체(208) 상의 기판 트레이(206)를 포함하는 구성(350)을 도시한다. 도 3a에 도시된 바와 같이, 기판(302)은 기판 트레이(206) 상에서 이와 직접 접촉할 수 있고, 기판 트레이(206)는 냉각체(208) 상에서 이와 직접 접촉할 수 있다. 도 3a에 따르면, 기판 트레이(206)는 기판(302)을 수용하도록 구성된 상부 표면 상의 오목부(310)를 포함할 수 있다. 상기 기판(302)은 상기 오목부(310) 내에서 기판 트레이(206)의 상부 표면의 일부분을 따라 상기 기판 트레이(206)와 직접 접촉할 수 있다. 본 명세서에 기재된 바와 같이, 일부 실시예에서, 상기 기판 트레이(206)는 기판을 수용하기 위해 상부 표면 상에 오목부를 갖지 않을 수 있다. 예를 들어, 기판 트레이(206)는 기판(302)이 위치될 수 있는 평평한 상부 표면을 포함할 수 있다. 일부 실시예에서, 기판(302)과 기판 트레이(206) 간의 직접 접촉이 이들 간의 열 전달을 촉진시킬 수 있고, 따라서 기판(302)의 원하는 온도 제어를 촉진시킬 수 있다.
도 3a에 도시된 바와 같이, 냉각체(208)는 기판 트레이(206)를 수용하기 위해 상부 표면 상에 오목부(214)를 가질 수 있다. 기판 트레이(206)가 오목부(214) 내에서 냉각체(208)의 상부 표면의 일부분과 직접 접촉하도록 상기 오목부(214)의 형태 및/또는 치수가 정해질 수 있다. 기판 트레이(206)와 냉각체(208) 간 직접 접촉이 열 전달을 촉진시킬 수 있어서 기판 트레이(206)의 원하는 온도 제어를 촉진시킬 수 있다.
도 3a를 참조하면, 복수의 지지 요소(308)가 기판 트레이(206)를 지지하도록 사용될 수 있다. 상기 지지 요소(308)는 하부 표면에 걸쳐 원하는 위치에서 기판 트레이(206)를 유지하는 것을 촉진시키도록 하는 위치에서 기판 트레이(206)의 하부 표면을 따라 배치(가령, 연결)될 수 있다. 예를 들어, 냉각체(208)가 기판 트레이(206)에 대해 이동되는 동안 지지 요소(308)가 원하는 위치에서 기판 트레이(206)를 유지할 수 있다. 일부 실시예에서, 기판 트레이(206)는 도시된 것보다 더 적거나 더 많은 지지 요소(308)(가령, 3개 또는 4개의 지지 요소(308))로 연결될 수 있다. 일부 실시예에서, 하나 이상의 기판 트레이 가열 요소(230)로의 연결이 하나 이상의 지지 요소(308)를 통해 확장될 수 있다.
도 3b는 기판 트레이(206) 및 냉각체(208)가 서로 직접 접촉되어 있지 않도록 기판 트레이(206)로부터 이격된 냉각체(208)를 포함하는 구성(360)을 도시한다. 예를 들어, 냉각체(208)와 기판 트레이(206)를 분리하기 위해 냉각체(208)가 기판 트레이(206)에 비해 하강될 수 있다. 일부 실시예에서, 하나 이상의 위치설정 요소(도시되지 않음)가 냉각체(208) 및/또는 기판 트레이(206)에 연결될 수 있고 냉각체(208) 및/또는 기판 트레이(206)를 이동시키도록 구성될 수 있다. 예를 들어, 하나 이상의 위치설정 요소가 냉각체(208)로 연결될 수 있고, 제어 유닛(108)(도 1)으로부터의 하나 이상의 제어 신호를 수신한 것에 응답하여 냉각체(208)를 하강시키도록 구성될 수 있다. 일부 실시예에서, 기판 트레이(206)로부터 냉각체(208)를 분리하는 것이 기판 트레이(206)의 원하는 가열을 촉진시킬 수 있다.
일부 실시예에서, 기판 트레이(206)의 하나 이상의 가열 요소(230)를 활성화시키기 전(가령, 직전), 활성화시키는 동안, 및/또는 활성화시킨 후(가령, 직후)에 냉각체(208)가 기판 트레이(206)로부터 멀어지도록 이동될 수 있어서, 기판 트레이(206)가 더 높은 온도까지 비교적 빠르게 가열될 수 있다. 일부 실시예에서, 사전-세정 물질을 기판 표면 상으로 증착하는 동안 냉각체(208)가 기판 트레이(206)와 직접 접촉할 수 있고, 그 후 사전-세정 물질의 증착이 완료된 후 냉각체(208)가 하강되고 기판 트레이(206)로부터 분리될 수 있다. 예를 들어, 기판 표면으로부터 사전-세정 물질을 승화시키기 위한 공정을 개시하기 바로 전(가령, 직전), 동안 및/또는 개시한 후(가령, 직후) 냉각체(208)는 하강될 수 있다. 일부 실시예에서, 사전-세정 물질을 승화시키는 전체 또는 실질적으로 전체 공정 동안 냉각체(208)는 기판 트레이(206)와 이격되어 직접 접촉하지 않을 수 있다. 일부 실시예에서, 냉각체 가열 요소(210)가 사전-세정 물질의 승화 동안 켜지지 않는다. 예를 들어, 기판 트레이(206)의 온도가 냉각체(208)의 온도와 독립적으로 제어될 수 있다.
도 3c를 참조하면, 구성(370)은 기판 트레이(206)와 접촉하는 냉각체(208)를 보여준다. 기판 트레이(206) 아래에 이격되어 있는 냉각체(208)가 상승되어 냉각체(208)를 기판 트레이(206)와 접촉시킴으로써, 기판 트레이를 냉각시킬 수 있다. 예를 들어, 하강 후에, 냉각체(208)가 기판 트레이(206)와 다시 직접 접촉하게 되도록 냉각체(208)는 상승될 수 있다. 일부 실시예에서, 제어 유닛으로부터의 하나 이상의 제어 신호를 수신한 것에 응답하여, 냉각체(208)에 연결된 하나 이상의 위치 설정 요소가 냉각체(208)를 상승시키도록 구성될 수 있다.
본 명세서에 기재된 바와 같이, 냉각체(208)는 사전-세정 물질의 승화 동안 기판 트레이(206)로부터 멀어지는 방향으로 이격되어 위치할 수 있다. 일부 실시예에서, 그 후 원하는 승화가 이뤄진 후 냉각체(208)는 상승될 수 있다. 예를 들어, 원하는 승화가 완료된 후, 하나 이상의 기판 트레이 가열 요소(230)를 끄기 전(가령, 직전), 끄는 동안, 또는 끈 후(가령, 직후)에 하나 이상의 기판 트레이 가열 요소(230)가 꺼질 수 있고, 냉각체(208)는 기판 트레이(206)와 접촉하도록 상승될 수 있다. 일부 실시예에서, 냉각체(208)는 기판 트레이(206)의 온도보다 낮은 온도로 유지된다. 일부 실시예에서, 승화 공정 동안, 냉각체(208)가 기판 트레이(206)의 온도보다 상당히 더 낮은 온도로 유지될 수 있다. 예를 들어, 냉각체(208)는 승화 공정 동안 약 20℃ 내지 약 30℃, 가령, 약 20℃ 내지 약 25℃의 온도로 유지될 수 있다. 일부 실시예에서, 승화 공정 동안, 기판 트레이(206)는 약 100℃ 내지 약 150℃, 또는 약 350℃ 내지 약 400℃의 온도까지로 가열될 수 있다. 비교적 더 저온의 냉각체(208)를 기판 트레이(206)와 접촉시킴으로써, 기판 트레이(206)와 냉각체(208) 간 열 전달이 촉진되어 기판 트레이(206)의 원하는 냉각이 촉진될 수 있다.
일부 실시예에서, 기판 트레이(206) 및/또는 냉각체(208)의 이동 및/또는 이동 타이밍이 제어 유닛(가령, 도 1을 참조하여 기재된 제어 유닛(108))에 의해 제어될 수 있다. 예를 들어, 제어 유닛은 반응 챔버와 통신할 수 있고(가령, 하나 이상의 위치설정 요소가 반응 챔버, 가령, 도 1을 참조하여 기재된 반응 챔버(102) 내 냉각체에 연결됨), 언제 그리고 어떻게 냉각체(208)가 이동(가령, 상승 또는 하강)되는지를 제어하기 위해 반응 챔버로 통신되는 하나 이상의 제어 신호를 개시하도록 구성(가령, 프로그램)될 수 있다. 일부 실시예에서, 제어 유닛은 기판 트레이(206)의 온도 제어 요소들 중 하나 이상 및/또는 냉각체(208)의 온도 제어 요소들 중 하나 이상을 제어하기 위해 반응 챔버로 통신되는 하나 이상의 제어 신호를 개시할 수 있다.
일부 실시예에서, 도 2 및 3의 장치가 복수의 사이클을 포함하는 사전-세정 공정을 수행하도록 제공될 수 있으며, 사전-세정 공정의 각각의 사이클은 기판 표면 상의 사전-세정 물질의 증착 및 증착된 사전-세정 물질의 승화를 포함한다. 예를 들어, 원하는 옥사이드 물질 제거를 이루기 위한 단일 사이클을 포함하는 사전-세정 공정보다 복수의 사이클을 포함하는 사전-세정 공정이 원하는 옥사이드 물질 제거를 이루도록 사용될 수 있다. 예를 들어, 복수의 사이클의 각각의 사이클이 표면 옥사이드 물질의 원하는 부분만 제거하도록 구성될 수 있다. 일부 실시예에서, 복수의 사이클이 동일한 반응 챔버(가령, 도 2a의 반응 챔버(201))에서 수행될 수 있다. 일부 실시예에서, 사전-세정 공정의 하나의 사이클의 하나 이상의 파라미터가 사전-세정 공정의 또 다른 사이클의 하나 이상의 파라미터와 상이할 수 있다. 일부 실시예에서, 사전-세정 공정이 복수의 사이클을 포함할 수 있으며, 이때 복수의 사이클의 각각의 사이클은 유사한 또는 동일한 파라미터를 포함한다. 일부 실시예에서, 사전-세정 공정은 약 2 내지 약 20 사이클, 가령, 약 2 내지 약 5 사이클을 포함할 수 있다. 그 밖의 다른 일부 실시예에서, 사전-세정 공정은 단일 사이클을 포함한다.
일부 실시예에서, 복수의 사이클의 적어도 하나의 사이클은 냉각체(208)의 이동을 포함할 수 있다. 예를 들어, 냉각체(208)가 기판 트레이(206)와 접촉하게 될 수 있다. 일부 실시예에서, 복수의 사이클의 각각의 사이클 동안 냉각체(208)가 하강 및 상승된다. 일부 실시예에서, 냉각체(208)가 사전-세정 공정 전체에서 정지상태이다. 예를 들어, 복수의 사이클의 각각의 사이클 전체에서 냉각체(208)는 정지상태이고 기판 트레이(206)와의 직접 접촉을 유지한다. 일부 실시예에서, 사전-세정 공정 동안 기판 트레이(206)와 냉각체(208) 모두 이동되지 않는다.
일부 실시예에서, 기판 표면이 실리콘 니트라이드 물질(가령, 기판 표면 상의 다양한 전자 소자, 가령, 전자 소자를 위한 스페이서 특징부의 형성 시 사용되는 실리콘 니트라이드 물질) 및 사전-세정 공정에 의해 제거될 옥사이드 물질(가령, 실리콘 옥사이드, 게르마늄 옥사이드, 실리콘 게르마늄 옥사이드)을 포함할 수 있다. 일부 실시예에서, 옥사이드 물질은 약 7:1보다 큰 선택비로 기판 표면 상의 실리콘 니트라이드에 비해 선택적으로 제거될 수 있다. 일부 실시예에서, 실리콘 니트라이드에 대한 옥사이드를 제거하기 위한 사전-세정 공정의 선택비가 약 6:1 내지 약 150:1일 수 있다. 예를 들어, 선택비는 약 6:1 내지 약 60:1, 또는 약 7:1 내지 약 15:1, 가령, 약 8:1 내지 약 15:1 또는 약 8:1 내지 약 12:1일 수 있다.
사전-세정 공정의 제1 사이클의 선택비가 사전-세정 공정의 다음 사이클의 선택비(가령, 기판 표면 상의 다른 물질, 가령, 실리콘 니트라이드 물질에 대한, 기판 표면 옥사이드, 가령, 실리콘 및/또는 게르마늄을 포함하는 표면 옥사이드의 제거의 선택비)와 상당히 상이할 수 있음이 발견됐다. 일부 실시예에서, 사전-세정 공정의 다음 사이클이 사전-세정 공정의 제1 사이클에 비교할 때 상당히 더 높은 선택비를 보일 수 있는 것이 바람직하다. 일부 실시예에서, 사전-세정 공정의 제2 사이클이 약 30:1 내지 약 150:1, 약 60:1 내지 약 150:1, 또는 약 60:1 내지 약 100:1의 선택비로 기판 표면 상의 실리콘 니트라이드에 비해 기판 표면 옥사이드의 선택적 제거를 보일 수 있다. 더 많은 개수의 사이클을 포함하는 사전-세정 공정이 훨씬 더 높은 선택비 성능을 촉진시킬 수 있다.
일부 실시예에서, 복수의 사이클을 포함하는 사전-세정 공정은 바람직하게 낮은 개수의 결함을 유지하면서 표면 옥사이드 물질의 원하는 제거를 촉진시킬 수 있다. 어떠한 특정 이론 또는 동작 모드에 구애 받지 않으면서, 특징부 크기가 계속 축소될 때, 기판의 표면 상의 사전-세정 물질의 형성이 특징부의 막힘(clogging)(가령, 트렌치 특징부의 막힘)에 기여하여, 막힌 특징부 내로부터 사전-세정 물질의 차후 승화 및/또는 옥사이드 물질이 제거되지 못할 수 있다. 어떠한 특정 이론 또는 동작 모드에 의해서도 구애 받지 않으면서, 특징부 크기가 계속 축소되고 종횡비(aspect ration)가 증가함에 따라, 특징부 내에서(가령, 트렌치 하부 부분에서) 원하는 옥사이드 제거를 이루기 위한 사전-세정 공정 동안, 특징부 상부 표면이 사전-세정 화학물에의 과도 노출을 겪을 수 있다. 사전-세정 물질을 증착 및 승화하는 복수의 사이클을 포함하는 사전-세정 공정(가령, 각각의 사이클이 더 짧은 지속시간을 가짐)을 수행함으로써 옥사이드 물질을 제거하는 것이 (가령, 하나의 더 긴 사이클을 포함하는 사전-세정 공정에 비교할 때) 기판 표면 특징부의 막힘(clogging)을 감소시키면서 옥사이드 물질의 원하는 제거를 촉진시키거나 및/또는 사전-세정 화학물에의 특징부 상부 표면의 과다 노출을 감소시킬 수 있다.
도 4는 기판의 표면을 사전-세정하기 위한 공정(400)의 하나의 예시를 도시한다. 일부 실시예에서, 공정(400)은 단일 반응 챔버(가령, 도 2a를 참조하여 기재된 반응기(200))에서 수행될 수 있다. 블록(402)에서, 기판이 냉각체 위에 위치하는 기판 트레이 상에 배치된다. 예를 들어, 기판은 기판 트레이와 직접 접촉하도록 배치될 수 있다. 블록(404)에서, 사전-세정 물질, 가령, 할로겐-함유 물질이 기판의 표면 상으로 증착될 수 있다. 일부 실시예에서, 기판 표면 상의 옥사이드를 사전-세정 공정의 반응 기체에 노출시킴으로써, 할로겐-함유 물질이 형성될 수 있다. 예를 들어, 할로겐-함유 물질은 반응 기체와 옥사이드 물질 간 상호작용으로부터 도출된 하나 이상의 산물, 가령, 실리콘 및/또는 게르마늄을 포함하는 옥사이드 물질을 포함할 수 있다. 블록(404)에서, 기판의 온도가 비교적 낮은 수준으로 유지됨이 인지될 것이다. 예를 들어, 기판이 안착되는 기판 트레이가 냉각체와 직접 접촉할 수 있고 본 명세서에 기재된 바와 같이 기판 트레이의 가열 요소가 꺼지거나 낮은 수준의 열만 제공할 수 있다.
블록(406)에서, 냉각체의 온도와 독립적으로 기판 트레이의 온도를 제어함으로써 사전-세정 물질은 승화될 수 있다. 냉각체의 온도를 더 낮은 수준으로 유지하면서 상기 기판 트레이가 가열되어 기판의 가열을 촉진시킬 수 있다. 예를 들어, 마찬가지로 냉각체의 가열 요소로의 전력을 증가시키지 않으면서, 기판 트레이에 연결된 하나 이상의 가열 요소가 켜질 수 있다. 일부 실시예에서, 본 명세서에서 언급된 바와 같이, 기판 트레이는 냉각체로부터 분리되어 트레이의 가열을 더 촉진시킬 수 있다.
도 4를 계속 참조하면, 사전-세정 물질의 승화가 기판 표면 상의 옥사이드 물질의 제거를 촉진시킬 수 있다. 그 후 타깃 물질이 사전-세정된 기판 표면 상에 형성될 수 있다. 일부 실시예에서, 제2 반응 챔버에서 타깃 물질이 사전-세정된 표면 상에 형성된다. 일부 실시예에서, 타깃 물질은 에피택시 층, 가령, 에피택시 실리콘 층일 수 있다. 일부 실시예에서, 타깃 물질은 사전-세정을 위해 사용된 반응 챔버와 상이한 반응 챔버에 증착된다.
도 4에 도시된 바와 같이, 일부 실시예에서, 사전-세정 공정(400)은 복수의 사이클(408)을 포함할 수 있으며, 각각의 사이클(408)은 블록(404 및 406)을 포함한다. 예를 들어, 사전-세정 공정(400)은 기판 표면으로부터의 원하는 옥사이드 제거를 이루기 위해 원하는 횟수만큼의 블록(404 및 406)의 반복을 포함할 수 있다. 일부 실시예에서, 블록(404) 및 이에 뒤 따르는 블록(406)을 포함하는 사이클(408) 각각은 옥사이드 물질의 일부분만 제거하며, 복수의 사이클은 옥사이드 물질을 제거 또는 실질적으로 제거하도록 구성된다.
사전-세정 공정(400)의 복수의 사이클(408) 중 하나 이상이 본 명세서에 기재된 바와 같이 수행될 수 있다. 예를 들어, 사이클의 반응 기체(반응 기체의 다양한 구성성분의 농도, 반응 기체의 하나 이상의 구성성분의 활성화 및/또는 사전-가열) 및/또는 하나 이상의 공정 파라미터(가령, 사전-세정 물질의 형성 및/또는 승화 동안의 기판 온도)가 본 명세서에 기재된 바와 같이 선택될 수 있다. 일부 실시예에서, 사이클의 반응 기체 및/또는 하나 이상의 공정 파라미터가 사전-세정 공정에서 또 다른 사이클의 것과 동일하거나 상이할 수 있다.
사전-세정 공정의 할로겐-함유 물질을 형성하기 위한 반응 기체의 조성은 하나 이상의 운반 기체를 포함할 수 있다. 적절한 운반 기체는 임의의 개수의 비활성 기체를 포함할 수 있다. 일부 실시예에서, 운반 기체는 아르곤(Ar)을 포함할 수 있다. 일부 실시예에서, 반응 기체는 할로겐-함유 기체를 더 포함할 수 있다. 예를 들어, 할로겐-함유 기체가 불소-함유 기체일 수 있다. 적절한 불소-함유 기체는, 비제한적 예를 들면, 질소 트리플루오라이드(NF3), 수소 플루오라이드(HF), 및/또는 이원자 불소(F2)를 포함할 수 있다. 일부 실시예에서, 반응 기체는 수소-함유 기체를 더 포함할 수 있다. 적절한 수소-함유 기체는, 예를 들어, 암모니아(NH3)를 포함할 수 있다.
본 명세서에 기재된 바와 같이, 사전-세정 물질은 반응 기체와 기판 표면 옥사이드의 반응에 의해 형성된 하나 이상의 화합물, 가령, 실리콘 옥사이드 및 게르마늄 옥사이드를 포함할 수 있다. 예를 들어, 암모니아를 포함하는 반응 기체, 및 하나 이상의 불소-함유 화합물이 실리콘 옥사이드와 화학적으로 반응하여, 수증기 및 질소, 수소, 및 실리콘을 포함하는 사전-세정 물질을 발생시킬 수 있다. 일부 실시예에서, 암모니아 및 질소 트리플루오라이드, 수소 플루오라이드, 및/또는 불소가 실리콘 옥사이드와 반응하여 암모늄 헥사플루오로실리케이트((NH4)2SiF6)를 포함하는 사전-세정 물질을 제공할 수 있다. 일부 실시예에서, 사전-세정 물질은 예를 들어 암모늄 플루오라이드(NH4F) 및/또는 암모늄 수소 플루오라이드(NH4HF2)를 포함할 수 있다.
어떠한 특정 이론 또는 동작 모드에 의해서도 구애받지 않으면서, 암모늄 플루오라이드(NH4F)는, 반응 기체의 할로겐-함유 구성성분(가령, NF3, HF, 및/또는 F2)으로부터의 불소(F) 원자가 암모니아(NH3)와 반응하여 수소 플루오라이드(HF)를 형성하고, 상기 수소 플루오라이드가 암모니아(NH3)와 결합되어 암모늄 플루오라이드(NH4F)를 형성할 수 있을 때, 형성될 수 있다고 여겨진다. 일부 실시예에서, 암모늄 플루오라이드는 실리콘 옥사이드를 분해 및 이와 반응하여 실리콘 테트라플루오라이드(SiF4) 및 수증기(H2O)를 형성함으로써, 실리콘 옥사이드를 제거할 수 있고, 테트라플루오라이드(SiF4)는 NH4F와 결합되어 암모늄 헥사플루오로실리케이트 ((NH4)2SiF6)를 형성할 수 있으며, 암모늄 헥사플루오로실리케이트 ((NH4)2SiF6)는 기판 표면 상에 필름 층을 형성할 수 있다. 예를 들어, 암모늄 플루오라이드의 전기적으로 음성인 불소(F)가 실리콘 옥사이드의 비교적 더 전기적으로 양성인 실리콘(Si)에 끌릴 수 있고, 암모늄(NH4 +)은 실리콘 옥사이드의 산소(O)에 끌릴 수 있다. 일부 실시예에서, 암모늄 헥사플루오로실리케이트((NH4)2SiF6)를 포함하는 사전-세정 물질이 기판을 가열함으로써, 분해 및/또는 휘발될 수 있는데, 가령, 분해되어 테트라플루오라이드(SiF4), 암모니아(NH3) 및/또는 수소 플루오라이드(HF)를 형성할 수 있다. 일부 실시예에서, 표면 옥사이드는 게르마늄 옥사이드를 포함하고 사전-세정 물질은 암모늄 헥사플루오로게르마네이트((NH4)2GeF6)를 포함하여, 기판을 가열함으로써 암모늄 헥사플루오로게르마네이트가 휘발 및/또는 분해된다.
일부 실시예에서, 기판은 사전-세정 물질의 형성 동안, 가령, 기판 표면이 사전-세정 공정의 반응 기체에 노출되는 동안, 원하는 온도로 유지될 수 있다. 일부 실시예에서, 사전-세정 물질의 형성 동안 기판은 반응 기체의 응결 온도보다 높은 온도로 유지될 수 있다. 예를 들어, 일부 실시예에서 기판은 약 15℃ 초과, 또는 약 20℃ 초과의 온도로 유지될 수 있다. 일부 실시예에서, 기판은 약 15℃ 내지 약 50℃, 가령, 약 15℃ 내지 약 30℃, 약 25℃ 내지 약 30℃의 온도로 유지될 수 있다. 예를 들어, 기판은 약 22℃ 내지 약 28℃의 온도로 유지될 수 있으며, 이 온도는 기판 표면 상에서 실리콘 니트라이드 물질에 비해, 실리콘 옥사이드 및/또는 게르마늄 옥사이드의 제거를 위한 높은 선택비를 촉진시킬 수 있다.
사전-세정 물질은 다양한 기법을 이용해 제거(가령, 분해 및/또는 휘발)될 수 있다. 일부 실시예에서, 상기 사전-세정 물질은 사전-세정 물질의 성분의 승화 온도에 가까운, 또는 상기 승화 온도의, 또는 상기 승화 온도보다 높은 온도까지의 기판의 가열을 통해 제거될 수 있다. 예를 들어, 사전-세정 물질의 승화를 촉진시키기는 조건 하에서, 기판은 약 80℃ 내지 약 500℃, 가령, 약 80℃ 내지 약 150℃, 약 300℃ 내지 약 500℃, 및 약 300℃ 내지 약 400℃의 온도로 가열될 수 있다. 예를 들어, 기판은 약 120℃ 또는 약 380℃의 온도로 가열되어 암모늄 헥사플루오로실리케이트((NH4)2SiF6), 및/또는 암모늄 헥사플루오로게르마네이트((NH4)2GeF6)의 승화를 야기할 수 있다.
기판이 안착되는 기판 트레이의 가열, 및 상기 기판 트레이의 냉각 또는 기판 트레이로부터의 열 전달에 의해, 기판의 온도가 조절될 수 있다. 기판을 가열하는 것은 기판으로 에너지를 제공하기 위한 또 다른 방식에 의해 증강될 수 있음이 자명할 것이다. 예를 들어, 사전-세정 물질이 원격 플라스마 소스에 의해 활성화되는 기체 화학종에 노출될 수 있다. 일부 실시예에서, 원격 플라스마 소스에 의해 활성화되는 화학종이 사전-세정 물질의 하나 이상의 성분과 상호작용을 하여, 가령, 기판 표면으로부터 제거될 수 있는 휘발 화학종을 형성할 수 있다. 일부 실시예에서, 기체 화학종은 비활성 기체 화학종(가령, Ar, N2, He 등)일 수 있다.
일부 실시예에서, 할로겐-함유 사전-세정 물질이 가열된 기체(가령, 가열된 비활성 기체)에 노출되어 사전-세정 물질의 제거를 촉진시킬 수 있다. 상기 기체는 사전-세정 물질을, 사전-세정 물질의 적어도 일부분이 증기 상태가 되게 하는 온도까지로 가열하기에 충분한 온도로 가열될 수 있다. 예를 들어, 상기 온도는 약 80℃, 약 100℃, 약 120℃, 또는 약 150℃ 초과일 수 있다. 일부 실시예에서, 상기 온도는 약 300℃, 약 350℃, 약 400℃ 및 약 450℃ 초과일 수 있다.
일부 실시예에서, 사전-세정 물질은 자외선(UV) 및/또는 적외선(IR) 복사에 노출되어 사전-세정 물질의 제거를 촉진시킬 수 있다. 예를 들어, UV 및/또는 IR 복사는 사전-세정 물질의 적어도 일부분이 증기 상태가 되게 하는 에너지를 제공할 수 있다.
일부 실시예에서, 사전-세정 물질을 원격 플라스마 소스에 의해 활성화된 기체 화학종, 가열된 반응 기체 및/또는 자외선 복사에 노출시킴으로써, 반응 챔버의 수분 함량이 감소될 수 있다. 바람직하게도, 승화가 H2O를 발생시킬 수 있기 때문에 감소된 수분 함량은 사전-세정 물질의 승화를 촉진시킬 수 있다.
일부 실시예에서, 기판을 제1 반응 챔버(가령, 사전-세정 물질이 형성된 반응 챔버)에서 상이한 제2 반응 챔버(가령, 다음 공정, 가령, 기판 상의 타깃 물질의 형성이 수행되는 반응 챔버)로 이송하는 동안 사전-세정 물질의 제거가 수행될 수 있다. 예를 들어, 제1 반응 챔버에서 제2 반응 챔버로 이송되는 동안 사전-세정 물질은 자외선 복사 및/또는 적외선 복사에 노출될 수 있다.
사전-세정 공정 반응 기체의 하나 이상의 구성성분이 플라스마 소스에 의해 활성화될 수 있다. 예를 들어, 반응 기체의 하나 이상의 구성성분이 원격 플라스마 소스(가령, 원격 플라스마 유닛, 즉, RPU)에 의해, 가령, 하나 이상의 구성성분을 원격 플라스마 소스를 통과하도록 유동시켜, 하나 이상의 활성화된 반응물질 화학종(가령, 발생된 대전된 이온, 및/또는 중성 원자 및/또는 라디칼)을 생성함으로써, 활성화될 수 있다. 일부 실시예에서, 반응 기체의 구성성분 중 적어도 하나가 플라스마 소스에 의해 활성화되지 않는다.
일부 실시예에서, 기판 표면 사전-세정 공정은 (가령, 아르곤-함유, 할로겐-함유, 및/또는 수소-함유 대전된 이온, 원자 및/또는 라디칼을 포함하는 반응물질 화학종을 제공하기 위해) 운반 기체(가령, 아르곤), 할로겐-함유 기체, 및/또는 수소-함유 기체를 포함할 수 있고, 이들 중 적어도 하나가 플라스마-활성화된다. 예를 들어, 아르곤(Ar)을 포함하는 반응 기체가 원격 플라스마 소스에 의해, 가령, 아르곤 기체를 원격 플라스마 유닛을 통과하도록 유동시킴으로써, 활성화될 수 있다. 일부 실시예에서, 불소-함유 기체가 반응 챔버로 도입되기 전에 원격 플라스마 유닛을 통과해 유동됨으로써 활성화될 수 있다. 일부 실시예에서, 원격 플라스마 유닛을 통과하도록 수소-함유 기체를 유동시킴으로써, 수소-함유 기체(가령, 암모니아)가 활성화될 수 있다.
일부 실시예에서, 운반 기체, 할로겐-함유 기체, 및 수소-함유 기체 중 하나 이상이 플라스마-활성화되지 않을 수 있다. 예를 들어, 기판 표면이 반응 챔버 내에서 반응 기체에 노출되기 전에 활성화되지 않은 반응 기체가 원격 플라스마 소스를 통과하도록 유동되지 않을 수 있다. 일부 실시예에서, 상기 운반 기체는 원격 플라스마 소스에 의해 활성화되며, 반면에 할로겐-함유 기체와 수소-함유 기체는 원격 플라스마 소스에 의해 활성화되지 않을 수 있다. 예를 들어, 불소 함유 기체 및 수소 함유 기체가, 상기 기체들을 플라스마 소스를 통과하도록 유동시키지 않으면서, 반응 챔버 내로 도입될 수 있다. 일부 실시예에서, 반응 기체의 모든 구성성분이 원격 플라스마 소스, 가령, 운반 기체, 할로겐-함유 기체, 및 수소-함유 기체에 의해 활성화된다.
일부 실시예에서, 기판 표면은 반응 챔버로 전달되기 전에 활성화된 반응물질 화학종 및 활성화되지 않은 반응물질 화학종에 동시에, 또는 실질적으로 동시에, 가령, 활성화된 반응물질 화학종과 활성화되지 않은 반응물질 화학종의 결합물에 노출될 수 있다. 일부 실시예에서, 기판 표면은 활성화된 반응물질 화학종 및/또는 활성화되지 않은 반응물질 화학종에 순차적으로 노출될 수 있다. 예를 들어, 기판 표면은 우선 반응 기체의 하나 이상의 구성성분의 활성화된(가령, 원격 플라스마 유닛에 의해 활성화된) 반응물질 화학종에 노출시키고, 그 후 반응 기체의 하나 이상의 구성성분 중 다른 구성성분의 제2의 활성화된 또는 활성화되지 않은(가령, 원격 플라스마 유닛에 의해 활성화되지 않은) 반응물질 화학종에 노출될 수 있다. 일부 실시예에서, 기판 표면은 우선 활성화되지 않은 반응물질 화학종에 노출될 수 있고, 그 후 활성화된 반응물질 화학종에 노출될 수 있다. 노출의 시퀀스가 원하는 사전-세정 공정 성능을 제공하도록 선택될 수 있다. 예를 들어, 기판 표면은 우선 원격 플라스마 유닛에 의해 활성화된 운반 기체 및 불소-함유 기체에 노출되고, 그 후 활성화되지 않은 수소-함유 기체(가령, 암모니아) 및 불소-함유 기체의 결합물에 노출되거나, 우선 활성화되지 않은 수소-함유 기체에 노출되고 그 후 활성화되지 않은 불소-함유 기체에 노출될 수 있다.
일부 실시예에서, 활성화되지 않은 반응 기체는 반응 챔버로 도입되기 전에 플라스마 소스에 의해 활성화된 하나 이상의 반응 기체와 결합될 수 있다. 예를 들어, 비활성화된 반응 기체가 반응 챔버로 도입되기 전에 상기 원격 플라스마 소스에 의해 활성화된 반응 기체와, 원격 플라스마 소스의 하류에서, 결합될 수 있다. 일부 실시예에서, 반응 기체의 구성성분은 반응 챔버로 순차적으로 도입될 수 있다. 예를 들어, 기판은 우선 반응 기체의 하나 이상의 활성화된 구성성분에 노출되고, 그 후 반응 기체의 하나 이상의 활성화되지 않은 성분에 노출되거나, 그 반대의 순서로 노출될 수 있다. 일부 실시예에서, 반응 기체의 활성화된 구성성분 및/또는 활성화되지 않은 구성성분 자체도 반응 챔버로 순차적으로 도입될 수 있다(가령, 반응 기체의 제1 활성화된 구성성분, 그 후 반응 기체의 제2 활성화된 구성성분이 도입될 수 있다).
일부 실시예에서, 활성화된 운반 기체 및 활성화되지 않은 할로겐-함유 기체 및 활성화되지 않은 수소-함유 기체가 반응 챔버로 도입되기 전에, 원격 플라스마 소스에 의해 활성화된 운반 기체(가령, 아르곤)가 활성화되지 않은 할로겐-함유 기체(가령, 수소 플루오라이드, 이원자 불소, 및/또는 질소 트리플루오라이드) 및 비활성화된 수소-함유 기체(가령, 암모니아)와, 원격 플라스마 소스의 하류 위치에서, 결합될 수 있다. 일부 실시예에서, 운반 기체(가령, 아르곤) 및 할로겐-함유 기체(가령, 수소 플루오라이드, 이원자 불소, 및/또는 질소 트리플루오라이드)가 결합되고 원격 플라스마 소스에 의해 활성화되며, 그 후 활성화된 운반 기체, 활성화된 할로겐-함유 기체, 및 활성화되지 않은 수소-함유 기체가 반응 챔버로 도입되기 전에, 활성화되지 않은 수소-함유 기체(가령, 암모니아)와, 원격 플라스마 소스의 하류 위치에서, 결합된다. 일부 실시예에서, 운반 기체(가령, 아르곤), 할로겐-함유 기체(가령, 수소 플루오라이드, 이원자 불소, 및/또는 질소 트리플루오라이드) 및 수소-함유 기체(가령, 암모니아)가 원격 플라스마 소스에 의해 모두 활성화된다. 예를 들어, 운반 기체, 할로겐-함유 기체 및 수소-함유 기체가, 기체를 원격 플라스마 소스를 통과하도록 유동시키기 전에, 결합될 수 있다.
일부 실시예에서, 사전-세정 물질의 형성은 우선 반응 챔버로 원격 플라스마 소스에 의해 활성화된 운반 기체(가령, 아르곤) 및 할로겐-함유 기체(가령, 수소 플루오라이드, 이원자 불소, 및/또는 질소 트리플루오라이드)의 결합물이 도입되고, 그 후, 활성화되지 않은 할로겐-함유 기체(가령, 수소 플루오라이드) 및 수소-함유 기체(가령, 암모니아)의 결합물이 도입되는 것을 포함할 수 있다. 일부 실시예에서, 사전-세정 물질의 형성은 우선 반응 챔버로 원격 플라스마 소스에 의해 활성화되는 운반 기체 및 할로겐-함유 기체의 결합물이 도입되고, 그 후 활성화되지 않은 할로겐-함유 기체가 순차적으로 유동되며 활성화되지 않은 수소-함유 기체가 뒤 따르는 것을 포함할 수 있다.
일부 실시예에서, 하나 이상의 공정이 반응 챔버로부터의 기체 제거(가령, 과량의 반응 기체 및/또는 기상 반응 부산물을 포함할 수 있는 기존 반응 챔버 분위기의 제거)를 위해 사용될 수 있다. 일부 실시예에서, 하나 이상의 기체 제거 공정이 반응 챔버로의 반응 기체의 구성성분들의 유동 사이에서 사용될 수 있다. 예를 들어, 반응 챔버는 배기 및/또는 퍼징(purge)될 수 있다. 퍼징 공정(purge process)에서 다양한 비활성 기체, 가령, 질소(N2), 헬륨(He), 및/또는 아르곤(Ar)이 사용될 수 있다. 일부 실시예에서, 활성화되지 않은 비활성 기체(가령, 활성화되지 않은 N2, He, 및/또는 Ar)가 퍼징 공정에서 사용될 수 있다.
사전-세정 공정에서의 기체 흐름의 시퀀스가 예를 들어, 우선 반응 챔버로 원격 플라스마 소스에 의해 활성화된 운반 기체(가령, 아르곤) 및 할로겐-함유 기체(가령, 수소 플루오라이드, 이원자 불소, 및/또는 질소 트리플루오라이드)가 도입되고, 그 후 기체 제거 공정이 뒤 따르며, 그 후 활성화되지 않은 할로겐-함유 기체(가령, 수소 플루오라이드) 및 수소-함유 기체(가령, 암모니아)의 결합물의 반응 챔버로의 유동이 뒤따른다.
일부 실시예에서, 기체 제거 공정이 반응 챔버로의 반응 기체의 유동을 시작하기 전에, 및/또는 반응 기체의 유동을 중단한 후에 사용될 수 있다. 일부 실시예에서, 반응 챔버로의 반응 기체의 유동을 시작하기 전에 및/또는 반응 챔버로의 반응 기체의 유동을 중단한 후에 하나 이상의 퍼징 공정이 수행될 수 있다. 예를 들어, 반응 챔버로의 반응 기체의 유동을 시작하기 전에, 그리고 일부 실시예에서, 반응 기체의 유동을 중단한 후에, 하나 이상의 비활성 기체(가령, 아르곤, 예컨대, 활성화되지 않은 아르곤)가 반응 챔버로 유동될 수 있다. 기체 흐름의 시퀀스의 예시가, 예를 들어, 제1 반응 챔버 퍼징 공정을 수행, 가령, 반응 챔버로 하나 이상의 비활성 기체를 유동시키고, 그 후 반응 기체의 구성성분을 반응 챔버로 도입하는 것을 포함할 수 있다. 반응 기체의 구성성분의 유동을 중단한 후, 제2 퍼징 공정이 수행될 수 있고, 여기서 제2 퍼징 공정은 반응 기체의 과량의 구성성분 및/또는 기체 반응 부산물에 대해 반응 챔버를 퍼징하기 위해 반응 챔버로 하나 이상의 비활성 기체를 유동시키는 것을 포함한다.
일부 실시예에서, 퍼징 공정의 하나 이상의 비활성 기체가 반응 챔버로 도입되기 전에 원격 플라스마 유닛을 통해 유동될 수 있다. 퍼징 공정의 하나 이상의 비활성 기체는 원격 플라스마 유닛 내에서 활성화된 플라스마가 아닐 수 있다(가령, 원격 플라스마 유닛 내에서 플라스마가 점화되지 않는 동안 원격 플라스마 유닛을 통과하는 하나 이상의 비활성 기체의 유동이 발생할 수 있다). 예를 들어, 하나 이상의 비활성 기체가 원격 플라스마 유닛을 퍼징하도록 및/또는 그 후 원격 플라스마 유닛에서 플라스마를 점화하도록 사용될 수 있다. 예를 들어, 플라스마가 점화되지 않은 원하는 지속시간 동안 원격 플라스마 유닛을 통과하도록 비활성 기체를 유동시킨 후, 원격 플라스마 유닛을 통과하는 비활성 기체의 유동이 계속되어, 원격 플라스마 유닛을 통과하여 유동하는 비활성 기체에 의해 상기 원격 플라스마 유닛 내 플라스마가 점화될 수 있게 한다.
일부 실시예에서, 반응 기체의 하나 이상의 구성성분이 반응 챔버로 도입될 때 원하는 온도에서 유지될 수 있다. 예를 들어, 운반 기체, 할로겐-함유 기체, 및 수소-함유 기체 중 하나 이상이 반응 챔버로 도입되기 전에 가열되어 사전-세정 물질을 형성할 수 있다. 일부 실시예에서, 수소-함유 기체가 가열된다. 예를 들어, 상기 수소-함유 기체는 약 30℃ 내지 약 120℃, 가령, 약 80℃ 내지 약 115℃, 약 70℃ 내지 약 110℃, 약 70℃ 내지 약 105℃, 및 약 70℃ 내지 약 100℃의 온도까지로 가열될 수 있다. 바람직하게는, 사전-가열(pre-heating)이 개선된 사선-세정 공정 성능을 촉진시킬 수 있는데, 예컨대, 사전-세정 물질의 형성을 촉진시킬 수 있다. 일부 실시예에서, 기판 표면의 사전-세정 공정이 사전-가열된 암모니아 기체를 포함할 수 있고, 상기 암모니아 기체는 약 80℃ 내지 약 115℃의 온도까지로 가열된다. 반응 기체의 하나 이상의 그 밖의 다른 구성성분이 또한 사전-가열될 수 있다.
사전-세정 공정에서의 다양한 반응 기체들의 비가 실리콘 니트라이드에 대한 실리콘 옥사이드 물질의 제거 시 선택비에 영향을 미칠 수 있다. 일부 실시예에서, 사전-세정 공정을 위한 반응 기체가 약 3:1 내지 약 10:1의 수소-함유 기체에 대한 할로겐-함유 기체의 분자비를 가질 수 있다. 일부 실시예에서, 분자 비는 약 4:1 내지 약 10:1, 가령, 약 5:1 내지 약 10:1일 수 있다. 예를 들어, 사전-세정 공정의 반응 기체는 약 4.5:1의 질소 트리플루오라이드, 수소 플루오라이드 및/또는 불소 기체에 대한 암모니아의 분자 비를 가질 수 있다. 일부 실시예에서, 이의 이송 튜브 내에서 사전-가열된 활성화되지 않은 질소 트리플루오라이드에 대한 원격 플라스마 소스에 의해 활성화되는 암모니아의 분자 비는 약 3:1일 수 있다. 바람직하게는, 이러한 비는 실리콘 니트라이드에 대한 표면 옥사이드의 제거에 대해 높은 수준의 선택비를 제공할 수 있다.
사전-세정 공정의 예시적 시퀀스는 제1 반응 챔버(가령, 반응 챔버(201))로 세정될 표면을 갖는 기판을 제공하는 것을 포함할 수 있다. 상기 기판은 제1 반응 챔버 내에서 약 15℃ 내지 약 30℃의 온도로 유지될 수 있다. 예를 들어, 기판은 약 17℃ 내지 약 29℃의 온도로 유지될 수 있다. 제1 퍼징 공정은 제1 반응 챔버로의 반응 기체의 유동을 시작하기 전에 수행될 수 있다. 예를 들어, 제1 반응 챔버 내에 기판이 제공된 후 그리고 반응 기체의 유동을 시작하기 전에, 활성화되지 않은 아르곤 기체가 제1 반응 챔버 내로 유동될 수 있다. 활성화되지 않은 아르곤 기체가 제1 반응 챔버로 도입되기 전에 상기 활성화되지 않은 아르곤 기체는 원격 플라스마 유닛을 통과하여 유동될 수 있다. 예를 들어, 어떠한 플라스마도 상기 원격 플라스마 유닛에서 점화되지 않은 동안, 활성화되지 않은 아르곤 기체는 원격 플라스마 유닛을 통과하도록 유동될 수 있다. 제1 반응 챔버의 원하는 퍼징이 완료되면, 활성화되지 않은 수소-함유 기체(가령, 활성화되지 않은 암모니아(NH3))가 제1 반응 챔버로 도입될 수 있다. 활성화되지 않은 수소-함유 기체가 제1 반응 챔버로 도입되기 전에 사전-가열되지 않을 수 있다(가령, 제1 반응 챔버로 도입되는 활성화되지 않은 NH3가 사전-가열되지 않을 수 있다). 그 후, 원격 플라스마 유닛 내 플라스마가 유닛을 통과하여 제1 반응 챔버로 유동되는 아르곤 기체에 의해 점화될 수 있다. 그 후, 원격 플라스마 유닛을 통과해 반응 챔버로 할로겐-함유 기체를 유동시킴으로써, 할로겐-함유 기체, 가령, 질소 트리플루오라이드(NF3)가 원격 플라스마 유닛에 의해 활성화될 수 있다. 기판 표면 사전-세정 물질의 원하는 형성 후, 반응 기체의 유동이 중단될 수 있고 원격 플라스마 유닛 내 플라스마가 꺼질(turn off) 수 있다. 반응 기체의 유동을 중단시킨 후 제2 퍼징 공정이 수행될 수 있다. 예를 들어, 제2 퍼징 공정에서 활성화되지 않은 아르곤 기체가 반응 챔버로 유동될 수 있다. 제2 퍼징 공정 동안 활성화되지 않은 아르곤 기체는 제1 반응 챔버로 도입되기 전에 원격 플라스마 유닛을 통과하도록 유동될 수 있다. 그 후 기판 표면 사전-세정 물질은 제1 반응 챔버에서 제거될 수 있다. 본 명세서에 기재된 바와 같이, 기판 표면 사전-세정 물질의 제거가 기판 표면 사전-세정 물질을 약 80℃ 초과, 가령, 약 120℃ 및 약 380℃의 온도까지로 가열함으로써 기판 표면 사전-세정 물질의 승화를 포함할 수 있다.
그 후 사전-세정된 표면을 갖는 기판이 제1 반응 챔버로부터 제거되어 상이한 제2 챔버로 이송될 수 있다. 일부 실시예에서, 타깃 물질이 제2 반응 챔버에서 상기 사전-세정된 기판 표면 상에 형성될 수 있으며, 예컨대, 에피택시 물질 층이 형성될 수 있다. 일부 실시예에서, 바람직하게는, 이러한 시퀀스를 갖는 사전-세정 공정이 높은 선택비(가령, 기판 표면 상의 다른 물질, 가령, 실리콘 니트라이드 물질에 대한 기판 표면 실리콘 옥사이드의 제거의 선택비), 예컨대, 14:1의 선택비를 이루는 것을 촉진시킬 수 있다. 일부 실시예에서, 바람직하게도 이러한 시퀀스를 갖는 사전-세정 공정은 본 명세서에 기재된 바와 같이 개선된 선택비를 이루는 것을 촉진시킬 수 있다.
이 개시내용은 특정 실시예 및 예시의 맥락으로 제공되었지만, 해당 분야의 통상의 기술자라면 본 개시내용이 특정하게 기재된 실시예뿐 아니라 그 밖의 다른 대안적 실시예 및/또는 실시예의 용도 및 이의 자명한 변경 및 균등예까지 포함함을 이해할 것이다. 덧붙여, 본 개시내용의 실시예의 몇 개의 변형예가 상세히 도시 및 기재되었지만, 본 발명의 범위 내에 있는 그 밖의 다른 변경이 본 개시내용을 기초로 해당 분야의 통상의 기술자에게 쉽게 이해될 것이다. 실시예의 특정 특징 및 양태의 다양한 조합 또는 부분 조합이 이뤄지고 본 개시내용의 범위 내에 여전히 속할 수 있음이 또한 고려된다. 개시된 실시예의 다양한 특징 및 양태가 서로 조합, 또는 치환되어 본 개시내용의 실시예의 다양한 모드를 형성할 수 있다. 따라서 본 개시내용의 범위는 상기에서 기재된 특정 실시예에 의해 제한되지 않는 것이다.

Claims (31)

  1. 집적 회로 제조를 위한 시스템으로서, 상기 시스템은
    기판을 처리하기 위한 반응 챔버;
    상기 반응 챔버 내에서 기판을 수용하기 위한 기판 트레이;
    상기 기판 트레이에 연결되고 상기 기판 트레이의 온도를 제어하도록 구성된 제1 가열 요소;
    상기 기판 트레이를 수용하기 위한 냉각체;
    상기 냉각체에 내장되고 상기 제1 가열 요소 및 상기 기판 트레이와 독립적으로 상기 냉각체의 온도를 증가시키도록 구성된 제2 가열 요소;
    상기 냉각체에 연결되고 상기 냉각체의 온도를 감소시키도록 구성된 냉각 요소로서, 상기 냉각 요소는 상기 제2 가열 요소와 함께 활성화될 수 있는, 냉각 요소;
    상기 반응 챔버와 유체 연통하는 전구체 소스;
    상기 반응 챔버와 통신하고, 다른 반응 챔버로 상기 기판을 이송하지 않고 상기 반응 챔버 내에서 복수의 순차적인 열 사이클을 개시함으로써 상기 기판의 표면으로부터 옥사이드 물질을 제거하도록 하는 하나 이상의 제어 신호를 제공하도록 프로그래밍된 제어 유닛; 및
    상기 냉각체와 상기 기판 트레이 중 하나 또는 모두를 서로에 대해 이동시키도록 구성된 위치설정 요소를 포함하고,
    각각의 열 사이클은:
    상기 기판 트레이로부터 상기 냉각체로 열을 전달함으로써 상기 기판을 증착 온도로 냉각하는 단계로서, 상기 기판을 상기 증착 온도로 냉각하는 단계는 상기 냉각체와 상기 기판 트레이 사이의 거리를 감소시키는 단계를 포함하는, 단계;
    상기 전구체 소스로부터의 전구체를 상기 반응 챔버로 유동시켜 상기 증착 온도에서 상기 냉각된 기판 상에 물질을 증착하는 단계; 및
    상기 물질을 승화시키기에 충분한 온도로 상기 냉각된 기판을 가열하는 단계로서, 상기 냉각된 기판을 가열하는 단계는 상기 냉각체와 상기 기판 트레이 사이의 거리를 증가시키는 단계를 포함하고, 상기 기판 트레이의 질량에 대한 상기 냉각체의 질량의 비는 10:1 내지 60:1의 범위인, 단계를 포함하며,
    상기 제어 유닛은:
    상기 기판 트레이가 상기 냉각체와 직접 접촉하도록 상기 냉각체 및 상기 기판 트레이 중 하나 또는 모두를 서로에 대해 이동시키는 제어 신호를 제공하고; 및
    상기 기판 트레이가 상기 냉각체와 직접 접촉하지 않도록 상기 냉각체 및 상기 기판 트레이 중 하나 또는 모두를 서로에 대해 이동시키는 제어 신호를 제공하도록 더 프로그래밍되는, 집적 회로 제조를 위한 시스템.
  2. 제1항에 있어서, 상기 냉각체에 연결된 복수의 냉각 요소를 더 포함하고, 상기 복수의 냉각 요소는 상기 냉각체의 측방향 치수를 따라 분포되는, 집적 회로 제조를 위한 시스템.
  3. 제1항에 있어서, 상기 제1 가열 요소는 상기 기판 트레이의 외부 표면에 연결되거나, 상기 제1 가열 요소는 상기 기판 트레이에 내장되거나, 또는 상기 제1 가열 요소는 상기 기판의 외부 표면에 연결되고 상기 기판 트레이에 내장되는, 집적 회로 제조를 위한 시스템.
  4. 제1항에 있어서, 상기 기판 트레이는 실리콘 카바이드 및 알루미늄 니트라이드 중 적어도 하나를 포함하는, 집적 회로 제조를 위한 시스템.
  5. 제1항에 있어서, 상기 기판 트레이는 냉각체에 부착되는, 집적 회로 제조를 위한 시스템.
  6. 제1항에 있어서, 냉각체와 기판 트레이 중 하나 또는 둘 모두를 서로에 대해 이동시키도록 구성된 위치설정 요소를 더 포함하는, 집적 회로 제조를 위한 시스템.
  7. 제6항에 있어서,
    상기 기판 트레이가 상기 냉각체와 직접 접촉하도록 상기 기판 트레이와 상기 냉각체 중 하나 또는 둘 모두를 서로에 대해 이동시키기 위한 제어 신호를 제공하고,
    상기 기판 트레이가 상기 냉각체와 직접 접촉하지 않도록 상기 기판 트레이와 상기 냉각체 중 하나 또는 둘 모두를 서로에 대해 이동시키기 위한 제어 신호를 제공하도록 프로그램된 제어 유닛
    을 더 포함하는, 집적 회로 제조를 위한 시스템.
  8. 제1항에 있어서, 반응 챔버와 기체 연통(gas communication)하는 할로겐-함유 성분 및 수소-함유 성분의 소스를 더 포함하는, 집적 회로 제조를 위한 시스템.
  9. 제8항에 있어서, 할로겐-함유 성분 및 수소-함유 성분 중 적어도 하나를 활성화시키기 위한 원격 플라스마 생성기를 더 포함하는, 집적 회로 제조를 위한 시스템.
  10. 제1항에 있어서, 상기 냉각체는 서셉터(susceptor)인, 집적 회로 제조를 위한 시스템.
  11. 집적 회로 제조를 위한 방법으로서, 상기 방법은
    기판의 표면으로부터 옥사이드 물질을 제거하는 단계를 포함하며, 상기 표면은 실리콘을 포함하고, 상기 옥사이드 물질을 제거하는 단계는
    가열 요소를 포함하는 기판 트레이 상에 기판을 제공하는 단계,
    상기 기판 트레이로부터 냉각체로 열을 전달시킴으로써 상기 기판을 냉각시키는 단계로서, 상기 기판 트레이는 상기 냉각체에 대해 이동가능하고, 상기 기판을 냉각시키는 단계는 상기 냉각체와 상기 기판 트레이 상에 안착된 상기 기판 사이의 거리를 감소시키는 단계를 포함하는, 단계,
    상기 기판이 기판 트레이 상에 위치하는 동안 냉각된 기판 상에 할로겐-함유 물질을 증착하는 단계,
    상기 냉각체와 상기 기판 트레이 상에 안착된 상기 기판 사이의 거리를 증가시키고 상기 기판 트레이로부터 상기 기판으로 열을 전달시켜 냉각된 기판을 가열시킴으로써 할로겐-함유 물질을 차후 승화시키는 단계로서, 상기 기판을 냉각시키는 단계, 상기 냉각된 기판 상에 상기 할로겐-함유 물질을 증착하는 단계, 및 상기 할로겐-함유 물질을 차후 승화시키는 단계는 옥사이드 제거 사이클의 각각의 부분인, 단계, 및
    상기 기판을 다른 반응 챔버로 이송하지 않고 단일 반응 챔버 내에서 상기 기판에 대하여 복수의 순차적인 옥사이드 제거 사이클을 수행하는 단계를 포함하고,
    상기 냉각된 기판을 가열하는 단계 동안, 상기 냉각체의 상부 고체 표면은 상기 기판 트레이의 상부 고체 표면과 하부 표면 사이의 분리를 정의하며, 그리고
    상기 기판 트레이의 질량에 대한 상기 냉각체의 질량의 비는 10:1 내지 60:1의 범위인, 집적 회로 제조를 위한 방법.
  12. 제11항에 있어서, 기판을 냉각시키는 단계, 할로겐-함유 물질을 증착하는 단계, 및 할로겐-함유 물질을 차후 승화시키는 단계는, 냉각체가 기판 트레이와 직접 접촉하는 동안 수행되는, 집적 회로 제조를 위한 방법.
  13. 제12항에 있어서, 기판을 냉각시키는 단계는 기판 트레이의 가열 요소로의 가열 파워(heating power)를 감소 또는 차단하는 단계를 포함하고, 상기 기판을 가열시키는 것은 기판 트레이의 가열 요소로의 가열 파워를 증가 또는 제공하는 것을 포함하는, 집적 회로 제조를 위한 방법.
  14. 제11항에 있어서, 상기 냉각체는
    가열 요소, 및
    냉각 시스템
    을 포함하고, 상기 가열 요소 및 상기 냉각 시스템은 할로겐-함유 물질의 증착 동안 및 차후의 할로겐-함유 물질의 승화 동안 상기 냉각체의 온도를 상기 기판 트레이의 온도 미만으로 능동적으로 유지하도록 구성되는, 집적 회로 제조를 위한 방법.
  15. 제11항에 있어서, 상기 할로겐-함유 물질의 증착 동안 상기 기판 트레이와 상기 냉각체는 직접 접촉하며, 상기 방법은
    할로겐-함유 물질의 승화 전에 상기 기판 트레이와 냉각체를 분리시키는 단계
    를 더 포함하며, 상기 냉각체는 할로겐-함유 물질의 승화 동안 상기 기판 트레이와 직접 접촉하지 않는, 집적 회로 제조를 위한 방법.
  16. 제15항에 있어서, 할로겐-함유 물질의 승화 후에 상기 기판 트레이를 냉각시키는 단계를 더 포함하며, 상기 기판 트레이를 냉각시키는 단계는 상기 할로겐-함유 물질의 승화 후에 상기 냉각체와 상기 기판 트레이를 서로 직접 접촉하게 하는 단계를 포함하는, 집적 회로 제조를 위한 방법.
  17. 제11항에 있어서, 상기 기판 표면은 게르마늄을 더 포함하는, 집적 회로 제조를 위한 방법.
  18. 제11항에 있어서, 상기 기판 표면은 실리콘 니트라이드를 더 포함하며, 상기 옥사이드 물질을 제거하는 단계는 상기 실리콘 니트라이드에 대해 옥사이드 물질을 7:1 내지 20:1의 선택비로 선택적으로 제거하는 단계를 포함하는, 집적 회로 제조를 위한 방법.
  19. 제11항에 있어서, 할로겐-함유 물질을 증착하는 단계 및 할로겐-함유 물질을 차후 승화시키는 단계는 동일한 반응 챔버 내에서 수행되는, 집적 회로 제조를 위한 방법.
  20. 제11항에 있어서, 상기 할로겐-함유 물질을 승화시키는 단계는 80℃ 내지 150℃의 기판 온도를 얻도록 기판 트레이를 가열하는 단계를 포함하는, 집적 회로 제조를 위한 방법.
  21. 제11항에 있어서, 할로겐-함유 물질을 증착하는 단계는 22℃ 내지 28℃의 기판 온도를 유지하는 단계를 포함하는, 집적 회로 제조를 위한 방법.
  22. 제11항에 있어서, 상기 할로겐-함유 물질을 증착하는 단계는 기판의 표면을 불소-함유 기체 및 수소-함유 기체에 노출시키는 단계를 포함하는, 집적 회로 제조를 위한 방법.
  23. 제22항에 있어서, 상기 할로겐-함유 물질은 암모늄 헥사플루오로실리케이트를 포함하는, 집적 회로 제조를 위한 방법.
  24. 제11항에 있어서, 옥사이드 물질을 제거하는 단계 후 기판 상에 에피택시 층을 증착하는 단계를 더 포함하는, 집적 회로 제조를 위한 방법.
  25. 집적 회로 제조를 위한 방법으로서, 상기 방법은
    가열 요소를 포함하는 기판 트레이 상에 기판을 배치하는 단계 - 상기 기판 트레이는 고체 물질을 포함하는 냉각체 위에 위치하고, 상기 기판 트레이는 상기 냉각체에 대하여 이동가능함 - , 및
    동일한 하나의 반응 챔버에서 복수의 옥사이드 제거 사이클을 수행함으로써 기판의 표면으로부터 옥사이드 물질을 제거하는 단계를 포함하며, 옥사이드 제거 사이클 각각은
    기판 표면 상에 할로겐-함유 물질을 증착하는 단계, 및
    냉각체의 온도와 독립적으로 기판 트레이의 온도를 제어함으로써 상기 할로겐-함유 물질을 승화시키는 단계를 포함하고,
    상기 기판 트레이의 질량에 대한 상기 냉각체의 질량의 비는 10:1 내지 60:1의 범위인, 집적 회로 제조를 위한 방법.
  26. 제25항에 있어서, 상기 표면은 실리콘 및 게르마늄 중 적어도 하나를 포함하는, 집적 회로 제조를 위한 방법.
  27. 제26항에 있어서, 상기 표면은 실리콘 니트라이드를 더 포함하고, 두 번째 옥사이드 물질을 제거하는 것은 실리콘 니트라이드에 대한 두 번째 옥사이드 물질을 선택적으로 40:1 내지 100:1의 선택비로 제거하는 것을 포함하는, 집적 회로 제조를 위한 방법.
  28. 제25항에 있어서, 기판 트레이의 온도를 제어하는 것은 기판 트레이를 가열하는 것을 포함하는, 집적 회로 제조를 위한 방법.
  29. 제28항에 있어서, 상기 기판 트레이를 가열하는 것은 기판 트레이가 냉각체와 직접 접촉하는 동안 상기 기판 트레이를 가열하는 것을 포함하며, 상기 냉각체는 기판 트레이의 온도 설정점보다 낮은 온도 설정점을 갖는, 집적 회로 제조를 위한 방법.
  30. 제25항에 있어서,
    상기 할로겐-함유 물질을 증착하는 단계 전에, 상기 기판 트레이를 상기 냉각체와 접촉하게 함으로써, 상기 기판 트레이를 냉각시키는 단계
    를 더 포함하며, 상기 할로겐-함유 물질을 증착하는 단계는 상기 기판 트레이가 상기 냉각체와 접촉하는 동안 수행되는, 집적 회로 제조를 위한 방법.
  31. 제30항에 있어서,
    상기 할로겐-함유 물질을 증착하는 단계 후에, 상기 냉각체와 상기 기판 트레이를 이격시키는 단계, 및
    상기 냉각체와 상기 기판 트레이가 이격되어 있는 동안 상기 기판 트레이를 가열하는 단계
    를 더 포함하고, 상기 할로겐-함유 물질을 승화시키는 단계는 상기 냉각체와 상기 기판 트레이가 이격되어 있는 동안 수행되는, 집적 회로 제조를 위한 방법.
KR1020160028788A 2015-03-11 2016-03-10 기판 온도를 변경하기 위한 기판 트레이를 이용한 사전-세정 챔버 및 공정 KR102519095B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/645,158 2015-03-11
US14/645,158 US10373850B2 (en) 2015-03-11 2015-03-11 Pre-clean chamber and process with substrate tray for changing substrate temperature

Publications (2)

Publication Number Publication Date
KR20160110218A KR20160110218A (ko) 2016-09-21
KR102519095B1 true KR102519095B1 (ko) 2023-04-06

Family

ID=56887498

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160028788A KR102519095B1 (ko) 2015-03-11 2016-03-10 기판 온도를 변경하기 위한 기판 트레이를 이용한 사전-세정 챔버 및 공정

Country Status (4)

Country Link
US (2) US10373850B2 (ko)
KR (1) KR102519095B1 (ko)
CN (1) CN105977134B (ko)
TW (1) TWI687541B (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
JP6449074B2 (ja) * 2015-03-25 2019-01-09 住友化学株式会社 基板処理装置及び基板処理方法
KR101874822B1 (ko) * 2016-04-01 2018-07-06 주식회사 테스 실리콘산화막의 선택적 식각 방법
US20200013629A1 (en) * 2016-12-15 2020-01-09 Asm Ip Holding B.V. Semiconductor processing apparatus
CN107195580B (zh) * 2017-05-23 2023-05-05 商洛学院 一种可在不同衬底块同步生长的两用mocvd衬底架托盘结构
US20190051540A1 (en) * 2017-08-08 2019-02-14 Lam Research Corporation Systems and methods for plasma-less de-halogenation
US11328929B2 (en) * 2018-05-01 2022-05-10 Applied Materials, Inc. Methods, apparatuses and systems for substrate processing for lowering contact resistance
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
WO2023148797A1 (ja) * 2022-02-01 2023-08-10 株式会社日立ハイテク エッチング方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244145A (ja) * 2007-03-27 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
JP2009170547A (ja) * 2008-01-11 2009-07-30 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4361461A (en) 1981-03-13 1982-11-30 Bell Telephone Laboratories, Incorporated Hydrogen etching of semiconductors and oxides
US4615905A (en) 1982-09-24 1986-10-07 Sovonics Solar Systems, Inc. Method of depositing semiconductor films by free radical generation
US4517223A (en) 1982-09-24 1985-05-14 Sovonics Solar Systems Method of making amorphous semiconductor alloys and devices using microwave energy
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4632057A (en) 1985-08-05 1986-12-30 Spectrum Cvd, Inc. CVD plasma reactor
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US5138973A (en) 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US5248636A (en) 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
JP2626913B2 (ja) 1988-07-29 1997-07-02 三菱電機株式会社 シリコン表面の処理方法
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
FR2675309A1 (fr) 1991-03-22 1992-10-16 Siemens Ag Procede pour eliminer localement des couches isolantes transparentes aux ultraviolets, situees sur un substrat semiconducteur.
US5228206A (en) 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP2605589B2 (ja) * 1993-07-27 1997-04-30 日本電気株式会社 減圧室の半導体基板加熱装置
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3328416B2 (ja) 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
TW406861U (en) 1994-07-28 2000-09-21 Semiconductor Energy Lab Laser processing system
DE19503718A1 (de) 1995-02-04 1996-08-08 Leybold Ag UV-Strahler
US5686748A (en) 1995-02-27 1997-11-11 Micron Technology, Inc. Dielectric material and process to create same
JPH09157846A (ja) * 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
US6347636B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5992429A (en) 1997-03-13 1999-11-30 Itt Manufacturing Enterprises Method for cleaning semiconductor wafers with an external heat source
JPH10321610A (ja) 1997-03-19 1998-12-04 Fujitsu Ltd 半導体装置の製造方法
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6079426A (en) 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
JP3292101B2 (ja) 1997-07-18 2002-06-17 信越半導体株式会社 珪素単結晶基板表面の平滑化方法
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6395192B1 (en) 1998-05-26 2002-05-28 Steag C.V.D. Systems Ltd. Method and apparatus for removing native oxide layers from silicon wafers
US6077353A (en) 1998-06-02 2000-06-20 Applied Materials, Inc. Pedestal insulator for a pre-clean chamber
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6339028B2 (en) 1999-04-27 2002-01-15 Stmicroelectronics, Inc. Vacuum loadlock ultra violet bake for plasma etch
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6071823A (en) 1999-09-21 2000-06-06 Promos Technology, Inc Deep trench bottle-shaped etch in centura mark II NG
US6457478B1 (en) 1999-11-12 2002-10-01 Michael J. Danese Method for treating an object using ultra-violet light
KR100316721B1 (ko) 2000-01-29 2001-12-12 윤종용 실리사이드막을 구비한 반도체소자의 제조방법
KR100360399B1 (ko) 2000-03-07 2002-11-13 삼성전자 주식회사 반구형입자(hsg)막을 구비한 반도체소자의 제조방법
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6498107B1 (en) 2000-05-01 2002-12-24 Epion Corporation Interface control for film deposition by gas-cluster ion-beam processing
US6319861B1 (en) 2000-05-02 2001-11-20 United Microelectronics Corp. Method of improving deposition
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6514886B1 (en) * 2000-09-22 2003-02-04 Newport Fab, Llc Method for elimination of contaminants prior to epitaxy
US6534412B1 (en) 2000-10-11 2003-03-18 United Microelectronics Corp. Method for removing native oxide
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US20030019858A1 (en) * 2001-07-27 2003-01-30 Applied Materials, Inc. Ceramic heater with thermal pipe for improving temperature uniformity, efficiency and robustness and manufacturing method
KR100431657B1 (ko) 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7153772B2 (en) * 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
JP2005158761A (ja) 2003-11-20 2005-06-16 Ulvac Japan Ltd 薄膜製造方法、半導体装置の製造方法、及び半導体装置
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7132372B2 (en) 2004-07-29 2006-11-07 Freescale Semiconductor, Inc. Method for preparing a semiconductor substrate surface for semiconductor device fabrication
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20060196527A1 (en) 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
CN100422394C (zh) 2006-03-20 2008-10-01 中国科学院物理研究所 一种在Si(111)衬底上制备高质量ZnO单晶薄膜的方法
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7651948B2 (en) 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
JP2008088529A (ja) 2006-10-04 2008-04-17 Ulvac Japan Ltd 膜形成方法および膜形成装置
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US8551880B2 (en) 2007-11-01 2013-10-08 Applied Materials, Inc. Ammonia-based plasma treatment for metal fill in narrow features
CN101459071B (zh) 2007-12-13 2010-12-22 中芯国际集成电路制造(上海)有限公司 去除硅衬底表面氧化硅层及形成接触孔的方法
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009194216A (ja) 2008-02-15 2009-08-27 Hitachi Ltd 半導体装置の製造方法
JP4968861B2 (ja) 2009-03-19 2012-07-04 東京エレクトロン株式会社 基板のエッチング方法及びシステム
CN102460650B (zh) * 2009-06-24 2014-10-01 佳能安内华股份有限公司 真空加热/冷却装置及磁阻元件的制造方法
WO2011043063A1 (ja) * 2009-10-05 2011-04-14 キヤノンアネルバ株式会社 基板冷却装置、スパッタリング装置および電子デバイスの製造方法
US8828852B2 (en) * 2009-12-10 2014-09-09 California Institute Of Technology Delta-doping at wafer level for high throughput, high yield fabrication of silicon imaging arrays
TW201210028A (en) 2010-05-28 2012-03-01 Corning Inc Light scattering inorganic substrates by soot deposition
KR20120034341A (ko) 2010-10-01 2012-04-12 주식회사 원익아이피에스 기판처리장치의 세정방법
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
CN102427027A (zh) 2011-07-22 2012-04-25 上海华力微电子有限公司 一种改善半导体自动对准镍硅化物热稳定性的工艺方法
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8632691B2 (en) 2012-05-18 2014-01-21 Peking University Interface treatment method for germanium-based device
US8455352B1 (en) 2012-05-24 2013-06-04 Applied Materials, Inc. Method for removing native oxide and associated residue from a substrate
US20140011339A1 (en) 2012-07-06 2014-01-09 Applied Materials, Inc. Method for removing native oxide and residue from a germanium or iii-v group containing surface
US8901677B2 (en) 2013-03-08 2014-12-02 Intermolecular, Inc. Nucleation interface for high-k layer on germanium
US20140273525A1 (en) 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US9668373B2 (en) * 2013-03-15 2017-05-30 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150079799A1 (en) * 2013-09-17 2015-03-19 Applied Materials, Inc. Method for stabilizing an interface post etch to minimize queue time issues before next processing step
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
CN103943494A (zh) 2014-03-24 2014-07-23 上海华力微电子有限公司 选择性外延生长工艺的前处理方法及半导体器件制造方法
US9474163B2 (en) * 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244145A (ja) * 2007-03-27 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
JP2009170547A (ja) * 2008-01-11 2009-07-30 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing

Also Published As

Publication number Publication date
US10373850B2 (en) 2019-08-06
CN105977134B (zh) 2021-11-23
US11264255B2 (en) 2022-03-01
US20160265112A1 (en) 2016-09-15
CN105977134A (zh) 2016-09-28
TWI687541B (zh) 2020-03-11
TW201700781A (zh) 2017-01-01
KR20160110218A (ko) 2016-09-21
US20190333793A1 (en) 2019-10-31

Similar Documents

Publication Publication Date Title
KR102519095B1 (ko) 기판 온도를 변경하기 위한 기판 트레이를 이용한 사전-세정 챔버 및 공정
EP2922083B1 (en) Plasma pre-clean process
TWI755355B (zh) 預清理副產物昇華之反應器系統及其方法
KR102358262B1 (ko) 게르마늄 산화물 전-세정 모듈 및 프로세스
US10615034B2 (en) Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
US9202745B2 (en) Directional SiO2 etch using low-temperature etchant deposition and plasma post-treatment
TWI604528B (zh) 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
US8980761B2 (en) Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment
CN111095481A (zh) 使用保形掺杂物膜沉积在3d结构中的保形卤素掺杂
JP6994381B2 (ja) エッチング方法
KR102071500B1 (ko) 반도체 소자의 제조방법
WO2024058881A1 (en) Surface modification to achieve selective isotropic etch
WO2014164493A1 (en) Methods for removing photoresist from substrates with atomic hydrogen

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant