KR102459039B1 - 양이온성 입자 함유 슬러리 및 스핀-온 카본 필름의 cmp를 위한 이의 사용 방법 - Google Patents

양이온성 입자 함유 슬러리 및 스핀-온 카본 필름의 cmp를 위한 이의 사용 방법 Download PDF

Info

Publication number
KR102459039B1
KR102459039B1 KR1020180035886A KR20180035886A KR102459039B1 KR 102459039 B1 KR102459039 B1 KR 102459039B1 KR 1020180035886 A KR1020180035886 A KR 1020180035886A KR 20180035886 A KR20180035886 A KR 20180035886A KR 102459039 B1 KR102459039 B1 KR 102459039B1
Authority
KR
South Korea
Prior art keywords
organic polymer
cmp
polymer film
abrasive composition
polishing
Prior art date
Application number
KR1020180035886A
Other languages
English (en)
Other versions
KR20180110626A (ko
Inventor
코주크 줄리아
멜버른 쿡 리
이. 밀스 마이클
Original Assignee
롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 filed Critical 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드
Publication of KR20180110626A publication Critical patent/KR20180110626A/ko
Application granted granted Critical
Publication of KR102459039B1 publication Critical patent/KR102459039B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B7/00Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor
    • B24B7/20Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground
    • B24B7/22Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain
    • B24B7/228Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain for grinding thin, brittle parts, e.g. semiconductors, wafers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Ceramic Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

본 발명은 리소그래피의 일부로서 또는 전자장치 패키징의 일부로서 반도체 웨이퍼 또는 기판 상에 스핀 코팅된 유기 폴리머 필름을 화학적 기계적 연마하는(CMP 연마)하는 방법을 제공한다. 본 방법은 반도체 웨이퍼 또는 기판 상에 유기 폴리머 액체를 스핀 코팅하는 단계; 스핀 코팅물을 적어도 부분적으로 경화시켜 유기 폴리머 필름을 형성시키는 단계; 및 연마 패드 및 1.5 내지 4.5의 범위의 pH를 갖는 수성 CMP 연마제 조성물로 유기 폴리머 필름을 CMP 연마시키는 단계를 포함하되, 상기 CMP 연마제 조성물은 하나 이상의 양이온성 질소 또는 인 원자를 함유하는 세장형의 굽은 또는 결절성 실리카 입자, 총 CMP 연마제 조성물 고형물 기준으로 0.005 내지 0.5 중량%의 설페이트기 함유 C8 내지 C18 알킬 또는 알케닐기 계면활성제, 및 pH 조절제를 포함한다.

Description

양이온성 입자 함유 슬러리 및 스핀-온 카본 필름의 CMP를 위한 이의 사용 방법 {CATIONIC PARTICLE CONTAINING SLURRIES AND METHODS OF USING THEM FOR CMP OF SPIN-ON CARBON FILMS}
본 발명은 양이온성 실리카 입자를 및 설페이트기 함유 계면활성제를 포함하는 연마제 조성물을 사용하는 반도체 웨이퍼 기판 상의 유기 폴리머 필름의 화학적 기계적 연마 (CMP)를 포함하는 방법에 관한 것이고, 이 방법은 높은 제거율 및 높은 기판 제거 선택도를 나타낸다.
반도체 및 전자 장치 산업에서, 화학적 기계적 연마 (CMP)는 제조 과정 동안 형성된 층들 평탄화하고, 연마하고 및/또는 제거하기 위해 사용된다. 일부의 이러한 층의 제조 과정에서, 유기 폴리머 액체 스핀 코팅 조성물로 제조된 스핀-온 카본 (SOC) 코팅은 예를 들면, 메모리 또는 로직 응용분야에서 사용하기 위한 반도체 기판을 제조하기 위한 포토리소그래피에서의 희생층 또는 마스크로서 유용한 필름을 생성한다. 스핀-온 유전체 (SOD) 코팅은 예컨대 광전자 응용분야를 위한 전자장치 기판의 패키징 공정에서 절연층 또는 재배선층으로서 유용하다.
포토리소그래피 응용분야에서, 예를 들면, 초점 요건(focus requirement)의 깊이를 충족시키기 위해 SOC 필름에서 다이 크기 및 웨이퍼 크기로의 효과적인 화학적 기계적 평탄화에 대한 필요성이 잔존한다. 지금까지, SOC 필름의 CMP는 원하는 제거를 실시하기 위해 고농도의 연마제를 포함하고 및/또는 산화제를 포함하는 슬러리에 의존적이었다. 이러한 슬러리는 또한 스크레치 및 다른 결함을 야기하고, 사용시 고비용을 초래한다.
MacDonald의 미국특허 제7,390,748 B2호는 반도체 웨이퍼 연마를 위한 방법을 개시하고 있고, 여기서 연마제 슬러리는 실리카 입자 및 계면활성제, 예컨대 4차 암모늄염을 포함하고, 이는 웨이퍼의 오목부 또는 저밀도 부분에서 바람직하지 않은 연마를 억제하는 것을 보조한다. 상기 MacDonald의 조성물에서, 연마에 사용되는 슬러리 조성물은 웨이퍼 표면의 등전점의 것과 입자 내의 연마제의 것 사이의 pH를 가진다. 그 결과, 슬러리는 기판의 것과 반대의 표면 전하를 가지고; 그러나, 계면활성제는 그에서 사용되는 연마제 입자와 동일한 표면 전하를 가지고, 이에 의해 연마를 억제한다. 본 개시내용 및 맥도널드의 조성물은 유기 폴리머 기판의 연마를 다루지 않는다.
본 발명자는 낮은 실리카 고형분 함량에서 연마 유기 기판, 예를 들면, 폴리머에서의 보다 일정하고 그리고 보다 조정가능한 연마 성능을 가능하게 하는 CMP 연마 방법을 제공하는 문제점을 해결하기 위해 노력하였다.
본 발명의 설명
1. 본 발명에 따르면, 본 방법은 반도체 웨이퍼 또는 기판, 예컨대 패턴화된 또는 비패턴화된 실리콘 웨이퍼, 갈륨-비소 웨이퍼, 또는 실리콘-게르마늄 웨이퍼 상에 유기 폴리머 액체를 형성하기 위해 스핀 코팅하는 단계, 스핀 코팅을 적어도 부분적으로 경화시켜 70 내지 375℃, 또는 바람직하게는 90 내지 350℃의 범위의 온도에서 유기 폴리머 필름을 형성하는 단계; 및 CMP 연마 패드 및 수성 CMP 연마제 조성물로 유기 폴리머 필름을 화학적 기계적 연마하는 (CMP 연마하는) 단계를 포함하고, 상기 조성물은 총 CMP 연마제 조성물 고형물 기준으로 0.05 내지 7 중량% 또는, 바람직하게는, 0.1 내지 4 중량%의 실리카 입자의 연마제로서, 실리카 입자는 하나 이상의 실리카 입자 내에 하나 이상의 양이온성 질소 또는 인 원자, 바람직하게는 하나 이상의 양이온성 질소 원자를 포함하는 신장된, 굽은 또는 결절성의 실리카 입자이거나, 또는 보다 바람직하게는, 3.3의 pH에서 8 내지 50 mV의 제타 포텐셜 (ZP), 또는 보다 더 바람직하게는 17 내지 26 mV의 ZP를 갖는 실리카 입자인 연마제, 총 CMP 연마제 조성물 고형물 기준으로 0.005 내지 0.5 중량%, 또는 바람직하게는 0.01 내지 0.1 중량%의 설페이트기 함유 계면활성제로서, C8 내지 C18 알킬 또는 알케닐기, 바람직하게는, C12 내지 C14 알킬 또는 알케닐기를 더 포함하는 계면활성제, 및 pH 조절제, 예컨대 무기산을 포함하고, 상기 조성물은 1.5 내지 4.5, 또는 바람직하게는 1.5 내지 3.5의 pH를 갖고, pH는 실리카 입자의 등전점 (IEP)보다 낮다.
2. 상기 항목 1에 기재된 바와 같은 본 발명의 방법에 따르면, 유기 폴리머 필름은 리소그래피 응용분야에 사용되는 스핀-온 코팅 (SOC)이고, 본 방법은 추가로 하기의 단계를 더 포함한다: (a) 연마된 유기 폴리머 필름을 마스크를 통해 활성화 방사선에 노출시키는 단계; 및 (b) 유기 폴리머 필름층을 현상제와 접촉시켜 리소그래피 패턴을 형성하는 단계로서, CMP 연마는 (a) 노출 단계 이전 또는 이후에 실시되는 단계.
3. 상기 항목 1에 기재된 바와 같은 본 발명의 방법에 따르면, 유기 폴리머 필름은 리소그래피 응용분야에 사용되는 스핀-온 코팅 (SOC)이고, 본 방법은 추가로 하기의 단계를 더 포함한다: (a) 유기 폴리머 필름 상에 탑코트층을 형성하는 단계; (b) 탑코트층 및 유기 폴리머 필름을 마스크를 통해 활성화 방사선에 노출시키는 단계; 및 (c) 노출된 탑코트층 및 유기 폴리머 필름층을 현상제와 접촉시켜 리소그래피 패턴을 형성하는 단계로서, CMP 연마는 (b) 노출 단계 이전 또는 이후에 그리고 (a) 탑코트층을 형성하는 단계 이전에 실시되고, CMP 연마는 (b) 노출 단계 이전 또는 이후에 그리고 (a) 탑코트층을 형성하는 단계 이후에 실시되는 단계.
4. 상기 항목 1에 기재된 바와 같은 본 발명의 방법에 따르면, 유기 폴리머 필름은 리소그래피 응용분야에 사용되는 스핀-온 코팅 (SOC)이고, CMP 연마 이후에, 본 방법은 (a) 연마된 유기 폴리머 필름을 활성화 방사선에 노출시키는 단계; 및 (b) 유기 폴리머 필름층을 현상제와 접촉시켜 리소그래피 패턴을 형성하는 단계를 더 포함한다.
5. 항목 1, 2, 3, 또는 4에 기재된 바와 같은 본 발명의 방법에 따르면, 유기 폴리머 필름은 폴리아릴렌, 폴리아릴렌 에테르, 가교결합된 폴리아릴렌, 가교결합된 폴리아릴렌 에테르, 노볼락 또는 페놀계 에폭시로부터 선택되는 폴리머를 포함하는 스핀-온 코팅이다.
6. 항목 1, 2, 3, 4 또는 5에 기재된 바와 같은 본 발명의 방법에 따르면, 반도체 웨이퍼 또는 기판은 추가적으로 무기 산화물, 예컨대 이산화규소, 무기 산화물 및 전도성층, 예컨대 구리 또는 폴리실리콘, 무기 산화물 및 유전체, 예컨대 질화물, 또는 무기 산화물, 유전체 및 전도성층을 포함한다.
7. 항목 6에 기재된 바와 같은 본 발명의 방법에 따르면, CMP 연마는 유기 폴리머 필름의 표면이 연마될 때까지 지속되어 유기 폴리머 필름을 평탄화시키고 및/또는 무기 산화물, 또는 무기 산화물 및 유전체 및 전도성층 중 임의의 것 또는 둘 모두를 노출시킨다.
8. 항목 1에 기재된 바와 같은 본 발명의 방법에 따르면, 유기 폴리머 필름은 무기 산화물, 예컨대 이산화규소 및/또는 전도성층, 예컨대 구리 또는 폴리실리콘을 포함하는 전자장치 패키징 기판 상에 스핀 코팅되는 스핀-온 유전체 (SOD)이다.
9. 항목 1 또는 8 중 어느 하나에 기재된 바와 같은 본 발명의 방법에 따르면, 유기 폴리머 필름은 폴리이미드, 에폭시, 예컨대 노볼락 에폭시, 또는 폴리벤족사졸로부터 선택되고, 바람직하게는, 유기 폴리머 필름을 경화시키는 것은 30초 내지 20분, 예컨대 1분 이상으로 80 내지 180℃의 온도에서의 부분적 경화를 포함하고, 이후 유기 폴리머 필름의 CMP 연마가 후속되고, 본 방법은 기판을 CMP 연마시킨 이후 유기 폴리머 필름을 완전하게 경화시키는 단계를 더 포함한다.
10. 항목 1, 8 또는 9 중 어느 하나에 기재된 바와 같은 본 발명의 방법에 따르면, 반도체 웨이퍼 또는 기판은 무기 산화물, 예컨대 이산화규소, 무기 산화물 및 전도성층, 예컨대 구리, 무기 산화물 및 유전체, 예컨대 질화물, 또는 무기 산화물, 유전체 및 전도성층을 포함한다.
11. 항목 10에 기재된 바와 같은 본 발명의 방법에 따르면, CMP 연마는 유기 폴리머 필름의 표면이 연마될 때까지 지속되어 무기 산화물, 또는 무기 산화물 및 전도성층, 또는 무기 산화물 및 유전체를 노출시킨다.
12. 상기 항목 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 또는 11 중 어느 하나에 기재된 바와 같은 본 발명의 방법에 따르면, 수성 CMP 연마제 조성물에서, 하나 이상의 양이온성 질소 원자는 수성 CMP 연마제 조성물의 pH에서 하나 이상의 양이온성 질소 원자를 포함하는 아미노실란 또는 암모늄 화합물로부터 발생되고, 바람직하게는, 하나 이상의 양이온성 질소 원자는 4차 암모늄 원자, 또는 보다 바람직하게는, 테트라메틸암모늄의 양이온성 질소 원자이다.
13. 상기 항목 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 또는 12 중 어느 하나에 기재된 바와 같은 본 발명의 방법에 따르면, 수성 CMP 연마제 조성물에서, 하나 이상의 양이온성 질소 원자는 테트라메톡시 실란 (TMOS)으로 형성된 양이온성 입자 및 4차 암모늄 원자, 예컨대 테트라메틸암모늄 수산화물을 포함하는 아민 함유 알칼리 촉매로부터 발생된다.
14. 상기 항목 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 또는 13 중 어느 하나에 기재된 바와 같은 본 발명의 방법에 따르면, 수성 CMP 연마제 조성물에서, pH 조절제는 질산 및/또는 인산으로부터 선택되는 무기산이다.
15. 상기 항목 1 내지 14 중 어느 하나에 기재된 바와 같은 본 발명의 방법에 따르면, 수성 CMP 연마제 조성물은 임의의 산화제 화합물, 예컨대 과산화수소를 실질적으로 함유하지 않는다.
바람직하게는, 본 발명의 방법에 따른 방법에서, CMP 연마제 조성물에서의 신장된, 굽은 또는 결절성 실리카 입자 고형물의 연마제 대 설페이트기 함유 계면활성제 고형물의 비는 4:1 내지 35:1, 또는, 바람직하게는, 5:1 내지 31:1의 범위이고, 더 바람직하게는, 이러한 CMP 연마제 조성물은 고형물로서 0.1 내지 4 중량%의 신장된, 굽은 또는 결절성 실리카 입자 연마제를 포함하고, 또는 가장 바람직하게는 이러한 CMP 연마제 조성물은 고형물로서 3.3의 pH에서 17 내지 26 mV의 ZP로의 제타 포텐셜 (ZP)을 갖는 0.1 내지 2 중량%의 신장된, 굽은 또는 결절성 실리카 입자 연마제를 포함한다.
바람직하게는, 본 발명의 방법에 따른 방법에서, CMP 연마제 조성물에서의 연마제 실리카 입자 고형물 대 설페이트기 함유 계면활성제 고형물의 비는 5:1 내지 120:1의 범위이고; 더 바람직하게는, 이러한 CMP 연마제 조성물는 고형물로서 0.4 내지 3 중량%의 실리카 입자 연마제를 포함한다.
달리 나타내지 않는 한, 온도 및 압력의 조건은 주위 온도 및 표준 압력이다. 인용된 모든 범위는 포괄적이고, 조합가능하다.
달리 나타내지 않는 한, 괄호를 포함하는 임의의 용어는 대안적으로 괄호가 없는 경우의 전체 용어, 이들이 없는 용어 및 각각의 대안의 조합을 지칭한다. 따라서, 용어 "(폴리)이소시아네이트"는 이소시아네이트, 폴리이소시아네이트, 또는 이들의 혼합물을 지칭한다.
모든 범위는 포괄적이고, 조합가능하다. 예를 들면, 용어 "50 내지 3000 cPs, 또는 100 이상의 cPs"는 50 내지 100 cPs, 50 내지 3000 cPs 및 100 내지 3000 cPs 각각을 포함할 것이다.
본원에 사용되는 바와 같은 용어 "ASTM"은 ASTM 인터내셔널, 웨스트 콘쇼 호켄 펜실베니아(ASTM International, West Conshohocken, PA)의 공보를 지칭한다.
본원에 사용되는 바와 같은 용어 "신장된, 굽은 또는 결절성 실리카 입자"는 1.8:1 내지 3:1의 최장 크기에 수직한 직경에 대한 최장 크기의 종횡비를 갖는 실리카 입자를 지칭한다.
본원에 사용되는 바와 같은 용어 "경질 염기"는 금속 수산화물을 지칭하고, 이는 알칼리(알칼리토) 금속 수산화물, 예컨대 NaOH, KOH, 또는 Ca(OH)2를 포함한다.
본원에 사용되는 바와 같은 용어 "등전점"은 표면 또는 물질의 전하가 중성인 소정의 표면 또는 물질의 pH 수준을 지칭한다. 등전점 아래에서, 소정의 표면의 전하는 양전하이다.
본원에 사용되는 바와 같은 용어 "ISO"은 표준화를 위한 국제 기구, 제네바, CH의 공보를 지칭한다.
본원에 사용되는 바와 같은 용어 "입자 크기 (CPS)"는 CPS 장비 (네덜란드(The Netherlands)) 디스크 원심분리 시스템에 의해 결정되는 조성물의 중량 평균 입자 크기를 의미한다. 입자는 용매 중에서 원심력을 사용하여 크기별로 분리되고, 광학적 광산란을 사용하여 정량화된다.
본원에 사용되는 바와 같은 용어 "반도체 웨이퍼"는 반도체 기판, 예컨대 비패턴화된 반도체 또는 패턴을 갖는 것, 반도체 소자, 다양한 수준의 인터커넥션의 다양한 패키지 (단일-칩 웨이퍼 또는 다중-칩 웨이퍼 포함), 발광 다이오드 (LED)용 기판, 또는 납땜 접속을 요구하는 다른 어셈블리를 포괄하는 것으로 의도된다.
본원에 사용되는 바와 같은 용어 "반도체 기판"은 반도체 물질을 포함하는 임의의 구조체를 의미하는 것으로 정의된다. 반도체 기판은 반도체 소자 및 하나 이상의 반도체층을 갖는 임의의 기판 또는 반도체 소자의 활성 또는 작동 부분을 포함하는 구조체를 포함한다.
본원에 사용되는 바와 같은 용어 "반도체 소자"는 그 위에 하나 이상의 마이크로전자 소자가 배치되거나 또는 제작되는 반도체 기판을 지칭한다.
본원에 사용되는 바와 같은 용어 "쇼어 D 경도"는 ASTM D2240-15 (2015), "고무 특성-듀로미터 경도에 대한 표준 시험 방법"에 따라 측정되는 소정의 물질의 경도이다. 경도는 D 프로브가 구비된 렉스 하이브리드 경도 시험기 (Rex Gauge Company, Inc., BuffaloGrove, IL 사제) 상에서 측정되었다. 6개의 샘플을 적층하고, 각 경도 측정을 위해 혼합하였고; 경도 시험의 반복가능성을 개선하기 위해 ASTM D2240-15 (2015)에 개략된 방법을 사용하여 시험 이전에 5일 동안 23℃에서 시험되는 각 패드를 50% 상대 습도에서 배치하여 컨디셔닝시켰다. 본 발명에서, 연마층 또는 패드의 폴리우레탄 반응 생성물의 쇼어 D 경도는 쇼어 D 경도를 낮추기 위한 임의의 첨가제를 포함하는 이러한 반응물의 쇼어 D 경도를 포함한다.
본원에 사용되는 바와 같은 용어 "실리카 입자 고형물" 또는 "실리카 고형물"은 소정의 조성물에 대해 임의의 입자가 처리되는 임의의 성분을 포함하는 총량의 신장된, 굽은 또는 결절성 실리카 입자가 추가된 총량의 구형의 실리카 입자를 의미한다.
본원에 사용되는 바와 같은 용어 "고형물"은 이의 물리적 상태와 무관하게 사용 조건 하에 휘발성이 아닌 암모니아 또는 물 이외의 임의의 물질을 의미한다. 따라서, 사용 조건 하에 휘발되지 않는 액체 실란 또는 첨가제는 "고형물"로서 고려된다.
본원에 사용되는 바와 같은 용어 "강산"은 2 이하의 pKa를 갖는 양성자성 산, 예컨대 무기산 예컨대 황산 또는 질산을 지칭한다.
본원에 사용되는 바와 같은 용어 "임의의 산화제 화합물을 실질적으로 함유하지 않음"은 소정의 조성물이 50 ppm 이하, 또는 바람직하게는, 25 ppm의 임의의 산화제 화합물을 포함하는 것을 의미한다.
본원에 사용되는 바와 같은 용어 "조절가능한" 또는 "조절가능성"은 예컨대 이산화규소의 높은 제거 및 질화 규소의 낮은 제거와 같은 다른 것에 대한 하나의 물질을 연마하는데 이들이 나타내는 제거율 및 선택도에 의해 결정되는 CMP 조성물의 특성을 지칭한다.
본원에 사용되는 바와 같은 용어 "사용 조건"은 소정의 조성물이 사용되는 온도 및 압력을 의미하고, 이는 사용 과정 또는 사용의 결과로서 온도 및 압력에서의 증가를 포함한다.
본원에 사용되는 바와 같은 용어 "중량%"는 중량 백분율을 의미한다.
본원에 사용되는 용어 "제타 포텐셜" 또는 "ZP"는 말번 제타전위 측정기 장비에 의해 측정되는 소정의 조성물의 전하를 지칭한다. 모든 제타 포텐셜 측정은 실시예에 기재된 바와 같은 슬러리 조성물에 대해 이루어진다. 기록된 값은 각각의 나타낸 조성물에 대한 장비에 의해 취해진 >20 취득값을 사용하는 제타 값의 평균화된 측정값으로부터 취해진다. 본 발명에 따라, 실리카 입자의 제타 포텐셜은 실리카 입자의 표면 상의 양이온성 전하의 양에 비례한다.
본 발명의 방법에 따라, CMP 연마는 반도체 웨이퍼 또는 기판으로부터 유기 폴리머 필름을 제거한다. 유기 폴리머 필름은 적은 연마제 함량을 갖는 슬러리를 사용하는 스핀-온 (SOC) 또는 (SOD) 필름, 예를 들면, 가교결합된 폴리아릴렌 에테르 필름일 수 있다. CMP 연마제 조성물 제형은 간단하고, 소수의 상업적으로 이용가능한 성분으로 이루어지고, 성분은 환경적 또는 안전성 제한에 가해지지 않는다. 설페이트기 함유 음이온성 계면활성제는 계면활성제 분자의 소수성 테일을 통한 상호작용에 의해 임의의 기판 표면을 습윤시킬 수 있고, 계면활성제의 음전하로 하전된 친수성 헤드에 의한 전하 인력으로 통해 양이온성 실리카 입자를 끌어당길 수 있다. CMP 연마제 조성물은 1.5 내지 4의 산성 조건 pH에서 양이온성 실리카 입자 및 설페이트기 함유 계면활성제의 슬러리를 포함하고, 예를 들면, 슬러리가 연마제 입자의 IEP 미만으로 유지되는 한, 높은 제거율로 가교결합된 폴리아릴렌 에테르 SOC 필름을 제거한다. 슬러리의 입자 장입량이 낮기 때문에, 세정 단계를 요구하는 결함 및 사용시의 비용이 최소화된다.
본 발명의 방법에 따르면, 유용한 유기 폴리머 필름은 본 기술분야에 공지된 종래의 방법에 따른 스핀 코팅에 의해 제조될 수 있다. 본 발명에 따른 유기 폴리머 필름의 경화는 본 방법에서 공지된 종래의 방법에 의해 실시될 수 있다.
리소그래피 응용분야에서 사용하기 위한 스핀-온 코팅 (SOC)은 200 내지 2000 nm의 평균 두께를 가질 수 있는 코팅층 또는 유기 폴리머 필름을 포함하고, 개개의 다이 또는 패턴 상에 코팅되고; 패키징 응용분야에서 사용하기 위한 스핀 온 유전체 코팅은 반도체 웨이퍼 또는 기판을 피복하거나, 보호하거나 또는 캡슐화하는 0.4 내지 60 마이크론의 평균 두께를 가질 수 있는 코팅층 또는 유기 폴리머 필름을 포함한다.
바람직하게는, 표면 상에 증착된 이후에도, 스핀 코팅된 유기 폴리머 필름은 가열되어 (베이킹되어) 임의의 잔류 용매를 제거하고; 이러한 가열은 생성된 필름을 부분적으로 경화시킬 수 있다. 경화는 전형적으로 일정 기간 동안의 가열에 후속된다. 적합한 경화 온도는 70 내지 375℃의 범위이다. 전형적인 경화 시간은 1 내지 600분의 범위이고; 더 긴 경화 시간은 저온에서 폴리머의 경화를 가능하게 한다.
적합한 CMP 연마 패드는 임의의 연마 패드, 예를 들면 본 기술분야에 알려진 종래의 폴리우레탄 폼 패드, 예컨대, 예를 들면 Reinhardt 외 다수의 미국특허 제5,578,362호, 또는 Kulp의 미국특허 제7,445,847 B2호에 개시된 CMP 연마 패드일 수 있다.
본 발명의 방법에서의 CMP에 따라 유용한 CMP 조성물은 하나 이상의 양이온성 질소 또는 인 원자를 포함하는 신장된, 굽은 또는 결절성 실리카 입자의 연마제를 포함할 수 있다. 이러한 실리카 입자의 연마제는 본 발명의 방법에서 매우 낮은 고형분으로 사용될 수 있다.
적합한 신장된, 굽은 또는 결절성 실리카 입자는 테트라에톡시실란 (TEOS) 또는 테트라메톡시실란 (TMOS)과 같은 전구체로부터 공지된 방식으로 형성된 실라놀의 가수분해성 축합에 의한 현탁 중합으로부터 제조된다. 신장된, 굽은 또는 결절성 실리카 입장의 제조 방법은 공지되어 있고, 예를 들면 Higuchi 이외 다수의 미국특허 제8,529,787호에서 찾을 수 있다. 가수분해성 축합은 염기성 촉매, 예컨대 알킬암모늄 수산화물, 알킬아민 또는 KOH, 바람직하게는, 테트라메틸암모늄 수산화물의 존재 하에 수성 현탁액 중에서 전구체를 반응시키는 것을 포함하고; 가수분해성 축합 공정은 신장된, 굽은 또는 결절성 실리카 입자로 하나 이상의 양이온성 질소 또는 인 원자를 혼입할 수 있다. 바람직하게는, 신장된, 굽은 또는 결절성 실리카 입자는 4 이하의 pH에서 양이온성이다.
하나 이상의 양이온성 질소 원자를 포함하는 실리카 입자의 다른 적합한 연마제는 예컨대 6 미만의 pH에서 아미노실란을 실라놀 또는 이의 전구체에 첨가하고, 염기성 촉매 예컨대 부식제(caustic)의 첨가에 의한 졸 겔 실리카 형성을 실시함으로써 아미노실란의 존재 하에 형성되는 실리카 입자일 수 있다. 적합한 아미노실란은 예를 들면 하나 이상의 3차 아민기, 예컨대 N,N-(디에틸아미노메틸)트리에톡시실란 (DEAMS), 또는 하나 이상의 2차 아민기, 예컨대 N-(2-아미노에틸)-3-아미노프로필트리메톡시실란 (AEAPS) 또는 N-아미노에틸아미노에틸아미노프로필 트리메톡시실란 (DETAPS)를 포함하는 아미노실란, 바람직하게는, 하나 이상의 3차 아민기를 포함하는 아미노실란일 수 있다.
적합한 실리카 입자의 신장된, 굽은 또는 결절성 연마제는 상표명 HL-2, HL-3, HL-4, PL-2, PL-3 또는 BS-2 및 BS-3 슬러리 하에 FusoChemical Co., Ltd., Osaka, JP (Fuso)로부터 이용가능하다. Fuso로부터의 HL 및 BS 시리즈 입자는 하나 이상의 질소 원자를 포함하고, 이는 4 이하의 pH에서 양이온성 전하를 부여한다.
본 발명의 방법에서 유용한 CMP 연마제 조성물은 추가적인 계면활성제를 포함하고; 그러나 본 발명의 방법에서 유용한 CMP 연마제 조성물 중의 계면활성제의 양은 CMP 연마제 조성물의 총 고형물 기준으로 1.0 중량% 미만으로 제한되어야 한다. 과량의 계면활성제는 윤활층으로서 작용하고, CMP 연마의 실효성을 억제한다.
본 발명의 방법에서 유용한 CMP 연마제 조성물은 바람직하게는 상당한 양의 산화제를 포함하지 않는다. 산화제는 이를 포함하는 조성물에서 불안전성을 야기할 수 있다.
본 발명의 방법에 따른 스핀-온 카본 (SOC) 코팅으로서 유용한 적합한 유기 폴리머 필름은 패턴화, 특히 자기 정렬 4중 패턴화(self-aligned quadruple patterning)을 가능하게 하는 희생층으로서 리소그래피 응용분야에서 임의로 유용하다. 폴리머 필름의 국소적 평탄화가 물질 특성, 예컨대 유동성을 통해 달성될 수 있는 한편, 대량 크기, 예컨대 웨이퍼- 및 다이-규모 평면은 실행하기 어려운 것으로 입증되었다. 적합한 SOC 코팅은 다중-패턴화 응용분야에 대한 가교 결합된 폴리(아릴렌 에테르) 필름을 포함할 수 있다. 폴리(아릴렌 에테르)필름은 최대 450℃의 온도에서 열적으로 안정하고, 이의 높은 탄소 함량으로 인해 양호한 각각의 선택도를 제공하고, 국소적 피처 크기로 갭 필링(gap fill)시켰다. 본 발명의 방법에 따라, 이러한 가교결합된 폴리아릴렌 에테르 필름은 다이 크기 및 웨이퍼 크기로 효과적으로 평탄화될 수 있다.
본 발명의 방법에 따라 스핀-온 유전체 (SOD)로서 유용한 적합한 유기 폴리머 필름은 패키징 응용분야, 예컨대 웨이퍼 레벨 패키징 (wafer level packing, WLP) 응용분야에서 임의로 유용하고, 스택의 영구적인 성분으로서 잔류하는 폴리머 유전체를 이용한다. 바람직하게는, 적합한 폴리머는 CMP 연마 이전에 부분적으로 경화되고, CMP 연마 이후에 완전한 경화를 달성하기 위해 추가적인 단계가 실시된다.
본 발명의 방법에 따라 SOC로서 사용되는 생성된 스핀 코팅 필름은 포토리소그래피 응용분야에서 사용될 수 있다.
본 발명의 방법에 따라, 포토리소그래피 방법은 (a) 기판 상에 유기 폴리머 필름을 형성하는 단계; (b) 유기 폴리머 필름 상에 탑코트층을 형성하는 단계; (c) 탑코트층 및 유기 폴리머 필름은 마스크를 통해 활성화 방사선에 노출시키는 단계; 및 (d) 노출된 탑코트층 및 유기 폴리머 필름을 현상제와 접촉시켜 리소그래피 패턴을 형성하는 단계를 포함할 수 있다. 탑코트층 (b)은 생략될 수 있다. 본원에 사용되는 바와 같은 용어 "활성화 방사선"은 임의의 방사선 예컨대 자외선 (UV) 광이고, 이는 유기 폴리머 필름을 포지티브 포토레지스트의 경우에 현상제에 가용성이거나, 또는 네거티브 포토레지스트의 경우 현상제에 불용성인 형태로 전환시킨다. 본원에 사용되는 용어 "마스크"는 유기 폴리머 필름 또는 원하는 패턴을 갖는 탑코트 및 유기 폴리머 필름의 일부를 활성화 방사선에의 노출을 방지하는 임의의 필터 또는 패턴이다.
포토리소그래피 응용분야에서의 본 발명의 방법에 따라, CMP 연마는 유기 폴리머 필름을 형성하는 단계, 유기 폴리머 필름을 활성화 방사선에 노출시키는 단계 중 어느 하나 또는 두 단계 이후에 일어난다.
본 발명에 따른 CMP 연마는 종래의 CMP 연마 방법을 포함한다. CMP 연마는 플래턴(platen) 또는 테이블을 갖는 CMP 연마 장치를 제공하는 단계; 연마되는 유기 폴리머 필름 기판을 제공하는 단계; CMP 연마 패드, 예컨대 연마 표면을 갖는 폴리우레탄 폼 패드를 제공하는 단계; 플래턴 또는 테이블 상에 CMP 연마 패드를 설치하는 단계; CMP 연마 패드와 기판 사이의 계면에 본 발명의 CMP 연마제 조성물을 제공하는 단계; 및 CMP 연마 패드 표면과 기판 사이에 동적 접촉을 생성하는 단계로서 적어도 일부의 유기 폴리머 필름 물질이 기판으로부터 제거되는 단계를 포함한다.
본 발명의 방법에 따라, 본 방법은 CMP 연마 패드 표면과 유기 폴리머 필름 사이의 동적 접촉을 생성하는 것이 기판을 회전시키거나, 연마층을 갖는 CMP 연마 패드를 회전시키거나, 또는 둘 다를 회전시키는 것에 의한 CMP 연마를 포함한다.
본 발명의 방법에 따라, 본 방법은 별개로 또는 동시에 CMP 연마 패드로 CMP 연마하는 단계, 마이크로텍스처를 갖도록 컨디셔닝 패드로 CMP 연마 패드의 연마 표면을 컨디셔닝시키는 단계를 포함한다.
바람직하게는, 본 발명의 CMP 연마에서, CMP 연마 패드 표면과 유기 폴리머 필름 기판 사이의 동적 접촉을 생성하는 것은 4 내지 40 kPa,또는, 바람직하게는, 6 내지 35 kPa보다 낮은 로우 다운 포스(low down force)를 생성한다.
유기 폴리머 필름 형성 조성물을 스핀 코팅하는 경우, 유기 폴리머 액체 코팅 조성물의 고형분은 이용되는 특정 스피닝 장비, 조성물의 점도, 스피너의 속도 및 스피닝에 허용되는 시간의 양에 기초하여 원하는 필름 두께를 제공하도록 조정될 수 있다.
본 발명에 따른 리소그래피 (SOC)에 사용되는 스핀 코팅 조성물은 SOC로의 코팅을 수반하는 공정에서 종래에 사용되는 기판에 적절하게 도포된다. 예를 들면, 상기 조성물은 무기 산화물, 실리콘 웨이퍼 또는 패턴화된 하나 이상의 층, 예를 들면 하나 이상의 전도성, 반도체 또는 유전체 층으로 코팅될 수 있는 실리콘 웨이퍼 상에 도포될 수 있다.
SOC에 의해 제조된 유기 폴리머 필름은 단일 열처리 단계에서 건조되거나 또는 적어도 부분적으로 경화되거나 용매가 실질적으로 제거될 수 있다.
본 발명의 탑코트 조성물은 본 기술분야의 당업자에게 알려진 임의의 적합한 방법에 의해 SOC 유기 폴리머 필름 상에 도포될 수 있고, 스핀 코팅이 전형적이고; 두 층은 열처리되거나 또는 함께 경화될 수 있다. 탑코트 조성물층을 갖는 포토레지스트 유기 폴리머 필름은 이후 패턴화 방식으로 포토레지스트의 광활성 성분(들)에 대한 활성화 방사선에 노출된다.
이에 따라, 유기 폴리머 필름은 전형적으로 하기로부터 선택되는 수성 염기 현상제로의 처리에 의해 현상된다: 4차 암모늄 수산화물 용액 예컨대 수성 테트라-알킬 암모늄 수산화물 용액, 전형적으로 2.38 중량% 또는 5 중량%의 테트라메틸암모늄 수산화물 수용액; 아민 용액 예컨대 에틸 아민, n-프로필 아민, 디에틸 아민, 디-n-프로필 아민, 트리에틸 아민, 또는 메틸디에틸 아민; 알코올 아민 예컨대 디에탄올 아민 또는 트리에탄올 아민; 및 시클릭 아민 예컨대 피롤 또는 피리딘. 일반적으로, 현상은 본 기술분야에 인식된 방법에 따른다.
기판 상에의 포토레지스트 코팅의 현상 이후, 현상된 기판은 예를 들면 본 기술분야에 알려진 방법에 따라 레지스트가 노출된 기판 부분을 에칭하거나 또는 도금함으로써 레지스트의 노출 부분을 선택적으로 처리될 수 있다. 이러한 처리 이후, 레지스트는 공지된 스트리핑 방법을 사용하여 처리된 기판으로부터 제거될 수 있다.
실시예: 하기 실시예는 본 발명의 다양한 특징을 예시한다.
하기 실시예에서 달리 나타내지 않는 한, 온도 및 압력의 조건은 주위 온도 및 표준 압력이다.
하기 물질을 하기의 실시예에서 사용하였다:
AEAPS= N-(2-아미노에틸)-3-아미노프로필트리메톡시실란, 98% (Gelest Inc., 모리스빌, PA); DEAMS=(N,N-디에틸아미노메틸)트리에톡시실란, 98%, (Gelest Inc.); TMOS= 테트라메톡시 실란; TMAH= 테트라메틸암모늄 수산화물.
실시예에 사용되는 다양한 실리카 입자는 하기 표 A에 열거되어 있다.
[표 A] 실리카 입자
Figure 112018030930413-pat00001
제거율: 달리 나타내지 않는 한, 나타낸 기판 상의 연마로부터의 제거율 시험을 Strasbaugh 6EC 200 mm 웨이퍼 연마기 또는 "6EC RR" (Axus Technology Company, 챈들러, AZ)을 사용하여 수행하였다. Strasbaugh 6EC 200 mm 웨이퍼 연마기를 93 rpm의 테이블 속도, 87 rpm의 캐리어 속도로 20.7kPa (3 psi)의 다운포스에서 실시하였다. 나타낸 기판을 200 mL/min의 유량으로 나타낸 연마제 슬러리를 사용하여 연마하였다. IC1010TM 패드 (DoElectronic Material 사제)를 연마에 사용하였다. 상기 1010TM 패드는 2.03 mm(80 mils) 두께이고, 57의 쇼어 D 경도를 가지며, 1010 그루브 패턴을 갖는 폴리우레탄 패드 (The Dow Chemical Company, 미들랜드, MI, (Dow)). AK45 AM02BSL8031C1-PM 다이아몬드 패드 컨디셔너 (SAESOL Diamond Ind. Co. Ltd., Asan, 한국)을 사용하여 현장에서 20분 동안 62.1 kPa(9 lbf) 압력의 다운포스에서 100% 컨디셔닝을 통해 연마 패드를 컨디셔닝시켰고, 이후 10분 동안 48.3 kPa (7lbf)의 다운 포스를 사용하여 연마 이전에 추가로 컨디셔닝시켰다. 제거율을 3 mm 가장자리 배제된 49 포인트 나사선 주사(spiral scan)를 사용하는 KLA-TencorTM FX200 메트로롤로지 툴 (KLA Tencor, 밀리타스, CA)를 사용하는 연마 이전 및 이후에 필름 두께를 측정하여 결정하였다.
실시예 1: 굽은, 신장된 실리카 입자 연마제, 슬러리 A를 갖는 CMP 연마제 조성물을 시험하였고, 그 결과는 하기 표 1에 나타나 있고, 연마된 기판은 약 5000 Ang. 두께에서 OPTLTM 가교결합된 폴리(아릴렌에테르) (The Dow Chemical Company, 미들랜드, MI (Dow)) 스핀 코팅된 (SOC) 필름으로 코팅되고, 1분 동안 350℃에서 경화된 200 mm 직경의 베어 실리콘 웨이퍼 (bare silicon wafer)이었다. SOC 필름을 60초 동안 연마시켰다. 하나의 웨이퍼를 시행시마다 시험하였다.
[표 1] 다양한 계면활성제 및 폴리머 제거율
Figure 112018030930413-pat00002
상기 표 1에 나타난 바와 같이, 설페이트기 함유 계면활성제는 낮은 고형분으로 신장된, 굽은 실리카 입자 함유 슬러리에 의해 유기 폴리머 필름 제거율을 향상시키는 것으로 나타났다. 게다가, 연마제 실리카 입자의 0.5 중량% 고형분은 동일한 것의 더 많은 고형분과 같이 유효하였다. 반면, 양이온성 계면활성제는 유기 폴리머 필름 제거율에 도움이 되지 않았다.
실시예 2: 폴리머 대 산화물 및 질화물 제거율에 대한 설페이트기 함유 계면활성제 농도의 효과. 시험되는 기판은 그 위에 증착된 TEOS (이산화규소) 또는 SiN 유전체를 갖거나 또는 350 ℃/60 초에서 베이킹된 OPTLTM 유형의 가교결합된 폴리(아릴렌 에테르)(Dow)의 5000 Ang. 두께의 층으로 스핀 코팅된 200 mm 직경의 베어 실리콘 웨이퍼이었다. SOC 코팅, 그 위의 SiN 증착된 층 또는 그 위에 증착된 TEOS 층 중 하나를 갖는 하나의 웨이퍼를 시행시마다 시험하였다. SOC 필름을 15초 동안 연마하였다. 그 결과는 하기 표 2에 나타나 있다.
[표 2] 다양한 연마제 및 계면활성제 농도를 사용한 제거율
Figure 112018030930413-pat00003
상기 표 2에 나타난 바와 같이, 2.5:1 이상의 신장된, 굽은 또는 결절성 실리카 입자 연마제 (500 ppm의 양) 대 설페이트기 함유 계면활성제(200 ppm의 농도 또는 0.02 중량% 고형물)는 연마를 손상시키고; 그러나 0.2 중량% 고형물의 실리카 입자가 사용되는 10:1 고형물 중량비는 산화물 및 질화물에 비해 폴리머의 우수한 제거율 및 선택도를 제공한다. 마찬가지로, 0.3 중량% 고형물의 실리카 입자가 사용되는 6:1 고형물 중량비는 산화물 및 질화물에 비해 폴리머의 우수한 제거율 및 선택도를 제공한다. 그러나, 연마제 대 계면활성제의 30:1 중량비에서, 심지어 0.3 중량% 고형물의 실리카는 감소된 폴리머 제거율이 얻어지나, 우수한 선택도가 얻어진다. 이러한 실시예는 본 발명의 방법에서, CMP 조성물은 산화물 또는 질화물의 제거에 비해 폴리머 제거를 위한 선택도 및 제거율과 관련하여 양호한 조정가능성을 가능하게 한다.
실시예 3: 폴리머 대 산화물 및 질화물 제거율에 대한 설페이트기 함유 계면활성제 농도에 대한 효과
시험되는 기판은 그 위에 증착된 TEOS (이산화규소) 층을 갖거나 또는 350 ℃/60 초에서 베이킹된 OPTLTM 유형의 가교결합된 폴리(아릴렌 에테르)(Dow)의 5000 Ang. 두께의 층으로 스핀 코팅된 200 mm 직경의 베어 실리콘 웨이퍼이었다. SOC 필름을 15초 동안 연마하였다. 각 종류의 코팅 또는 증착된 층을 갖는 하나의 웨이퍼를 시행시마다 시험하였다. 그 결과는 하기 표 3에 나타나 있다.
[표 3] 다양한 연마제 및 계면활성제 농도를 사용한 제거율
Figure 112018030930413-pat00004
표 3에 나타난 바와 같이, 양이온성 실리카 입자 연마제 대 설페이트기 함유 계면활성제의 비는 CMP 연마의 제거율 및 선택도를 조정한다. 즉, 각각의 실리카 입자 연마제 농도에 대해, 최적의 계면활성제 농도가 존재하고, 이에서 유기 폴리머 필름 제거율은 최대화될 수 있고, 선택도는 조정될 수 있다. 이러한 실시예는 본 발명의 방법에서, 양이온성 실리카 입자를 갖는 CMP 조성물은 서로 대조적으로 하나의 기판 물질을 제거하는 제거율 및 선택도의 합리적 조정가능성을 가능하게 한다.
실시예 4: 계면활성제 사슬 길이의 효과를 나타내기 위해, 신장된, 굽은 또는 결절성 실리카 입자의 연마제는 증가하는 탄소 사슬 길이의 다양한 계면활성제로 시험되었다. 연마되는 기판은 그 위에 증착된 TEOS (이산화규소) 층 또는 질화규소층을 갖거나 또는 OPTLTM 유형의 가교결합된 폴리(아릴렌 에테르)(Dow)의 5000 Ang. 두께의 층으로 스핀 코팅되고, 350 ℃에서 1분 동안 경화되는 200 mm 직경의 베어 실리콘 웨이퍼이었다. SOC 필름을 15초 동안 연마하였다. 그 위에 코팅되고 또는 증착된 각 종류의 층을 갖는 하나의 웨이퍼를 시행시마다 시험하였다. 그 결과는 하기 표 4에 나타나 있다.
[표 4] 제거율 및 계면활성제 사슬 길이
Figure 112018030930413-pat00005
표 4에 나타난 바와 같이, 단지 소량의 신장된, 굽은, 또는 결절성 실리카 입자 연마제는 양호한 제거율을 일으키고, 더 긴 사슬의 계면활성제는 상당하게 더 나은 결과를 일으킨다.
실시예 5: 다른 유기 폴리머 필름 응용분야. 슬러리 A/암모늄 라우릴 설페이트 슬러리의 CMP 연마제 조성물. 연마된 기판은 그 위에 증착된 TEOS 또는 SiN을 갖는 200 mm 직경의 베어 실리콘 웨이퍼 또는 240℃/60초에서 베이킹된 80% 노볼락/20% MMA SOC 필름으로 스핀 코팅된 200 mm 직경의 베어 실리콘 웨이퍼이었다. SOC 유기 폴리머 필름은 슬러리 A 단독을 사용하여 60초 동안 그리고 슬러리 A/암모늄 라우릴 설페이트를 사용하여 30초 동안 연마되었다. 하나의 웨이퍼를 시행시마다 시험하였다.
[표 5] 다른 유기 폴리머 필름 적용 및 조정가능성
Figure 112018030930413-pat00006
상기 표 5에 나타난 바와 같이, 본 발명의 방법은 SiN, 산화물 상에 있을 수 있거나, 또는 산화물 및 질화물 양자를 연마할 수 있는 조정가능한 CMP 조성물을 가능하게 한다.

Claims (10)

  1. 반도체 웨이퍼 또는 기판 상에 유기 폴리머 액체를 스핀 코팅하여 스핀 코팅물을 형성하는 단계;
    상기 스핀 코팅물을 70 내지 375℃의 범위의 온도에서 적어도 부분적으로 경화시켜 유기 폴리머 필름을 형성하는 단계; 및
    상기 유기 폴리머 필름을 연마 패드 및 수성 CMP 연마제 조성물로 화학적 기계적 연마(CMP 연마)하는 단계;를 포함하며,
    상기 유기 폴리머 필름의 유기 폴리머가 폴리아릴렌, 폴리아릴렌 에테르, 가교결합된 폴리아릴렌, 가교결합된 폴리아릴렌 에테르, 노볼락, 폴리벤족사졸 또는 에폭시로부터 선택되는 유기 폴리머이고,
    상기 수성 CMP 연마제 조성물이,
    총 CMP 연마제 조성물 고형물 기준으로, 0.1 내지 4 중량%인 양의 세장형의 굽은 또는 결절성 실리카 입자의 연마제로서, 적어도 하나의 실리카 입자 내에 하나 이상의 양이온성 질소 또는 인 원자를 함유하는, 실리카 입자의 연마제;
    총 CMP 연마제 조성물 고형물 기준으로, 0.005 내지 0.5 중량%인 양의 설페이트기 함유 계면활성제로서, C8 내지 C18 알킬 또는 알케닐기를 더 함유하는, 설페이트기 함유 계면활성제; 및
    pH 조절제;를 포함하고,
    상기 CMP 연마제 조성물이 1.5 내지 4.5 범위의 pH를 가지며,
    상기 pH가 상기 실리카 입자의 등전점(IEP) 미만인,
    방법.
  2. 제1항에 있어서, CMP 연마제 조성물이, 적어도 하나의 실리카 입자 내에 하나 이상의 양이온성 질소 원자를 포함하는 실리카 입자의 연마제를 포함하는, 방법.
  3. 제1항에 있어서, CMP 연마제 조성물 내의 상기 실리카 입자의 연마제가 3.3의 pH에서 8 내지 50 mV의 제타 포텐셜(ZP)을 갖는, 방법.
  4. 제1항에 있어서, CMP 연마제 조성물이 상기 설페이트기 함유 계면활성제를, 총 CMP 연마제 조성물 고형물 기준으로, 0.01 내지 0.1 중량%의 양으로 포함하는, 방법.
  5. 제1항에 있어서, 상기 유기 폴리머 필름이 리소그래피 응용분야에서 사용되는 스핀-온 코팅(SOC)이고, 상기 방법이 추가로,
    (a) 연마된 유기 폴리머 필름을 마스크를 통해 활성화 방사선에 노출시키는 단계; 및
    (b) 상기 유기 폴리머 필름층을 현상제와 접촉시켜 리소그래피 패턴을 형성하는 단계;를 포함하며, 여기서 CMP 연마가 상기 (a) 노출시키는 단계 이전 또는 이후에 실시되는, 방법.
  6. 제1항에 있어서, 상기 반도체 웨이퍼 또는 기판이 추가적으로 무기 산화물; 무기 산화물 및 도전층; 무기 산화물 및 유전체; 또는 무기 산화물, 유전체 및 도전층을 포함하는, 방법.
  7. 제1항에 있어서, 상기 유기 폴리머 필름이 무기 산화물 및/또는 도전층을 포함하는 전자장치 패키징 기판 상에 스핀 코팅되는 스핀-온 유전체(SOD)인, 방법.
  8. 제7항에 있어서, 상기 유기 폴리머 필름의 유기 폴리머가 에폭시 또는 폴리벤족사졸로부터 선택되고, 추가로, 상기 유기 폴리머 필름의 경화는 30초 내지 20분 동안 80 내지 180℃의 온도에서의 부분적 경화, 이어서 유기 폴리머 필름의 CMP 연마, 및 다음으로 기판을 CMP 연마한 후 유기 폴리머 필름을 완전히 경화시키는 것을 포함하는, 방법.
  9. 삭제
  10. 삭제
KR1020180035886A 2017-03-29 2018-03-28 양이온성 입자 함유 슬러리 및 스핀-온 카본 필름의 cmp를 위한 이의 사용 방법 KR102459039B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/472,976 2017-03-29
US15/472,976 US10037889B1 (en) 2017-03-29 2017-03-29 Cationic particle containing slurries and methods of using them for CMP of spin-on carbon films

Publications (2)

Publication Number Publication Date
KR20180110626A KR20180110626A (ko) 2018-10-10
KR102459039B1 true KR102459039B1 (ko) 2022-10-25

Family

ID=62948607

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180035886A KR102459039B1 (ko) 2017-03-29 2018-03-28 양이온성 입자 함유 슬러리 및 스핀-온 카본 필름의 cmp를 위한 이의 사용 방법

Country Status (5)

Country Link
US (1) US10037889B1 (ko)
JP (1) JP7355487B2 (ko)
KR (1) KR102459039B1 (ko)
CN (1) CN108687649B (ko)
TW (1) TWI760462B (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200172761A1 (en) * 2018-12-04 2020-06-04 Cabot Microelectronics Corporation Composition and method for silicon nitride cmp
US10968366B2 (en) * 2018-12-04 2021-04-06 Cmc Materials, Inc. Composition and method for metal CMP
US11712777B2 (en) * 2019-06-10 2023-08-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Cationic fluoropolymer composite polishing pad
CN111808533A (zh) * 2020-07-19 2020-10-23 湖州飞鹿新能源科技有限公司 一种Topcon电池专用晶体硅抛光凝胶及其使用方法
WO2023171290A1 (ja) * 2022-03-08 2023-09-14 株式会社フジミインコーポレーテッド 研磨用組成物

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003115441A (ja) * 2001-10-03 2003-04-18 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2010056199A (ja) * 2008-08-27 2010-03-11 Fujifilm Corp 研磨用組成物及びそれを用いた研磨方法
JP2013033897A (ja) * 2010-12-22 2013-02-14 Jsr Corp 化学機械研磨方法

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05326395A (ja) * 1992-05-21 1993-12-10 Toshiba Corp 半導体装置の製造方法
US5597442A (en) 1995-10-16 1997-01-28 Taiwan Semiconductor Manufacturing Company Ltd. Chemical/mechanical planarization (CMP) endpoint method using measurement of polishing pad temperature
US5643050A (en) * 1996-05-23 1997-07-01 Industrial Technology Research Institute Chemical/mechanical polish (CMP) thickness monitor
US6153525A (en) 1997-03-13 2000-11-28 Alliedsignal Inc. Methods for chemical mechanical polish of organic polymer dielectric films
US6592776B1 (en) * 1997-07-28 2003-07-15 Cabot Microelectronics Corporation Polishing composition for metal CMP
US6723143B2 (en) 1998-06-11 2004-04-20 Honeywell International Inc. Reactive aqueous metal oxide sols as polishing slurries for low dielectric constant materials
US6358853B2 (en) 1998-09-10 2002-03-19 Intel Corporation Ceria based slurry for chemical-mechanical polishing
US6270395B1 (en) 1998-09-24 2001-08-07 Alliedsignal, Inc. Oxidizing polishing slurries for low dielectric constant materials
FR2785614B1 (fr) 1998-11-09 2001-01-26 Clariant France Sa Nouveau procede de polissage mecano-chimique selectif entre une couche d'oxyde de silicium et une couche de nitrure de silicium
US6315635B1 (en) 1999-03-31 2001-11-13 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for slurry temperature control in a polishing process
EP1071121A1 (en) * 1999-07-19 2001-01-24 International Business Machines Corporation Process for the formation of a collar oxide in a trench in a semiconductor substrate
US6375548B1 (en) * 1999-12-30 2002-04-23 Micron Technology, Inc. Chemical-mechanical polishing methods
US6736992B2 (en) 2000-04-11 2004-05-18 Honeywell International Inc. Chemical mechanical planarization of low dielectric constant materials
US6830503B1 (en) 2002-01-11 2004-12-14 Cabot Microelectronics Corporation Catalyst/oxidizer-based CMP system for organic polymer films
US20030162399A1 (en) * 2002-02-22 2003-08-28 University Of Florida Method, composition and apparatus for tunable selectivity during chemical mechanical polishing of metallic structures
JP2004128475A (ja) * 2002-08-02 2004-04-22 Jsr Corp 化学機械研磨用水系分散体および半導体装置の製造方法
TWI241626B (en) 2003-06-02 2005-10-11 Toshiba Corp Chemical mechanical polishing method of organic film and method of manufacturing semiconductor device
US7390748B2 (en) 2004-08-05 2008-06-24 International Business Machines Corporation Method of forming a polishing inhibiting layer using a slurry having an additive
US20060124592A1 (en) 2004-12-09 2006-06-15 Miller Anne E Chemical mechanical polish slurry
KR100627589B1 (ko) 2004-12-31 2006-09-25 제일모직주식회사 결함 발생률이 낮은 cmp 슬러리 조성물 및 그 제조방법
US7052373B1 (en) 2005-01-19 2006-05-30 Anji Microelectronics Co., Ltd. Systems and slurries for chemical mechanical polishing
US7294044B2 (en) 2005-04-08 2007-11-13 Ferro Corporation Slurry composition and method for polishing organic polymer-based ophthalmic substrates
JP2007088424A (ja) * 2005-08-24 2007-04-05 Jsr Corp 化学機械研磨用水系分散体、該水系分散体を調製するためのキット、化学機械研磨方法、および半導体装置の製造方法
JP2007138133A (ja) 2005-10-21 2007-06-07 Hitachi Chem Co Ltd 有機膜研磨用研磨液及びこれを用いた有機膜の研磨方法
WO2007086665A1 (en) * 2006-01-25 2007-08-02 Lg Chem, Ltd. Cmp slurry and method for polishing semiconductor wafer using the same
KR20080037802A (ko) 2006-10-27 2008-05-02 삼성전자주식회사 슬러리 조성물 및 이를 이용한 연마 방법
KR100827591B1 (ko) 2006-11-27 2008-05-07 제일모직주식회사 화학적 기계적 연마용 슬러리 조성물 및 그 전구체 조성물
JP5329786B2 (ja) 2007-08-31 2013-10-30 株式会社東芝 研磨液および半導体装置の製造方法
JP2009079137A (ja) * 2007-09-26 2009-04-16 Fujifilm Corp 膜形成用組成物及び膜の製造方法
JP2009146998A (ja) * 2007-12-12 2009-07-02 Toshiba Corp 半導体装置の製造方法
JP2010028086A (ja) * 2008-06-16 2010-02-04 Hitachi Chem Co Ltd Cmp研磨剤、このcmp研磨剤を用いた研磨方法
WO2010121029A2 (en) * 2009-04-15 2010-10-21 Sinmat, Inc. Cyclic self-limiting cmp removal and associated processing tool
US20100279435A1 (en) 2009-04-30 2010-11-04 Applied Materials, Inc. Temperature control of chemical mechanical polishing
US8449636B2 (en) 2010-08-09 2013-05-28 Ferro Corporation Easy rinsing polishing composition for polymer-based surfaces
CN102465945A (zh) 2010-11-12 2012-05-23 中国南车集团襄樊牵引电机有限公司 一种易拆卸定位销
SG191877A1 (en) * 2011-01-25 2013-08-30 Hitachi Chemical Co Ltd Cmp polishing fluid, method for manufacturing same, method for manufacturing composite particle, and method for polishing base material
CN102744668B (zh) * 2011-04-20 2015-04-29 中芯国际集成电路制造(上海)有限公司 抛光方法以及浮栅的形成方法
JP5787705B2 (ja) * 2011-10-11 2015-09-30 扶桑化学工業株式会社 高純度単分散シリカ粒子及びその製造方法
CN103834305B (zh) 2012-11-22 2017-08-29 安集微电子(上海)有限公司 一种化学机械抛光液
US8961807B2 (en) 2013-03-15 2015-02-24 Cabot Microelectronics Corporation CMP compositions with low solids content and methods related thereto
TWI655281B (zh) 2013-04-17 2019-04-01 南韓商第一毛織股份有限公司 用於有機膜的化學機械硏磨漿料及使用其的硏磨方法
US20150021513A1 (en) 2013-07-17 2015-01-22 Yun-jeong Kim Cmp slurry composition for polishing an organic layer and method of forming a semiconductor device using the same
US9434859B2 (en) 2013-09-24 2016-09-06 Cabot Microelectronics Corporation Chemical-mechanical planarization of polymer films
US20150306731A1 (en) * 2014-04-25 2015-10-29 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad
US9589786B2 (en) 2014-04-28 2017-03-07 National Center For Advanced Packaging Co., Ltd Method for polishing a polymer surface
CN106661430B (zh) * 2014-06-25 2019-03-19 嘉柏微电子材料股份公司 钨化学机械抛光组合物
JP6284443B2 (ja) * 2014-06-25 2018-02-28 扶桑化学工業株式会社 コアシェル型シリカ粒子を含有するコロイダルシリカの製造方法
JP6466974B2 (ja) * 2014-06-25 2019-02-06 キャボット マイクロエレクトロニクス コーポレイション 化学機械研磨組成物の製造方法
CN107406752B (zh) * 2015-03-10 2020-05-08 日立化成株式会社 研磨剂、研磨剂用储存液和研磨方法
US9783702B1 (en) * 2016-10-19 2017-10-10 Rohm And Haas Electronic Materials Cmp Holdings Inc. Aqueous compositions of low abrasive silica particles

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003115441A (ja) * 2001-10-03 2003-04-18 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2010056199A (ja) * 2008-08-27 2010-03-11 Fujifilm Corp 研磨用組成物及びそれを用いた研磨方法
JP2013033897A (ja) * 2010-12-22 2013-02-14 Jsr Corp 化学機械研磨方法

Also Published As

Publication number Publication date
KR20180110626A (ko) 2018-10-10
CN108687649B (zh) 2020-08-14
JP7355487B2 (ja) 2023-10-03
TW201840766A (zh) 2018-11-16
JP2018170505A (ja) 2018-11-01
CN108687649A (zh) 2018-10-23
US10037889B1 (en) 2018-07-31
TWI760462B (zh) 2022-04-11

Similar Documents

Publication Publication Date Title
KR102459039B1 (ko) 양이온성 입자 함유 슬러리 및 스핀-온 카본 필름의 cmp를 위한 이의 사용 방법
US8304924B2 (en) Composition for sealing semiconductor, semiconductor device, and process for producing semiconductor device
KR20200039801A (ko) 질화 규소를 포함하는 기판을 에칭하는 조성물 및 방법
JP2019029660A (ja) 調整可能な選択性を有する低砥粒cmpスラリー組成物
JP7274844B2 (ja) 浅溝分離に使用するための水性シリカスラリー及びアミンカルボン酸組成物並びにその使用方法
KR101536356B1 (ko) 실리카계 피막 형성용 도포액, 그 조제방법 및 상기 도포액으로부터 얻어지는 실리카계 절연막
JP7231365B2 (ja) 研磨における選択的窒化物除去のための水性アニオン性官能性シリカスラリー及びアミンカルボン酸組成物並びにその使用方法
TWI760494B (zh) 用於淺溝槽隔離的水性二氧化矽漿料組合物及其使用方法
JP7274845B2 (ja) 浅溝分離に使用するための水性低砥粒シリカスラリー及びアミンカルボン酸組成物並びにその製造方法及び使用方法
TW201731583A (zh) 將液體純化成被純化物之純化方法、將含矽化合物之液體純化成被純化物之純化方法、矽烷化劑藥液或膜形成用材料或擴散劑組成物之製造方法、過濾介質及過濾元件
CN113004797A (zh) 一种化学机械抛光液
KR102611005B1 (ko) 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법
TW202231806A (zh) 研磨液、研磨液組及研磨方法
JP7231364B2 (ja) 研磨における選択的窒化物除去のための水性シリカスラリー及びアミンカルボン酸組成物並びにその使用方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant