KR102611005B1 - 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법 - Google Patents

얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법 Download PDF

Info

Publication number
KR102611005B1
KR102611005B1 KR1020180094136A KR20180094136A KR102611005B1 KR 102611005 B1 KR102611005 B1 KR 102611005B1 KR 1020180094136 A KR1020180094136 A KR 1020180094136A KR 20180094136 A KR20180094136 A KR 20180094136A KR 102611005 B1 KR102611005 B1 KR 102611005B1
Authority
KR
South Korea
Prior art keywords
cationic
silica particles
composition
dispersion
colloidal silica
Prior art date
Application number
KR1020180094136A
Other languages
English (en)
Other versions
KR20190024695A (ko
Inventor
쿠마 펜타 나레시
코주크흐 줄리아
모슬리 데이비드
케이. 레디 칸찰라-아룬
반 하네헴 매튜
Original Assignee
롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 filed Critical 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드
Publication of KR20190024695A publication Critical patent/KR20190024695A/ko
Application granted granted Critical
Publication of KR102611005B1 publication Critical patent/KR102611005B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G75/00Macromolecular compounds obtained by reactions forming a linkage containing sulfur with or without nitrogen, oxygen, or carbon in the main chain of the macromolecule
    • C08G75/20Polysulfones
    • C08G75/205Copolymers of sulfur dioxide with unsaturated organic compounds
    • C08G75/22Copolymers of sulfur dioxide with unsaturated aliphatic compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/50Improvements relating to the production of bulk chemicals
    • Y02P20/582Recycling of unreacted starting or intermediate materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Composite Materials (AREA)
  • Mechanical Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Silicon Compounds (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 수성 CMP 연마(aqueous chemical mechanical planarization polishing) 조성물로서, 양이온성 질소 원자를 함유하는 복수개의 세장형(elongated), 절곡형(bent) 또는 노듈형(nodular) 실리카 입자의 분산액을 상기 조성물의 전체 중량을 기준으로 0.5 내지 30 중량% 포함하고, 양이온성 아민기를 갖는 다이알릴아민염, 예컨대 다이알릴암모늄 할라이드, 또는 양이온성 아민기를 갖는 다이알릴알킬아민염, 예컨대 다이알릴알킬암모늄염의 양이온성 코폴리머, 또는 상기 코폴리머의 혼합물을 0.001 내지 0.5 중량%, 바람직하게는 10 내지 500 ppm 포함하되, 상기 조성물이 pH 1 내지 4.5를 갖는 수성 CMP 연마 조성물을 제공한다. 바람직하게는, 양이온성 아민기를 갖는 다이알릴아민염의 상기 양이온성 코폴리머는 다이알릴암모늄 클로라이드와 이산화황의 코폴리머를 포함하고, 양이온성 아민기를 갖는 상기 다이알릴알킬아민염의 상기 코폴리머는 다이알릴모노메틸암모늄 할라이드, 예컨대 클로라이드와 이산화황의 코폴리머를 포함한다. 상기 슬러리 조성물은 질화물 및 실리콘 패턴을 갖는 패턴 웨이퍼의 상기 CMP 연마 시 양호한 산화물 선택도(selectivity)를 보여준다.

Description

얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법{AQUEOUS SILICA SLURRY COMPOSITIONS FOR USE IN SHALLOW TRENCH ISOLATION AND METHODS OF USING THEM}
본 발명은 수성 화학적 기계적 평탄화(chemical mechanical planarization: CMP) 폴리싱 조성물로서, 양이온성 질소 원자를 함유하는 복수개의 구형 콜로이드성 실리카 입자, 세장형(elongated), 절곡형(bent) 또는 노듈형(nodular) 실리카 입자 또는 이들 혼합물의 분산물 1종 이상, 및 양이온성 아민 기를 갖는 다이알릴아민 염, 예컨대 다이알릴암모늄 클로라이드와 이산화황의 코폴리머 또는 양이온성 아민 기를 갖는 다이알릴알킬아민 염, 예컨대 다이알릴모노메틸암모늄 클로라이드와 이산화황의 코폴리머를 포함하되, 상기 조성물이 pH 1 내지 4.5를 갖는 수성 화학적 기계적 평탄화(CMP) 폴리싱 조성물에 관한 것이다.
전공정(front-end-of-line: FEOL) 반도체 프로세싱에서, 얕은 트렌치 소자격리(shallow trench isolation: STI)는, 트랜지스터 형성 이전과 같은 집적 회로 제작에서 게이트 형성에 중요하다. STI에서, 실리콘 웨이퍼에 형성된 개구부(opening), 예컨대 질화 규소(SiN) 배리어에 의해 상기 집적 회로의 나머지로부터 격리되어 있는 트렌치 또는 격리 영역에 테트라에틸 오르토실리케이트(TEOS) 또는 이산화규소와 같은 유전체가 과량으로 증착된다. 이어서, 상기 과량의 유전체를 제거하기 위해 CMP 프로세스가 이용되어, 상기 유전체의 예정된 패턴이 상기 실리콘 웨이퍼에 새겨진 구조체를 수득시킨다. STI를 위한 CMP는 상기 격리 영역으로부터 상기 이산화규소 오버버든(overburden)을 제거하고 연마하는 것을 필요로 하며, 이로써 상기 이산화규소-채워진 트렌치를 갖는 동일평면상의(coplanar) 표면이 수득된다. STI에서, 상기 질화규소 필름 표면에는 상기 이산화규소 또는 산화규소가 없어서, 하지(downstream) 프로세싱에서 질화물 하드 마스크(hard mask)의 후속 제거를 가능하게 해야 한다. 허용가능한 산화물:질화물 제거율 비는 기저의(underlying) Si 액티브 영역의 손상을 방지하는 것과 모든 패턴 밀도에 상기 산화물이 없음을 확실히 하기 위하여 과폴리싱 마진(margin)을 제공하는 것에 필요하다. 또한, 완성된 게이트에서 낮은 임계전압(threshold voltage) 누설을 방지하기 위해서는 임의의 트렌치에서 상기 산화물의 디싱(dishing)이 방지되어야 한다.
현재, 기판(substrate)을 폴리싱하기 위해 CMP 폴리싱 패드와 함께 사용되는 수성 화학적, 기계적 평탄화 폴리싱(CMP polishing)의 사용자들은 세리아(ceria)를 함유하는 CMP 폴리싱 조성물의 사용을 회피하기를 원한다. 세리아 슬러리는 질화 규소 대비 이산화규소에 대해 높은 선택도(selectivity)를 보여주며, 질화 규소의 노출시 트렌치 영역에 있는 산화물 제거를 방지하지만, 고가이고, 제거율(removal rate: RR) 및 프로세스 안정도와 관련하여 문제를 가지며, 폴리싱동안 결함(defect)을 야기하는 경향이 있다. 실리카 슬러리 배합물은 보다 낮은 비용, 결함이 없는 용액을 제공하지만, 현재까지는, STI 용도에서 사용하기에 만족스럽지 않은 산화물 디싱 제어 및 부적당한 산화물:질화물 선택도의 문제를 가져왔다.
Grumbine 등의 미국특허 제9,303,188 B2호는 텅스텐 층을 갖는 기판을 폴리싱하기 위한 화학적 기계적 폴리싱 조성물을 개시하고 있으며, 상기 조성물은 수계(water based) 액체 캐리어, 양이온에 의해 하전된 콜로이드성 실리카 연마재 및 다양이온성(polycationic) 아민 화합물을 상기 액체 캐리어 중 용액에 포함한다. 상기 조성물은 폴리아민 및 아민 작용기, 예컨대 다이알릴암모늄 클로라이드를 함유하는 폴리머로부터 선택된 아민계 폴리머를 함유할 수 있다. 상기 조성물은 STI 용도에서 사용하기에 허용가능한 산화물 디싱 제어 및 부적당한 산화물:질화물 선택도를 나타내지 않는다.
본 발명자들은 STI 용도에서 사용하기 위해 허용가능한 산화물 디싱 제어 및 산화물:질화물 선택도를 가능하게 하는 수성 실리카 슬러리 및 상기 슬러리를 이용하는 방법을 제공하는데 있어서의 문제점을 해결하기 위하여 노력하였다.
본 발명에 따르면, 수성 화학적 기계적 평탄화 폴리싱(CMP polishing) 조성물은 양이온성 질소 원자를 함유하는 복수개의 세장형, 절곡형 또는 노듈형 콜로이드성 실리카 입자의 분산물 또는 그와 복수개의 구형 콜로이드성 실리카 입자의 분산물과의 혼합물을 포함하고, 예컨대, 이들은, 평균 입자의 경우에, 최장 치수에 수직인 직경에 대한 상기 입자의 상기 최장 치수의 종횡비 1.8:1 내지 3:1, 및 양이온성 아민 기를 갖는 다이알릴아민 염, 예컨대 다이알릴암모늄 염, 바람직하게, 할라이드 염의 양이온성 코폴리머, 예컨대 다이알릴암모늄 할라이드와 이산화황의 코폴리머, 또는 양이온성 아민 기를 갖는 다이알릴알킬아민 염, 예컨대 다이알릴알킬암모늄 할라이드, 바람직하게, 다이알릴모노메틸암모늄 염, 예컨대, 바람직하게, 할라이드 염, 예컨대 바람직하게, 다이알릴모노메틸암모늄 클로라이드와 이산화황의 코폴리머, 또는 이들의 혼합물 0.001 내지 0.5 중량% 또는, 바람직하게, 10 내지 500 ppm을 갖되, 상기 조성물이 pH 1 내지 4.5 또는, 바람직하게, pH 2.5 내지 4.3을 갖고, 또한, 상기 세장형, 절곡형 또는 노듈형 실리카 입자의 상기 분산물의 양이 상기 조성물의 전체 중량을 기준으로, 고형물로서, 0.5 내지 30 중량%, 또는, 바람직하게 1 내지 25 중량% 또는, 더욱 바람직하게, 1 내지 20 중량% 범위이다.
2. 상기 제1아이템에 기재된 바와 같은 상기 수성 CMP 폴리싱 조성물에 따르면, 조성물은 양이온성 질소 원자를 함유하는 복수개의 세장형, 절곡형 또는 노듈형 콜로이드성 실리카 입자의 분산물과 복수개의 구형 콜로이드성 실리카 입자의 분산물의 혼합물을 포함하되, 상기 세장형, 절곡형 또는 노듈형 콜로이드성 실리카 입자의 상기 분산물의 양은 상기 조성물에 있는 상기 콜로이드성 실리카 입자의 전체 고형물 중량을 기준으로 80 내지 99.9 중량%, 또는, 바람직하게, 95 내지 99.9 중량% 범위이다.
3. 상기 제1 또는 2아이템 중 임의의 하나에 기재된 바와 같은 상기 수성 CMP 폴리싱 조성물에 따르면, 실리카 입자의 상기 분산물에 있는 상기 실리카 입자의 중량 평균 입자 크기(CPS) 또는 그 혼합물의 상기 입자 크기의 가중 평균이 10 nm 내지 200 nm, 또는, 바람직하게, 25 nm 내지 80 nm 범위이다.
4. 상기 제1, 2 또는 3아이템 중 임의의 하나에 기재된 바와 같은 상기 수성 CMP 폴리싱 조성물에 따르면, 상기 다이알릴암모늄 염과 이산화황의 상기 양이온성 코폴리머가 양이온성 아민 기를 갖는 상기 다이알릴아민 염, 예컨대 다이알릴암모늄 염, 예컨대, 바람직하게 할라이드 염 45 내지 55 몰% 또는, 바람직하게, 48 내지 52 몰% 및 상기 이산화황 45 내지 55 몰% 또는, 바람직하게, 48 내지 52 몰%의 코폴리머를 포함하거나, 또는 양이온성 아민 기를 갖는 다이알릴알킬아민 염, 예컨대 다이알릴알킬암모늄 염, 또는 다이알릴알킬암모늄 할라이드, 바람직하게, 다이알릴모노메틸암모늄 염, 예컨대, 바람직하게, 할라이드 염, 예컨대, 바람직하게, 다이알릴모노메틸암모늄 클로라이드 및 이산화황의 상기 양이온성 코폴리머가 상기 다이알릴모노메틸암모늄 염 45 내지 55 몰% 또는, 바람직하게, 48 내지 52 몰% 및 상기 이산화황 45 내지 55 몰% 또는, 바람직하게, 48 내지 52 몰%의 코폴리머를 포함한다.
5. 상기 제1, 2, 3 또는 4아이템 중 임의의 하나에 기재된 바와 같은 상기 수성 CMP 폴리싱 조성물에 따르면, 양이온성 아민 기를 갖는 상기 다이알릴아민 염, 바람직하게, 할라이드 염, 및 이산화황의 상기 양이온성 코폴리머 또는 양이온성 아민 기를 갖는 다이알릴알킬아민 염, 바람직하게, 할라이드 염, 또는, 더욱 바람직하게, 암모늄 할라이드, 및 이산화황의 상기 양이온성 코폴리머, 또는 그 혼합물의 가중 평균이 중량평균분자량 1,000 내지 15,000 또는, 바람직하게, 2,000 내지 12,000을 갖는다.
6. 본 발명의 또 다른 측면에 따르면, 상기 수성 CMP 폴리싱 조성물을 사용하는 방법이 CMP 폴리싱 패드 및 상기 제1 내지 5아이템 중 임의의 하나에 기재된 바와 같은 수성 CMP 폴리싱 조성물로 기판을 폴리싱하는 단계를 포함한다.
7. 상기 제6아이템에 기재된 바와 같은 본 발명의 방법에 따르면, 상기 기판이 이산화규소 또는 테트라에틸 오르토실리케이트(TEOS)와, SiN 또는 Si3N4 또는 이들의 혼합물인 질화 규소를 모두 포함하고, 상기 폴리싱의 결과로 산화물:질화물 제거율 비가 적어도 3:1, 예컨대 3:1 내지 25:1 또는, 바람직하게, 8:1 내지 18:1, 예를 들면 적어도 8:1이 된다.
8. 상기 제6 또는 7아이템 중 임의의 하나에 기재된 바와 같이 기판을 폴리싱하기 위한 본 발명의 방법에 따르면, 상기 폴리싱 다운포스(downforce)가 6.9 kPa (1 psi) 내지 41.5 kPa (6 psi) 또는, 바람직하게, 12 kPa (1.8 psi) 내지 36 kPa (5.2 psi) 범위이다.
9. 제6, 7 또는 8아이템중 임의의 하나에 기재된 바와 같이 기판을 폴리싱하기 위한 본 발명의 방법에 따르면, 상기 CMP 폴리싱 조성물이 상기 세장형, 절곡형 또는 노듈형 콜로이드성 실리카 입자, 구형 콜로이드성 실리카 입자, 또는 이들의 혼합물의 분산물의 전체 고형물 함량을 총 0.5 내지 5 중량%, 또는, 바람직하게, 1 내지 3 중량% 포함한다. 상기 CMP 폴리싱 조성물은 농축물로 보관 및 수송될 수 있으며, 이어서 상기 기판을 폴리싱하는 시점에 물로 희석될 수 있다.
달리 표기되지 않는 한, 온도 및 압력의 조건은 주위 온도(ambient temperature) 및 표준 압력(standard pressure)이다. 인용된 모든 범위는 포괄적이고(inclusive) 조합가능하다(combinable).
달리 표기되지 않는 한, 괄호를 함유하는 임의의 용어는, 대안적으로, 괄호가 존재하지 않을 때의 전체용어(whole term) 및 괄호가 없는 상기 용어, 및 각 대안의 조합을 의미한다.
모든 범위는 포괄적이고 조합가능하다. 예를 들면, 용어 "50 내지 3000 cPs, 또는 100 cPs 이상의 범위"는 50 내지 100 cPs, 50 내지 3000 cPs 및 100 내지 3000 cPs 각각을 함유할 것이다.
본원에서 사용될 때 용어 "ASTM"은 미국 펜실베니아주 웨스트 콘쇼혹켄에 있는 ASTM International의 발행물을 의미한다.
본원에서 사용될 때 용어 "콜로이드적으로 안정한(colloidally stable)"은 특정 조성물이 겔화되거나 침전되지 않고, 특정 온도에서 특정 시간 후에 육안 조사시 투명하게 있음을 의미한다.
본원에서 사용될 때 용어 "하드 염기(hard base)"는 알칼리(성 토)금속 하이드록사이드, 예컨대 NaOH, KOH, 또는 Ca(OH)2를 비롯한 금속 하이드록사이드를 의미한다.
본원에서 사용될 때 용어 "ISO"는 스위스 제네바에 있는 the International Organization for Standardization의 발행물을 의미한다.
본원에서 사용될 때 용어 "입자 크기(CPS)"는 CPS Instruments (네덜란드) 디스크 원심 시스템에 의해 결정될 때 조성물의 중량 평균 입자 크기를 의미한다. 상기 입자는 용매 중에서 원심력을 이용하여 크기별로 분리되고 광학적 광 산란법을 이용하여 정량화된다.
본원에서 사용될 때 용어 "양이온성 아민 기"는 수성 매질에서 형성되는 아민 하이드록사이드 기의 염을 함유한다.
본원에서 사용될 때 용어 "쇼어 D 경도"는 ASTM D2240-15 (2015), "Standard Test Method for Rubber Property, Durometer Hardness"에 따라 측정될 때 특정 물질의 2급 경도(2 second hardness)이다. 경도는 D 프로브를 구비한 Rex Hybrid 경도 테스터 (Rex Gauge Company, Inc., 미국 일리노이주 버팔로 그로브) 상에서 측정되었다. 6개의 시료를 스택(stack)하였고, 각각의 경도 측정을 위해 정리하였으며(shuffled); 시험되는 각각의 패드는, 테스트하기 전에 23 ℃에서 5일동안 50 퍼센트 상대 습도에 놓고 ASTM D2240-15 (2015)에 제시되어 있는 방법론을 이용하여 조건화되어서, 상기 경도 테스트의 반복재현성을 향상시켰다. 본 발명에서, 상기 폴리싱 층 또는 패드의 폴리우레탄 반응 생성물의 쇼어 D 경도는 상기 반응 생성물의 쇼어 D 경도를 함유한다.
본원에서 사용될 때 용어 "실리카 입자 고형물" 또는 "실리카 고형물"은, 특정 조성물의 경우에, 구형 실리카 입자의 총량과 세장형, 절곡형 또는 노듈형 실리카 입자의 총량을 합한 것을 의미하며, 임의의 입자를 처리하고 있는 임의의 것을 함유한다.
본원에서 사용될 때 용어 "고형물"은 그의 물리적 상태에 상관없이 사용 조건에서 휘발되지 않는, 물 또는 암모니아 이외의 임의의 물질을 의미한다. 따라서, 사용 조건에서 휘발되지 않는 액상 실란 또는 첨가제가 "고형물"로 간주된다.
본원에서 사용될 때 용어 "강산"은 pKa 2 이하를 갖는 양성자성 산(protic acid), 예컨대 황산 또는 질산과 같은 무기산을 의미한다.
본원에서 사용될 때 용어 "사용 조건"은 사용동안 또는 사용의 결과로 온도 및 압력의 증가를 비롯하여 특정 조성물이 사용되는 온도 및 압력을 의미한다.
본원에서 사용될 때 용어 "실리카 중량 분율(weight fraction silica)"은 상기 조성물의 전체 중량/100%을 기준으로 한 실리카의 전체 중량%를 의미한다. 이와 같이, 실리카 30 중량%는 중량 분율 0.3과 동일하다.
본원에서 사용될 때 용어 "가중 평균(weighted average)"은 상이한 조성물(에컨대, 구형 콜로이드성 실리카 입자의 분산물과 세장형 콜로이드성 실리카 입자의 분산물)로부터 2 이상의 측정치의 평균(예컨대, 평균 입자 크기 또는 분자량)으로, 전체 고형물 중량 분율의 합이 1 (1.00)로 되는 경우에 각 측정치를 그의 고형물 중량 분율로 곱하여 수득된다.
본원에서 사용될 때 용어 "중량%"는 중량 퍼센트를 나타낸다.
본원에서 사용될 때 용어 "세장형, 절곡형 또는 노듈형 콜로이드성 실리카 입자"는 일반적인 당업계 숙련자들에게 알려진 임의의 방법, 예컨대 투과 전자 현미경(TEM)에 의해 또는 입자 분산물의 제조업체에 의해 보고된 바와 같이 결정될 때, 평균 입자의 경우에, 최장 치수에 수직인 직경에 대한 상기 최장 치수의 종횡비를 1.8:1 내지 3:1로 갖는 실리카 입자를 의미한다.
본 발명자들은 놀랍게도, 수성 CMP 폴리싱 조성물로서, 양이온 전하를 갖는 세장형, 절곡형 또는 노듈형 콜로이드성 실리카 입자의 분산물, 및 상기 조성물의 전체 중량을 기준으로, 양이온성 아민 기를 갖는 다이알릴아민 염, 예컨대 다이알릴암모늄 염, 또는 양이온성 아민 기를 갖는 다이알릴알킬아민 염, 예컨대 다이알릴알킬암모늄 염, 예를 들면 다이알릴알킬암모늄 할라이드, 바람직하게, 다이알릴모노메틸암모늄 염과 이산화황의 양이온성 코폴리머 최대 0.5 중량%의 수성 CMP 폴리싱 조성물이 기판, 예컨대 얕은 트렌치 소자격리(STI) 프로세싱을 겪은 실리콘 웨이퍼를 평탄화 또는 폴리싱하기에 특히 매우 적합함을 발견하였다. 블랭킷 실리콘 웨이퍼(blanket silicon wafer)에 대한 압력 반응 특징은 이들 슬러리가 비-프레스토니안(non-Prestonian) 방식으로 산화 규소를 폴리싱함을 밝혀냈다. 산화물 제거율은 낮은 다운-포스에서는 무시할 정도이고 "턴 온(turn on)" 압력보다 높은 압력에서 다운-포스 증가에 따라 증가한다. 이러한 비-프레스토니안 산화물 RR (y 축) vs. 다운-포스 (x 축) 곡선의 x-절편은 0이 아니다(non-zero). 본 발명의 상기 수성 CMP 폴리싱 조성물은 만족할만한 제거율로 이산화규소를 CMP 폴리싱할 수 있게 하며, 블랭킷 및 패턴 웨이퍼 모두 상에서 질화 규소 대비 산화 규소에 대하여 허용가능한 선택도를 제공한다. 가장 현저하게, 상기 조성물은 다른 실리카 슬러리와 비교할 때 경시적인 개선된 트렌치 산화물 손실 및 디싱을 가능하게 한다.
본 발명에 따르면, 적합한 콜로이드성 실리카 조성물은 일정 분포의 복수개의 세장형, 절곡형 또는 노듈형 실리카 입자 또는 구형 실리카 입자를 함유할 수 있는 혼합물을 생성시키도록 종래의 졸 겔 중합에 의해 또는 물 유리(water glass)의 현탁 중합(suspension polymerization)에 의해 제조된 실리카 분산물을 포함할 수 있다.
세장형, 절곡형 또는 노듈형 콜로이드성 실리카 입자의 적합한 분산물은 테트라에톡시실란(TEOS) 또는 테트라메톡시실란(TMOS)과 같은 전구체로부터 공지된 방식으로 형성된 실란올의 가수분해성 축합에 의해 현탁 중합으로부터 제조된다. 상기 세장형, 절곡형 또는 노듈형 실리카 입자를 제조하기 위한 프로세스가 알려져 있으며, 예를 들면, Higuchi 등의 미국특허 제8,529,787호에서 찾을 수 있다. 상기 가수분해성 축합은 염기성 촉매, 예컨대 알킬암모늄 하이드록사이드, 알콕시알킬 아민, 예컨대, 에톡시프로필아민(EOPA), 알킬아민 또는 KOH, 바람직하게, 테트라메틸암모늄 하이드록사이드의 존재하에 수성 현탁액 중에서 상기 전구체를 반응시키는 것을 포함하고; 상기 가수분해성 축합 프로세스는 1개 이상의 양이온성 질소 원자를 상기 세장형, 절곡형 또는 노듈형 실리카 입자에 혼입시킬 수 있다. 바람직하게, 상기 세장형, 절곡형 또는 노듈형 실리카 입자는 pH 4 이하에서 양이온성이다.
절곡형 또는 노듈형 콜로이드성 실리카 입자의 적합한 분산물은 HL-2, HL-3, HL-4, PL-2, PL-3 또는 BS-2 및 BS-3 슬러리 상품명으로, 일본 오사카에 있는 Fuso Chemical Co., Ltd.(Fuso)에서 입수가능하다. Fuso로부터의 상기 HL 및 BS 시리즈 입자는, pH 4 이하에서 양이온성 전하를 부여하는 질소 원자를 1개 이상 함유한다.
본 발명의 상기 수성 CMP 폴리싱 조성물의 콜로이드성 안정성을 확보하기 위해, 상기 조성물은 1 내지 4.5 또는, 바람직하게, 2.5 내지 4 범위의 pH를 갖는다. 상기 조성물은 상기 목적한 pH 범위보다 높은 경우 안정성을 잃는 경향이 있다.
양이온성 아민 기를 갖는 상기 양이온성 다이알릴아민 염 또는 다이알릴알킬아민 염과 이산화황의 본 발명 코폴리머는 폴리싱 시에 선택도 및 디싱 방지에 일조한다. 상기 양이온성 코폴리머의 양은 상기 조성물의 전체 중량을 기준으로 최대 0.5 중량% 범위이다. 지나치게 많은 상기 양이온성 코폴리머는 상기 기판의 유전체 또는 실리카 표면을 패시베이션(passivate)할 수 있다.
본 발명의 상기 양이온성 코폴리머는, 산, 예컨대 염산 또는 글리콜산 및 라디칼 중합 개시제, 예컨대 암모늄 퍼설페이트의 존재하에 또는 부재하에, 물과 같은 극성 용매 중에서 부가 중합에 의해 제조될 수 있다. 이러한 중합 방법은 예를 들면, Yusuke 등의 미국특허 제9,006,383 B2호에 상세하게 기재되어 있다.
본 발명의 상기 수성 CMP 폴리싱 조성물은 pH 조정제, 예컨대 무기 산, 예를 들면 질산, 또는 유기산, 예컨대 시트르산을 함유할 수 있다.
본 발명의 상기 수성 CMP 폴리싱 조성물은 다른 양이온성 첨가제, 예컨대 폴리아민을 전체 고형물을 기준으로 최대 1중량%의 양으로 포함할 수 있다.
적합한 첨가제는 또한 예를 들면, 쿼터너리 암모늄 화합물(quaternary ammonium compound)과 다이쿼터너리 암모늄 화합물(diquaternary ammonium compound), 예컨대, N,N,N,N',N',N'-헥사부틸-1,4-부탄다이암모늄 다이하이드록사이드, 98 중량% (Sachem, 미국 텍사스주 오스틴); 및 양이온성 아미노실란, 예컨대 N-(2-아미노에틸)-3-아미노프로필트리메톡시실란, 98% (Gelest Inc., 미국 펜실베니아주 모리스빌) 또는 N,N-다이에틸아미노메틸)트리에톡시실란, 98% (Gelest Inc.)을 함유할 수 있다.
바람직하게, 상기 수성 CMP 폴리싱 조성물은 양이온성 아민 기를 갖는 상기 다이알릴아민 염 또는 상기 다이알릴알킬아민 염과 이산화황의 본 발명 코폴리머 및 양이온성 연마재로 본질적으로 이루어지고, 상기 연마재 구성성분 또는 상기 코폴리머와 더 상호작용할 물질을 함유하지 않는다. 이러한 조성물은 바람직하게는 실리카와 상호작용하는 다이쿼터너리 암모늄 화합물을 함유하지 않으며; 상기 코폴리머와 상호작용하는 음이온성 화합물 및 비이온성 계면활성제를 함유하지 않는다. 상기 수성 CMP 폴리싱 조성물은 물로 희석되거나 또는 물과 혼화성(miscible)인 또 다른 액체로 희석될 수 있다.
바람직하게, 본 발명의 상기 CMP 폴리싱은 본 발명의 상기 CMP 폴리싱 조성물을 사용하여 STI 프로세싱에서 수행되어, 바람직하게 상기 질화 규소는 실질적으로 제거되지 않고 상기 이산화규소는, 상기 트렌치 내의 유전체 또는 이산화규소를 과도하게 침식 또는 디싱시키지 않으면서 적합하게 평탄화된다.
사용시에, 웨이퍼 기판의 STI 프로세싱은 질화 규소 층이 증착되어지는 실리콘 기판을 제공하는 것을 수반한다. 포토리소그래피 이후, 질화 규소 상층(overlying layer)을 포함하는 상기 기판 상으로 트렌치가 식각되고, 과량의 유전체, 예컨대 이산화 규소가 그 위에 증착된다. 이어서, 질화 규소의 표면층이 노출되지만 실질적으로 제거되지 않을 때까지 상기 기판이 평탄화되어, 상기 트렌치에 남아있는 상기 유전체 또는 산화규소가 상기 질화 규소의 상기 엣지(edge)와 거의 같은 수준이다.
실시예: 하기 실시예는 본 발명의 다양한 특징을 예시한다.
하기 실시예에서, 달리 표기되지 않는 한, 온도 및 압력의 조건은 주위 온도 또는 실온 및 표준 압력이다.
하기 표 A에 열거된 것을 비롯하여 하기 물질이 하기 실시예에서 사용되었다:
[표 A]
코폴리머 1은 제조업체(PAS-92A, Nitto Boseke Co. Ltd, 일본 후쿠시마)에 의해 보고된 바와 같이 중량 평균 분자량(MW) (폴리에틸렌 글리콜 표준물을 사용한 GPC) 5,000을 갖는 다이알릴암모늄 클로라이드와 이산화황의 1:1 코폴리머이다;
코폴리머 2는 제조업체(PAS-2201CL, Nitto Boseke Co. Ltd, 일본 후쿠시마)에 의해 보고된 바와 같이 중량평균분자량(MW) (폴리에틸렌 글리콜 표준물을 사용한 GPC) 3,000을 갖는, 다이알릴모노메틸암모늄 클로라이드와 이산화황의 1:1 코폴리머이다;
슬러리 B: 세리아 슬러리, pH 5.2, 폴리아크릴산 분산제, 희석되지 않은 0.75 중량% 세리아 고형물, 사용시 1:3 희석.
슬러리 A는 pH 4.5 미만에서 양으로(positively) 하전된다.
상기 실시예에서 사용된 상기 다양한 실리카 입자가 상기 표 A에 열거되어 있다.
하기 약어가 하기 실시예에서 사용되었다:
POU: 사용 시점; RR: 제거율;
하기 테스트 방법이 하기 실시예에서 사용되었다:
POU에서 pH: 사용 시점에서의 pH(POU에서 pH)는, 상기 표기된 농축액 조성물을 물에 의해 상기 표기된 고형물 함량까지 희석한 후에 제거율 테스트동안 측정한 것이었다.
제거율: 제거율 테스트에서, IC1010TM 또는 다른 표기된 CMP 폴리싱 패드 (The Dow Chemical Company, 미국 미시간주 미들랜드(Dow))와 함께 MirraTM (200 mm) 폴리싱 기계 또는 "Mirra RR" (Applied Materials, 미국 캘리포니아주 산타 클라라) 폴리싱 장치를 사용하여, 다운-포스 20.7 kPa (3 psi), 슬러리 유속 150 mL/min, 압반(platen) 속도 93 rpm 및 캐리어 속도 87 rpm으로, MIT 마스크(SKW-3 웨이퍼, SKW, Inc. 미국 캘리포니아주 산타 클라라)와 함께 하기 표 1에 규정된 CMP 폴리싱 조성물을 사용하여, 명시된 피쳐 %(이는 웨이퍼 전체 면적에 대한 웨이퍼의 액티브 영역 혹은 고도 영역(high area)에 해당한다)를 갖는 STI 패턴 웨이퍼 기판을 폴리싱하였다. 폴리싱동안, 상기 패드는 100 % 동일 장소(in situ) 조건화를 이용하여, 3.17 kg (7 lbf) 압력에서 KinikTM AD3CS-211250-1FN 조건화 디스크 (Kinik Company, 대만)를 사용하여 조건화하였다.
다단계 CMP 폴리싱 - P1 (제1단계)와 P2 (후속 단계): 제1단계 또는 P1 프로세스에서, 상기 오버버든 고밀도 플라스마 산화물(high density plasma oxide: HDP) 필름이 제거되도록 CMP 폴리싱을 수행하였다. VP6000TM 폴리우레탄 CMP 폴리싱 패드(Dow, 쇼어 D (2초) 경도: 53) 및 슬러리 E를 사용하고 폴리싱 다운-포스 20.7 kPa (3 psi) 및 압반 속도 93 rpm을 적용함으로써 상기 필름을 폴리싱하였다. 상기 웨이퍼의 중간 다이(middle die) 상에 50% 패턴 밀도(pattern density: PD) 상에서 완전한 평탄화가 이루어졌을 때 P1 폴리싱을 중단하였다. 이 시점에, HDP 필름의 약 500 Å이 상기 50% 피쳐 상에 남아있었다. 그러나, 보다 작은 피쳐, 예컨대 10% 및 20% PD 피쳐 상에서, 상기 HDP 필름은 완전히 제거되었고, 기저 질화물 필름이 노출되었다. >50% PD를 갖는 피쳐는 상기 질화물 필름 상에 유의한 유전체 필름을 여전히 가졌다. P2로 이동시키기 전에, OnTrak DSS-200 SynergyTM 툴 (Lam Research, 미국 캘리포니아주 프레몬트) 상에서 SP100 세정 화학약품(TMAH 함유)을 이용하여 상기 패턴화된 웨이퍼를 세정하여, 상기 웨이퍼로부터 세리아 입자를 제거하였다. 1010TM 그루브(groove) 디자인(Dow)을 갖는 ICTM 폴리우레탄 폴리싱 패드(Dow, 쇼어 D (2초) 경도: 70) 및 상기 표기된 슬러리를 사용하고 폴리싱 다운-포스 20.7 kPa (3 psi) 및 압반 속도 93 rpm를 이용하여 P2 폴리싱을 수행하였다. 50% 패턴 밀도 피쳐의 경우, 상기 폴리싱의 종지점(endpoint)은, 상기 HDP가 없고 상기 질화물 필름이 노출되는 시점으로 정의되었다. 각 단계-폴리싱 상황에서 상기 50% 패턴 밀도 피쳐 상에서 트렌치 산화물 손실을 모니터링하였다. 상기 100% 패턴 밀도 피쳐 상에서의 상기 HDP 산화물 제거가 또한 측정되었다. 과폴리싱은 질화 규소가 상기 50% 패턴 밀도 피쳐 상에서 노출된 후에 100% 피쳐 상에서 제거된 HDP 필름의 양으로 정의된다. 선택도는 상기 100% 피쳐 상에서 HDP 산화물 제거율 비에 대한 질화 규소 제거율 비로 계산되었다. 3 mm 엣지 배제(edge exclusion)하며 49 포인트 나사선 주사(49 point spiral scan)를 이용하여 KLA-TencorTM FX200 계측 툴(KLA Tencor, 미국 캘리포니아주 밀피타스)을 이용하여 폴리싱하기 전과 후에 상기 필름 두께를 측정함으로써 모든 유전체 필름 두께 및 제거율을 결정하였다. 추가적인 폴리싱 상세가 하기 표 B에 기재되어 있다.
[표 B]
폴리싱은 상기 표기된 시간 간격으로 또는 상기 표기된 과폴리싱 양의 정도까지 계속되었다. 하기 표 3, 4, 및 5 각각에서, 성능 기준(Performance Criterion) A는 트렌치 산화물 손실(Å)이다: 허용가능한 트렌치 산화물 손실은 500 Å 과폴리싱 양에서 250 Å 미만, 바람직하게, 500 Å 과폴리싱 양에서 215 Å 미만이다; 성능 기준 B는 SiN 손실(Å)이다: 허용가능한 SiN 손실은 500 Å 과폴리싱 양에서 200 Å 미만, 바람직하게, 500 Å 과폴리싱 양에서 150 Å 미만이다; 및 성능 기준 C는 디싱(Å)이다: 허용가능한 디싱은 500 Å 과폴리싱 양에서 200 Å 미만, 바람직하게, 500 Å 과폴리싱 양에서 175 Å 미만이다.
달리 표기되지 않는 경우, 상기 폴리싱된 기판은 블랭킷 웨이퍼 연구에 사용된 재활용된 테트라에톡시실리케이트(TEOS) 웨이퍼(TENR)이었다.
[표 1]
실시예: 폴리싱 결과
50% PD 피쳐를 갖는 STI 웨이퍼 기판 상에서, 상기 표 1에 열거된 상기 표기된 슬러리를 사용하여 폴리싱을 수행하였다. 폴리싱은 상기 표기된 슬러리를 사용하여 다수의 단계에서 수행하였다. 결과가 하기 표 2에 있다. 성능 기준 A는 트렌치 산화물 손실(Å)이다; 성능 기준 B는 SiN 손실(Å)이다; 그리고 성능 기준 A는 디싱(Å)이다.
[표 2]
상기 표 2에 도시된 바와 같이, 코폴리머 1은, 비교 실시예 1에서의 상기 코폴리머없는 동일 슬러리에 비해, 우수한 폴리싱 성능을 제공하고 트렌치 산화물 손실 A, SiN 손실 B 및 디싱 C 모두를 향상시킨다.
비교 실시예 1*의 상기 조성물과 비교할 때, 실시예 2 및 3의 상기 조성물은 보다 우수한 디싱 및 트렌치 산화물 손실을 나타낸다.

Claims (10)

  1. 수성 화학적 기계적 평탄화 연마 조성물로서,
    양이온성 질소 원자를 함유하는 복수의 양이온성 세장형(elongated) 콜로이드성 실리카 입자의 분산물과 구형 콜로이드성 실리카 입자의 분산물; 및
    10 내지 20 ppm의, 다이알릴암모늄 클로라이드와 이산화황의 양이온성 코폴리머, 다이알릴모노메틸암모늄 클로라이드와 이산화황의 양이온성 코폴리머, 또는 이들의 혼합물;을 포함하며,
    상기 콜로이드성 실리카 입자들이 25 nm 내지 80 nm의 중량 평균 입자 크기를 갖고,
    상기 양이온성 코폴리머가 2,000 내지 12,000의 중량 평균 분자량을 가지며,
    상기 조성물이 2.5 내지 4의 pH를 갖고, 또한
    상기 양이온성 세장형 콜로이드성 실리카 입자의 분산물의 양이 1 내지 25 중량% 범위이며, 모든 중량은 조성물의 전체 중량을 기준으로 하는,
    수성 화학적 기계적 평탄화 연마 조성물.
  2. 제1항에 있어서, 양이온성 세장형 콜로이드성 실리카 입자의 분산물이 1.8:1 내지 3:1의 종횡비를 갖고, 이 종횡비는 평균 입자에 대해 최장 치수 대 최장 치수에 수직인 직경의 비인, 수성 화학적 기계적 평탄화 연마 조성물.
  3. 제1항에 있어서, 양이온성 세장형 콜로이드성 실리카 입자의 분산물과 구형 콜로이드성 실리카 입자의 분산물의 혼합물을 포함하며, 여기서 양이온성 세장형 실리카 입자의 분산물의 양이, 조성물 내 콜로이드성 실리카 입자의 전체 고형물 중량을 기준으로, 80 내지 99.9 중량% 범위인, 수성 화학적 기계적 평탄화 연마 조성물.
  4. 제1항에 있어서, 다이알릴암모늄 클로라이드와 이산화황의 양이온성 코폴리머가, 양이온성 아민기를 갖는 다이알릴암모늄 클로라이드 45 내지 55 몰% 및 이산화황 45 내지 55 몰%를 포함하는, 수성 화학적 기계적 평탄화 연마 조성물.
  5. 제1항에 있어서, 다이알릴모노메틸암모늄 클로라이드와 이산화황의 양이온성 코폴리머가, 양이온성 아민기를 갖는 다이알릴모노메틸암모늄 클로라이드 45 내지 55 몰% 및 이산화황 45 내지 55 몰%를 포함하는, 수성 화학적 기계적 평탄화 연마 조성물.
  6. 제1항에 있어서, 양이온성 세장형 콜로이드성 실리카 입자의 분산물의 양이 1 내지 20 중량% 범위인, 수성 화학적 기계적 평탄화 연마 조성물.
  7. 제1항 내지 제6항 중 어느 한 항의 수성 화학적 기계적 평탄화 연마 조성물을 사용하는 방법으로서, 기판을 화학적 기계적 평탄화 연마 패드 및 상기 수성 화학적 기계적 평탄화 연마 조성물로 연마하는 단계를 포함하는 방법.
  8. 제7항에 있어서, 기판이 이산화 규소와 질화 규소를 둘 다 포함하고, 연마의 결과, 산화물:질화물 제거율 비가 3:1 내지 25:1인, 방법.
  9. 삭제
  10. 삭제
KR1020180094136A 2017-08-30 2018-08-13 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법 KR102611005B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/691,115 US10316218B2 (en) 2017-08-30 2017-08-30 Aqueous silica slurry compositions for use in shallow trench isolation and methods of using them
US15/691,115 2017-08-30

Publications (2)

Publication Number Publication Date
KR20190024695A KR20190024695A (ko) 2019-03-08
KR102611005B1 true KR102611005B1 (ko) 2023-12-06

Family

ID=65434603

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180094136A KR102611005B1 (ko) 2017-08-30 2018-08-13 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법

Country Status (5)

Country Link
US (1) US10316218B2 (ko)
JP (1) JP7152217B2 (ko)
KR (1) KR102611005B1 (ko)
CN (1) CN109423213B (ko)
TW (1) TWI812633B (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11718767B2 (en) 2018-08-09 2023-08-08 Versum Materials Us, Llc Chemical mechanical planarization composition for polishing oxide materials and method of use thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120156968A1 (en) * 2010-12-16 2012-06-21 Yosuke Kimura Polishing liquid composition for magnetic disk substrate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002110596A (ja) 2000-10-02 2002-04-12 Mitsubishi Electric Corp 半導体加工用研磨剤およびこれに用いる分散剤、並びに上記半導体加工用研磨剤を用いた半導体装置の製造方法
US20020104269A1 (en) 2001-01-26 2002-08-08 Applied Materials, Inc. Photochemically enhanced chemical polish
US7004819B2 (en) * 2002-01-18 2006-02-28 Cabot Microelectronics Corporation CMP systems and methods utilizing amine-containing polymers
US6866793B2 (en) 2002-09-26 2005-03-15 University Of Florida Research Foundation, Inc. High selectivity and high planarity dielectric polishing
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7531105B2 (en) 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
JP5646996B2 (ja) 2007-09-21 2014-12-24 キャボット マイクロエレクトロニクス コーポレイション 研磨組成物およびアミノシランを用いて処理された研削剤粒子の使用方法
CN104178088B (zh) * 2008-04-23 2016-08-17 日立化成株式会社 研磨剂及使用该研磨剂的基板研磨方法
US8366959B2 (en) 2008-09-26 2013-02-05 Rhodia Operations Abrasive compositions for chemical mechanical polishing and methods for using same
KR101626179B1 (ko) * 2008-09-26 2016-05-31 후소카가쿠코교 가부시키가이샤 굴곡 구조 및/또는 분지 구조를 갖는 실리카 이차 입자를 함유하는 콜로이달 실리카 및 그의 제조 방법
US9006383B2 (en) 2010-05-26 2015-04-14 Nitto Boseki Co., Ltd. Method for producing copolymer of diallylamine and sulfur dioxide
US10557058B2 (en) * 2012-02-21 2020-02-11 Hitachi Chemical Company, Ltd. Polishing agent, polishing agent set, and substrate polishing method
US9303188B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9309442B2 (en) * 2014-03-21 2016-04-12 Cabot Microelectronics Corporation Composition for tungsten buffing
US9303190B2 (en) * 2014-03-24 2016-04-05 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
JP6349852B2 (ja) * 2014-03-27 2018-07-04 日立化成株式会社 研磨剤、研磨剤用貯蔵液及び研磨方法
SG11201610329PA (en) * 2014-06-25 2017-01-27 Cabot Microelectronics Corp Methods for fabricating a chemical-mechanical polishing composition
US9631122B1 (en) 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant
US10221336B2 (en) * 2017-06-16 2019-03-05 rohm and Hass Electronic Materials CMP Holdings, Inc. Aqueous silica slurry compositions for use in shallow trench isolation and methods of using them

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120156968A1 (en) * 2010-12-16 2012-06-21 Yosuke Kimura Polishing liquid composition for magnetic disk substrate

Also Published As

Publication number Publication date
US20190062593A1 (en) 2019-02-28
CN109423213A (zh) 2019-03-05
CN109423213B (zh) 2021-07-20
KR20190024695A (ko) 2019-03-08
TWI812633B (zh) 2023-08-21
JP2019056108A (ja) 2019-04-11
TW201912740A (zh) 2019-04-01
JP7152217B2 (ja) 2022-10-12
US10316218B2 (en) 2019-06-11

Similar Documents

Publication Publication Date Title
US10119048B1 (en) Low-abrasive CMP slurry compositions with tunable selectivity
KR102654089B1 (ko) 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 및 아민 카르복실산 조성물 및 이의 사용 방법
US10822524B2 (en) Aqueous compositions of low dishing silica particles for polysilicon polishing
KR102649771B1 (ko) 연마 시 선택적 질화물 제거를 위한 수성의 음이온 작용성 실리카 슬러리 및 아민 카복실산 조성물, 및 이의 사용 방법
KR102649773B1 (ko) 얕은 트렌치 분리에서의 사용을 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법
CN109593473B (zh) 用于浅沟槽隔离的水性低研磨剂二氧化硅浆料和胺羧酸组合物以及其制造和使用方法
KR102611005B1 (ko) 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법
KR102654509B1 (ko) 연마 시 질화물 제거에 선택적인 수성의 실리카 슬러리 및 아민 카복실산 조성물, 및 이의 사용 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant