CN109423213A - 用于浅沟槽隔离的水性硅石浆料组合物和其使用方法 - Google Patents

用于浅沟槽隔离的水性硅石浆料组合物和其使用方法 Download PDF

Info

Publication number
CN109423213A
CN109423213A CN201810926391.8A CN201810926391A CN109423213A CN 109423213 A CN109423213 A CN 109423213A CN 201810926391 A CN201810926391 A CN 201810926391A CN 109423213 A CN109423213 A CN 109423213A
Authority
CN
China
Prior art keywords
diallyl
cationic
copolymer
sulfur dioxide
amido
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810926391.8A
Other languages
English (en)
Other versions
CN109423213B (zh
Inventor
N·K·彭塔
J·考兹休克
D·莫斯利
K-A·K·雷迪
M·万哈尼赫姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials CMP Holdings Inc
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Publication of CN109423213A publication Critical patent/CN109423213A/zh
Application granted granted Critical
Publication of CN109423213B publication Critical patent/CN109423213B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G75/00Macromolecular compounds obtained by reactions forming a linkage containing sulfur with or without nitrogen, oxygen, or carbon in the main chain of the macromolecule
    • C08G75/20Polysulfones
    • C08G75/205Copolymers of sulfur dioxide with unsaturated organic compounds
    • C08G75/22Copolymers of sulfur dioxide with unsaturated aliphatic compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/50Improvements relating to the production of bulk chemicals
    • Y02P20/582Recycling of unreacted starting or intermediate materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Composite Materials (AREA)
  • Mechanical Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Silicon Compounds (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供水性CMP抛光组合物,其包含以所述组合物的总重量计0.5到30重量%的多个含有阳离子氮原子的细长、弯曲或结节状胶态硅石颗粒分散体,和0.001到0.5重量%,优选10到500ppm的具有阳离子胺基的二烯丙基胺盐(如二烯丙基卤化铵),或具有阳离子胺基的二烯丙基烷基胺盐(如二烯丙基烷基铵盐)的阳离子共聚物,或所述共聚物的混合物,其中所述组合物的pH为1到4.5。优选地,具有阳离子胺基的二烯丙基胺盐的所述阳离子共聚物包含二烯丙基氯化铵与二氧化硫的共聚物,并且具有阳离子胺基的所述二烯丙基烷基胺盐的所述共聚物包含二烯丙基单甲基卤化铵(例如二烯丙基单甲基氯化铵)与二氧化硫的共聚物。浆料组合物在具有氮化物和硅图案的图案晶片的CMP抛光中表现出良好的氧化物选择性。

Description

用于浅沟槽隔离的水性硅石浆料组合物和其使用方法
本发明涉及水性化学机械平坦化(chemical mechanical planarization,CMP)抛光组合物,其包含一种或多种多个球形胶态硅石颗粒分散体,细长、弯曲或结节状硅石颗粒分散体或其混合物(其含有阳离子氮原子),和具有阳离子胺基的二烯丙基胺盐(如二烯丙基氯化铵)与二氧化硫的共聚物或具有阳离子胺基的二烯丙基烷基胺盐(如二烯丙基单甲基氯化铵)与二氧化硫的共聚物,其中组合物的pH为1到4.5。
在前端线(front-end-of-line,FEOL)半导体工艺中,浅沟槽隔离(shallowtrench isolation,STI)对形成集成电路制造中的栅极至关重要,如在形成晶体管之前。在STI中,电介质如原硅酸四乙酯(tetraethyl orthosilicate,TEOS)或二氧化硅过量地沉积在硅晶片中形成的开口中,例如通过氮化硅(SiN)阻隔物与集成电路的其余部分隔离的沟槽或隔离区。然后使用CMP工艺去除过量电介质,产生其中电介质的预定图案镶嵌在硅晶片中的结构。用于STI的CMP需要从隔离区去除并平坦化二氧化硅覆盖层,从而产生与二氧化硅填充的沟槽的共面表面。在STI中,必须清除氮化硅膜表面的二氧化硅或氧化硅以允许随后在后续处理中去除氮化物硬掩模。可接受的氧化物:氮化物去除速率比是必要的,以防止损坏底层Si有源区并提供过度抛光边缘从而确保所有图案密度都清除氧化物。此外,必须避免任何沟槽中的氧化物凹陷,以防止成品栅极中的低阈值电压泄漏。
目前,与CMP抛光垫一起使用以抛光衬底的水性化学机械平坦化抛光(CMP抛光)组合物的使用者希望避免使用含有二氧化铈的CMP抛光组合物。二氧化铈浆料对二氧化硅表现出高于氮化硅的选择性并避免在氮化硅暴露时去除沟槽区中的氧化物,但成本高,存在去除速率(removal rate,RR)和工艺稳定性问题,并且易于在抛光期间引起缺陷。硅石浆料配方提供成本较低、无缺陷的解决方案,但迄今为止,已经遭受了不令人满意的氧化物凹陷控制,并且氧化物:氮化物选择性不足以用于STI应用。
Grumbine等人的美国专利第9,303,188 B2号公开一种用于抛光具有钨层的衬底的化学机械抛光组合物,所述组合物在液体载体中包含水基液体载体、带阳离子电荷的胶态硅石研磨剂和聚阳离子胺化合物的溶液。所述组合物可包括选自多胺和含有胺官能团的聚合物(如二烯丙基氯化铵)的胺基聚合物。所述组合物呈现不可接受的氧化物凹陷控制并且氧化物:氮化物选择性不足以用于STI应用。
本发明人致力于解决提供能够实现可接受的氧化物凹陷控制和氧化物:氮化物选择性以用于STI应用的水性硅石浆料的问题以及使用浆料的方法。
发明内容
1.根据本发明,水性化学机械平坦化抛光(CMP抛光)组合物包含多个含有阳离子氮原子的细长、弯曲或结节状胶态硅石颗粒分散体或其与多个球形胶态硅石颗粒分散体的混合物,例如,平均颗粒的颗粒最长尺寸与垂直于最长尺寸的其直径的纵横比为1.8∶1到3∶1的那些,并且具有阳离子胺基的二烯丙基胺盐(如二烯丙基铵盐,优选卤化物盐,如二烯丙基卤化铵)与二氧化硫的共聚物,或具有阳离子胺基的二烯丙基烷基胺盐(如二烯丙基烷基卤化铵,优选二烯丙基单甲基铵盐,如优选卤化物盐,如优选二烯丙基单甲基氯化铵)与二氧化硫的共聚物,或其混合物的阳离子共聚物为0.001到0.5重量%或优选10到500ppm,其中组合物的pH为1到4.5或优选2.5到4.3,并且另外其中以组合物的总重量计,呈固体的细长、弯曲或结节状硅石颗粒分散体的量在0.5到30重量%,或优选1到25重量%,或更优选1到20重量%范围内。
2.根据以上第1项中所阐述的水性CMP抛光组合物,组合物包含多个含有阳离子氮原子的细长、弯曲或结节状胶态硅石颗粒分散体与多个球形胶态硅石颗粒分散体的混合物,其中以组合物中胶态硅石颗粒的总固体重量计,细长、弯曲或结节状胶态硅石颗粒分散体的量在80到99.9重量%,或优选95到99.9重量%范围内。
3.根据以上第1项或第2项中任一项所阐述的水性CMP抛光组合物,其中硅石颗粒分散体中的硅石颗粒的重均粒度(CPS)或其混合物中的此类粒度的加权平均值在10nm到200nm,或优选25nm到80nm范围内。
4.根据上述第1、2或3项中任一项所阐述的水性CMP抛光组合物,其中二烯丙基铵盐与二氧化硫的阳离子共聚物包含45到55摩尔%,或优选48至52摩尔%的具有阳离子胺基的二烯丙基胺盐(如二烯丙基铵盐,如优选卤化物盐)与45到55摩尔%,或优选48到52摩尔%的二氧化硫的共聚物,或具有阳离子胺基的二烯丙基烷基胺盐(如二烯丙基烷基铵盐,或二烯丙基烷基卤化铵,优选二烯丙基单甲基铵盐,如优选卤化盐,如优选二烯丙基单甲基氯化铵)与二氧化硫的阳离子共聚物包含45到55摩尔%,或优选48到52摩尔%的二烯丙基单甲基铵盐与45到55摩尔%,或优选48到52摩尔%的二氧化硫的共聚物。
5.根据上述第1、2、3或4项中任一项所阐述的水性CMP抛光组合物,其中具有阳离子胺基的二烯丙基胺盐,优选卤化物盐与二氧化硫的阳离子共聚物,或具有阳离子胺基的二烯丙基烷基胺盐,优选卤化物盐,或更优选卤化铵与二氧化硫的阳离子共聚物,或其混合物的加权平均值的重均分子量为1,000到15,000,或优选2,000到12,000。
6.根据本发明的另一方面,使用水性CMP抛光组合物的方法包含用CMP抛光垫和以上第1项到第5项中任一项所阐述的水性CMP抛光组合物来抛光衬底。
7.根据以上第6项中所阐述的本发明的方法,其中衬底包含二氧化硅或原硅酸四乙酯(TEOS)和氮化硅,如SiN或Si3N4或其混合物,并且抛光导致氧化物:氮化物去除速率比为至少3∶1,例如3∶1到25∶1,或优选8∶1到18∶1,例如至少8∶1。
8.根据如以上第6项或第7项中任一项的用于抛光衬底的本发明的方法,其中抛光下压力在6.9kPa(1psi)到41.5kPa(6psi),或优选12kPa(1.8psi)到36kPa(5.2psi)范围内。
9.根据如以上第6、7或8项中任一项的用于抛光衬底的本发明的方法,其中CMP抛光组合物包含总计0.5到5重量%,或优选1到3重量%的细长、弯曲或结节状胶态硅石颗粒分散体,球形胶态硅石颗粒分散体或其混合物的总固体含量。CMP抛光组合物可作为浓缩物存储和运输,并且然后在抛光衬底时用水稀释。
除非另有指示,否则温度条件和压力条件是环境温度和标准压力。所叙述的所有范围都是包括性的和可组合的。
除非另有指示,否则任何含有圆括号的术语都可选地指整个术语(就如同不存在圆括号)和没有圆括号的术语和每个替代方案的组合。
所有范围都是包括性的和可组合的。例如,术语“范围50到3000cPs,或100或更大cPs”将包括50到100cPs、50到3000cPs和100到3000cps中的每一个。
如本文所用,术语“ASTM”是指宾夕法尼亚州西康舍霍肯的ASTM国际(ASTMInternational,West Conshohocken,PA)的出版物。
如本文所用,术语“胶态稳定”意指给定组合物不胶凝或沉淀,并且在给定时间和给定温度之后,在可见检测时保持清澈。
如本文所用,术语“硬碱”是指金属氢氧化物,其包括碱(土)金属氢氧化物,如NaOH、KOH或Ca(OH)2
如本文所用,术语“ISO”是指瑞士日内瓦的国际标准化组织(InternationalOrganization for Standardization,Geneva,CH)的出版物。
如本文所用,术语“粒度(CPS)”意指如通过CPS Instruments(荷兰(TheNetherlands))盘式离心机系统测定的组合物的重均粒度。使用离心力使颗粒在溶剂中按大小分离并使用光学光散射进行定量。
如本文所用,术语“阳离子胺基”包括在水性介质中形成的氢氧化胺基团的盐。
如本文所用,术语“邵氏D硬度(Shore D hardness)”是如根据ASTM D2240-15(2015),“《橡胶性能、硬度计硬度的标准测试方法(Standard Test Method for RubberProperty,Durometer Hardness)》”测量的给定材料的2种第二硬度。在装备有D探针的雷克斯混合硬度测试仪(Rex Hybrid hardness tester)(伊利诺伊州布法罗格罗夫的雷克斯仪表公司(Rex Gauge Company,Inc.,Buffalo Grove,IL))上测量硬度。六个样品在每次硬度测量时堆叠且改组;并且在使用ASTM D2240-15(2015)中概述的方法测试之前,每个测试垫通过将其在23℃下、50%相对湿度中放置五天来调节,以改善硬度测试的可重复性。在本发明中,抛光层或抛光垫的聚氨酯反应产物的邵氏D硬度包括反应产物的邵氏D硬度。
如本文所用,对于给定组合物,术语“硅石颗粒固体”或“硅石固体”意指球形硅石颗粒的总量加上细长、弯曲或结节状硅石颗粒的总量,包括用来处理任何所述颗粒的任何物质。
如本文所用,术语“固体”意指除水或氨之外的在使用条件下不挥发的任何材料,无论其物理状态如何。因此,将在使用条件下不挥发的液体硅烷或添加剂视为“固体”。
如本文所用,术语“强酸”是指pKa为2或更小的质子酸,如无机酸,如硫酸或硝酸。
如本文所用,术语“使用条件”意指使用给定组合物时所处的温度和压力,其包括在使用期间或作为使用结果时温度和压力的增加。
如本文所用,术语“重量分数硅石”意指以组合物的总重量/100%计,硅石的总重量%。因此,30重量%的硅石相当于重量分数0.3。
如本文所用,术语“加权平均值”意指来自不同组合物(例如球形胶态硅石颗粒分散体和细长胶态硅石颗粒分散体)的两种或更多种测量值(例如平均粒度或分子量)的平均值,其由各自乘以其固体重量分数产生,其中总固体重量分数合计为一(1.00)。
如本文所用,术语“重量%”表示重量百分比。
如本文所用,术语“细长、弯曲或结节状胶态硅石颗粒”是指硅石颗粒,如通过普通技术人员已知的任何方法(如透射电子显微术(transmission electron microscopy,TEM))测定或如由颗粒分散体的制造商报告,所述硅石颗粒在平均颗粒中的最长尺寸与垂直于最长尺寸的直径的纵横比为1.8∶1到3∶1。
本发明人惊奇地发现,具有阳离子电荷和以组合物的总重量计高达0.5重量%的具有阳离子胺基的二烯丙基胺盐(如二烯丙基铵盐),或具有阳离子胺基的二烯丙基烷基胺盐(如二烯丙基烷基铵盐,例如二烯丙基烷基卤化铵,优选二烯丙基单甲基铵盐)与二氧化硫的阳离子共聚物的细长、弯曲或结节状胶态硅石颗粒分散体的水性CMP抛光组合物尤其适用于平坦化或抛光衬底,如已经受浅沟槽隔离(STI)处理的硅晶片。覆盖式硅晶片上的压力响应表征揭示这些浆料以非普雷斯顿(non-Prestonian)方式抛光氧化硅:在低下压力下氧化物去除速率可忽略不计并且在高于“开启”压力的压力下随着下压力增加而增加。此类非普雷斯顿氧化物RR(y轴)对下压力(x轴)的曲线的x轴截距不为零。本发明的水性CMP抛光组合物使得二氧化硅的CMP抛光具有令人满意的去除速率,并且在覆盖式和图案晶片两者上为氧化硅提供超过氮化硅的可接受的选择性。最显著的是,与其它硅石浆料相比,随着时间的推移,所述组合物能够改善沟槽氧化物损失和凹陷。
根据本发明,适合的胶态硅石组合物可包含通过常规溶胶凝胶聚合或通过水玻璃悬浮聚合制备的硅石分散体,以分布或混合方式产生多个细长、弯曲或结节状硅石颗粒,其可包括球形硅石颗粒。
适合的细长、弯曲或结节状胶态硅石颗粒分散体通过使以已知方式由前体(如四乙氧基硅烷(tetraethoxysilane,TEOS)或四甲氧基硅烷(tetramethoxysilane,TMOS))形成的硅醇水解缩合由悬浮聚合制备。制备细长、弯曲或结节状硅石颗粒的工艺是已知的,并且可见于例如Higuchi等人的美国专利第8,529,787号中。水解缩合包含使前体在水性悬浮液中在存在碱性催化剂(如烷基氢氧化铵、烷氧基烷基胺(如乙氧基丙胺(ethoxypropylamine,EOPA))、烷基胺或KOH,优选四甲基氢氧化铵)下反应;水解缩合工艺可将一个或多个阳离子氮原子并入细长、弯曲或结节状硅石颗粒中。优选,细长、弯曲或结节状硅石颗粒在pH为4或以下时是阳离子的。
适合的弯曲或结节状胶态硅石颗粒分散体可以商品名HL-2、HL-3、HL-4、PL-2、PL-3或BS-2和BS-3浆料购自日本大阪的扶桑化工有限公司(扶桑)(Fuso Chemical Co.,Ltd.,Osaka,JP(Fuso))。来自扶桑的HL和BS系列颗粒含有一个或多个氮原子,其在pH 4或以下时赋予阳离子电荷。
为保证本发明的含水CMP抛光组合物的胶态稳定性,组合物的pH在1到4.5,或优选2.5到4范围内。所述组合物在期望的pH范围以上往往会失去其稳定性。
本发明的具有阳离子胺基的阳离子二烯丙基胺盐或二烯丙基烷基胺盐与二氧化硫的共聚物在选择性和防止抛光中的凹陷方面提供帮助。以组合物的总重量计,阳离子共聚物的量最高达0.5重量%。太多的阳离子共聚物可以钝化衬底的电介质或硅石表面。
本发明的阳离子共聚物可在存在或不存在酸(如盐酸或乙醇酸)和自由基聚合引发剂(如过硫酸铵)下在极性溶剂(如水)中通过加成聚合制备。此类聚合方法例如在Yusuke等人的美国专利第9,006,383 B2号中详细描述。
本发明的水性CMP抛光组合物可包括pH调节剂,如无机酸(例如硝酸)或有机酸(如柠檬酸)。
本发明的水性CMP抛光组合物可包含其它阳离子添加剂,如多胺,以总固体计,其量可高达1重量%。
适合的添加剂还可包括例如季铵化合物和二季铵化合物,例如98重量%的N,N,N,N′,N′,N′-六丁基-1,4-丁烷二铵二氢氧化物(德克萨斯州奥斯汀的Sachem(Sachem,Austin,TX));和阳离子氨基硅烷,例如98%的N-(2-氨基乙基)-3-氨基丙基三甲氧基硅烷(宾夕法尼亚州莫里斯维尔的Gelest Inc.(Gelest Inc.,Morrisville,PA)),或98%的N,N-二乙基氨基甲基)三乙氧基硅烷(Gelest Inc.)等。
优选地,水性CMP抛光组合物基本上由具有阳离子胺基的二烯丙基胺盐或二烯丙基烷基胺盐与二氧化硫的本发明共聚物和阳离子研磨剂组成,并且不包括将进一步与研磨剂组分或共聚物相互作用的材料。优选地此类组合物不包括与硅石相互作用的二季铵化合物;并且其不包括与共聚物相互作用的阴离子化合物和非离子表面活性剂。水性CMP抛光组合物可用水或用与水混溶的另一种液体稀释。
理想地,本发明的CMP抛光在STI处理中用本发明的CMP抛光组合物进行,优选使得基本上不去除氮化硅并且适当地平坦化二氧化硅而不存在沟槽内的电介质或二氧化硅的过度腐蚀或凹陷。
在使用中,晶片衬底的STI处理涉及提供其上沉积有氮化硅层的硅衬底。在光刻之后,将沟槽蚀刻到包含氮化硅覆盖层的衬底上,并且在其上沉积过量的电介质,例如二氧化硅。然后对衬底进行平坦化直到氮化硅表面层暴露但基本上不被去除,使得留在沟槽中的电介质或氧化硅大致与氮化硅的边缘齐平。
实例:以下实例说明本发明的各种特征。
在以下实例中,除非另有指示,否则温度和压力条件是环境温度或室温和标准压力。
以下材料包括列于下表A中的那些用于以下实例中:
表A:硅石和其它研磨剂颗粒
1.日本大阪的扶桑化学;2.在pH为3.0下测定电荷,并且用TMOS和含碱性催化剂的胺(如四甲基氢氧化铵)形成阳离子颗粒;3.从来源供应pH。
如由制造商(日本福岛的日东工器株式会社(Nitto Boseke Co.Ltd,Fukushima,JP),PAS-92A)报告的,共聚物1是二烯丙基氯化铵与二氧化硫的1∶1共聚物,其重均分子量(MW)(使用聚乙二醇标样的GPC)为5,000;
如由制造商(日本福岛的日东工器株式会社,PAS-2201CL)报告的,共聚物2是二烯丙基单甲基氯化铵与二氧化硫的1∶1共聚物,其重均分子量(MW)(使用聚乙二醇标样的GPC)为3,000;
浆料B:二氧化铈浆料,pH 5.2,聚丙烯酸分散剂,未稀释的0.75重量%二氧化铈固体,1∶3稀释使用。
浆料A在pH 4.5以下时带正电。
实例中使用的各种硅石颗粒列于上表A中。
在以下实例中使用以下缩写:
POU(Point of use):使用点;RR:去除速率;
在以下实例中使用以下测试方法:
POU时的pH:使用点时的pH(POU时的pH值)是在去除速率测试期间用水将指定浓缩物组合物稀释到指定固体含量之后测量的pH。
去除速率:在去除速率测试中,使用下表1中定义的CMP抛光组合物,以20.7kPa(3psi)的下压力、150毫升/分钟的浆料流率、93rpm的压板速度和87rpm的载剂速度,使用MirraTM(200mm)抛光机或具有IC1010TM或其它指定的CMP抛光垫(密歇根州米德兰的陶氏化学公司(The Dow Chemical Company,Midland,MI)(陶氏))的“Mirra RR”(加利福尼亚州圣克拉拉的应用材料公司(Applied Materials,Santa Clara,CA))抛光装置来抛光STI图案晶片衬底,其具有含MIT掩模(加利福尼亚州圣克拉拉的SKW,Inc.,SKW-3晶片)的指定特征%(其对应于晶片中的有源区或高区的面积相对于其总面积)。在抛光期间,使用100%原位调节,用KinikTM AD3CS-211250-1FN调节盘(中国砂轮企业(Kinik Company),台湾)以3.17kg(7磅力)的压力来调节垫。
多步骤CMP抛光-P1(第一步骤)和P2(后续步骤):进行CMP抛光,使得在第一步骤或P1过程中,去除覆盖层高密度等离子体(high density plasma,HDP)氧化物膜。使用VP6000TM聚氨酯CMP抛光垫(陶氏,邵氏D(2秒)硬度:53)和浆料E并通过施加20.7kPa(3psi)的抛光下压力和93rpm的压板速度来抛光膜。当完成平坦化时,在晶片的中间晶粒上的50%图案密度(pattern density,PD)特征上停止P1抛光。此时,的HDP膜保留在50%特征上。然而,在较小的特征上,如10%和20%的PD特征,HDP膜被完全去除并且底层氮化物膜暴露。具有>50%PD的特征仍然在氮化物膜上具有显著的电介质膜。在移至P2之前,使用SP100清洁化学品(含有TMAH)在OnTrak DSS-200 SynergyTM工具(加利福尼亚州弗里蒙特的拉姆研究(Lam Research,Fremont,CA))上清洁图案化晶片以从晶片上去除二氧化铈颗粒。使用具有1010TM凹槽设计(陶氏)的ICTM聚氨酯抛光垫(陶氏,邵氏D(2秒)硬度:70)和指定的浆料组合物,使用20.7kPa(3psi)的抛光下压力和93rpm的压板速度执行P2抛光。对于50%图案密度特征,抛光终点定义为HDP被清除并且氮化物膜暴露的时间。在50%图案密度特征上监测每个步骤抛光事件的沟槽氧化物损失。还测量了100%图案密度特征上的HDP氧化物去除。过度抛光定义为在氮化硅暴露于50%图案密度特征上之后在100%特征上去除的HDP膜的量。选择性计算为100%特征上的氮化硅去除速率比对HDP氧化物去除速率比。通过使用KLA-TencorTM FX200度量工具(加利福尼亚州米尔皮塔斯的KLA Tencor(KLA Tencor,Milpitas,CA)使用具有3mm边缘排除的49点螺旋扫描测量抛光之前和之后的膜厚度来测定所有电介质膜厚度和去除速率。进一步的抛光细节阐述于下表B中。
表B:抛光参数
持续抛光达指定的时间间隔或到指定的过度抛光量的程度。在下面的表3、4和5中的每一个中,性能标准A是沟槽氧化物损失过度抛光量下可接受的沟槽氧化物损失小于优选在过度抛光量下小于性能标准B是SiN损失过度抛光量下可接受的SiN损失小于优选在过度抛光量下小于并且性能标准A是凹陷过度抛光量下可接受的凹陷小于优选在过度抛光量下小于
在另有指示的情况下,抛光衬底是用于覆盖式晶片研究的循环四乙氧基硅酸盐(tetraethoxylsilicate,TEOS)晶片(TENR)。
表1:浆料配制物细节
浆料 浆料/量(重量%固体) 共聚物(ppm) pH(用HNO<sub>3</sub>调节)
1* A/1 3.3
2 A/3 10ppm共聚物1 3.3
3 A/3 20ppm共聚物2 3.3
*-表示比较实例。
实例:抛光结果
使用上表1中列出的指定浆料,在具有50%PD特征的STI晶片衬底上执行抛光。使用指定浆料以多个步骤进行抛光。结果显示在以下表2中。性能标准A是沟槽氧化物损失性能标准B是SiN损失并且性能标准A是凹陷
表2:共聚物性能
如上表2中所示,与没有比较实例1中的共聚物的相同浆料比较,共聚物1提供优异的抛光性能并且改善了所有沟槽氧化物损失A、SiN损失B和凹陷C。
与比较实例1*的组合物相比,实例2和3的组合物显示出较好的凹陷和沟槽氧化物损失。

Claims (10)

1.一种水性化学机械平坦化抛光(CMP抛光)组合物,其包含多个含有阳离子氮原子的细长、弯曲或结节状胶态硅石颗粒分散体或其与球形胶态硅石颗粒分散体的混合物,和0.001到0.5重量%的具有阳离子胺基的二烯丙基胺盐与二氧化硫的阳离子共聚物,具有阳离子胺基的二烯丙基烷基胺盐与二氧化硫的阳离子共聚物,或其混合物,其中所述组合物的pH为1到4.5,并且另外,其中所述细长、弯曲或结节状胶态硅石颗粒分散体的量在0.5到30重量%范围内,所有重量均以所述组合物的总重量计。
2.根据权利要求1所述的水性CMP抛光组合物,其中所述细长、弯曲或结节状胶态硅石颗粒分散体的平均颗粒的最长尺寸与垂直于所述最长尺寸的直径的纵横比为1.8:1到3:1。
3.根据权利要求1所述的水性CMP抛光组合物,其包含细长、弯曲或结节状胶态硅石颗粒分散体与球形胶态硅石颗粒分散体的混合物,其中以所述组合物中的所述胶态硅石颗粒的总固体重量计,所述细长、弯曲或结节状胶态硅石颗粒分散体的量在80到99.9重量%范围内。
4.根据权利要求1所述的水性CMP抛光组合物,其中所述阳离子共聚物或其混合物的量在10到500ppm范围内。
5.根据权利要求1所述的水性CMP抛光组合物,其中二烯丙基胺盐的任何阳离子共聚物包含二烯丙基氯化铵与二氧化硫的共聚物,并且二烯丙基烷基胺盐的任何阳离子共聚物包含二烯丙基单甲基氯化铵与二氧化硫的共聚物。
6.根据权利要求5所述的水性CMP抛光组合物,其中具有阳离子胺基的二烯丙基胺盐与二氧化硫的任何阳离子共聚物包含45到55摩尔%的具有阳离子胺基的所述二烯丙基胺盐和45到55摩尔%的所述二氧化硫的共聚物,并且具有阳离子胺基的二烯丙基单甲基胺盐与二氧化硫的任何阳离子共聚物包含45到55摩尔%的具有阳离子胺基的所述二烯丙基单甲基胺盐与45到55摩尔%的所述二氧化硫的共聚物。
7.根据权利要求5所述的水性CMP抛光组合物,其中具有阳离子胺基的二烯丙基胺盐与二氧化硫的任何阳离子共聚物或具有阳离子胺基的二烯丙基烷基胺盐与二氧化硫的任何阳离子共聚物具有1,000到15,000的重均分子量。
8.根据权利要求1所述的水性CMP抛光组合物,其中所述组合物的pH为2.5到4.3。
9.一种使用根据权利要求1所述的水性CMP抛光组合物的方法,其包含:用CMP抛光垫和水性CMP抛光组合物来抛光衬底。
10.根据权利要求9所述的方法,其中所述衬底包含二氧化硅和氮化硅两者,并且所述抛光得到3:1到25:1的氧化物:氮化物去除速率比。
CN201810926391.8A 2017-08-30 2018-08-14 用于浅沟槽隔离的水性硅石浆料组合物和其使用方法 Active CN109423213B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/691115 2017-08-30
US15/691,115 US10316218B2 (en) 2017-08-30 2017-08-30 Aqueous silica slurry compositions for use in shallow trench isolation and methods of using them

Publications (2)

Publication Number Publication Date
CN109423213A true CN109423213A (zh) 2019-03-05
CN109423213B CN109423213B (zh) 2021-07-20

Family

ID=65434603

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810926391.8A Active CN109423213B (zh) 2017-08-30 2018-08-14 用于浅沟槽隔离的水性硅石浆料组合物和其使用方法

Country Status (5)

Country Link
US (1) US10316218B2 (zh)
JP (1) JP7152217B2 (zh)
KR (1) KR102611005B1 (zh)
CN (1) CN109423213B (zh)
TW (1) TWI812633B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11718767B2 (en) 2018-08-09 2023-08-08 Versum Materials Us, Llc Chemical mechanical planarization composition for polishing oxide materials and method of use thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120156968A1 (en) * 2010-12-16 2012-06-21 Yosuke Kimura Polishing liquid composition for magnetic disk substrate
CN102766407A (zh) * 2008-04-23 2012-11-07 日立化成工业株式会社 研磨剂及使用该研磨剂的基板研磨方法
CN104137232A (zh) * 2012-02-21 2014-11-05 日立化成株式会社 研磨剂、研磨剂组和基体的研磨方法
CN106575614A (zh) * 2014-06-25 2017-04-19 嘉柏微电子材料股份公司 化学‑机械抛光组合物的制造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002110596A (ja) 2000-10-02 2002-04-12 Mitsubishi Electric Corp 半導体加工用研磨剤およびこれに用いる分散剤、並びに上記半導体加工用研磨剤を用いた半導体装置の製造方法
US20020104269A1 (en) 2001-01-26 2002-08-08 Applied Materials, Inc. Photochemically enhanced chemical polish
US7004819B2 (en) * 2002-01-18 2006-02-28 Cabot Microelectronics Corporation CMP systems and methods utilizing amine-containing polymers
US6866793B2 (en) 2002-09-26 2005-03-15 University Of Florida Research Foundation, Inc. High selectivity and high planarity dielectric polishing
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7531105B2 (en) 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
JP5646996B2 (ja) 2007-09-21 2014-12-24 キャボット マイクロエレクトロニクス コーポレイション 研磨組成物およびアミノシランを用いて処理された研削剤粒子の使用方法
US8366959B2 (en) 2008-09-26 2013-02-05 Rhodia Operations Abrasive compositions for chemical mechanical polishing and methods for using same
KR101626179B1 (ko) * 2008-09-26 2016-05-31 후소카가쿠코교 가부시키가이샤 굴곡 구조 및/또는 분지 구조를 갖는 실리카 이차 입자를 함유하는 콜로이달 실리카 및 그의 제조 방법
US9006383B2 (en) 2010-05-26 2015-04-14 Nitto Boseki Co., Ltd. Method for producing copolymer of diallylamine and sulfur dioxide
US9303188B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9309442B2 (en) * 2014-03-21 2016-04-12 Cabot Microelectronics Corporation Composition for tungsten buffing
US9303190B2 (en) * 2014-03-24 2016-04-05 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
JP6349852B2 (ja) * 2014-03-27 2018-07-04 日立化成株式会社 研磨剤、研磨剤用貯蔵液及び研磨方法
US9631122B1 (en) 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant
US10221336B2 (en) * 2017-06-16 2019-03-05 rohm and Hass Electronic Materials CMP Holdings, Inc. Aqueous silica slurry compositions for use in shallow trench isolation and methods of using them

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102766407A (zh) * 2008-04-23 2012-11-07 日立化成工业株式会社 研磨剂及使用该研磨剂的基板研磨方法
US20120156968A1 (en) * 2010-12-16 2012-06-21 Yosuke Kimura Polishing liquid composition for magnetic disk substrate
CN104137232A (zh) * 2012-02-21 2014-11-05 日立化成株式会社 研磨剂、研磨剂组和基体的研磨方法
CN106575614A (zh) * 2014-06-25 2017-04-19 嘉柏微电子材料股份公司 化学‑机械抛光组合物的制造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
何丹农: "《纳米制造》", 31 December 2011, 上海:华东理工大学出版社 *

Also Published As

Publication number Publication date
US20190062593A1 (en) 2019-02-28
CN109423213B (zh) 2021-07-20
KR20190024695A (ko) 2019-03-08
TWI812633B (zh) 2023-08-21
JP2019056108A (ja) 2019-04-11
TW201912740A (zh) 2019-04-01
JP7152217B2 (ja) 2022-10-12
US10316218B2 (en) 2019-06-11
KR102611005B1 (ko) 2023-12-06

Similar Documents

Publication Publication Date Title
US10119048B1 (en) Low-abrasive CMP slurry compositions with tunable selectivity
JP7274844B2 (ja) 浅溝分離に使用するための水性シリカスラリー及びアミンカルボン酸組成物並びにその使用方法
US10037889B1 (en) Cationic particle containing slurries and methods of using them for CMP of spin-on carbon films
JP2019143119A (ja) ポリシリコン研磨用の低ディッシングシリカ粒子の水性組成物
JP7231365B2 (ja) 研磨における選択的窒化物除去のための水性アニオン性官能性シリカスラリー及びアミンカルボン酸組成物並びにその使用方法
CN109148282B (zh) 用于浅沟槽隔离的水性二氧化硅浆料组合物和其使用方法
CN109423213A (zh) 用于浅沟槽隔离的水性硅石浆料组合物和其使用方法
JP7274845B2 (ja) 浅溝分離に使用するための水性低砥粒シリカスラリー及びアミンカルボン酸組成物並びにその製造方法及び使用方法
CN109593474A (zh) 选择用于抛光中氮化物去除的含水二氧化硅浆料和胺羧酸组合物及其使用方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant