TWI812633B - 用於淺溝槽隔離之水性矽石漿料組合物及其使用方法 - Google Patents

用於淺溝槽隔離之水性矽石漿料組合物及其使用方法 Download PDF

Info

Publication number
TWI812633B
TWI812633B TW107126648A TW107126648A TWI812633B TW I812633 B TWI812633 B TW I812633B TW 107126648 A TW107126648 A TW 107126648A TW 107126648 A TW107126648 A TW 107126648A TW I812633 B TWI812633 B TW I812633B
Authority
TW
Taiwan
Prior art keywords
cationic
copolymer
composition
polishing
sulfur dioxide
Prior art date
Application number
TW107126648A
Other languages
English (en)
Other versions
TW201912740A (zh
Inventor
納雷許庫馬 潘塔
朱利亞 庫扎克
大衛 莫斯利
坎查爾拉 阿倫K 雷迪
馬修 凡漢翰
Original Assignee
美商羅門哈斯電子材料Cmp控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商羅門哈斯電子材料Cmp控股公司 filed Critical 美商羅門哈斯電子材料Cmp控股公司
Publication of TW201912740A publication Critical patent/TW201912740A/zh
Application granted granted Critical
Publication of TWI812633B publication Critical patent/TWI812633B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G75/00Macromolecular compounds obtained by reactions forming a linkage containing sulfur with or without nitrogen, oxygen, or carbon in the main chain of the macromolecule
    • C08G75/20Polysulfones
    • C08G75/205Copolymers of sulfur dioxide with unsaturated organic compounds
    • C08G75/22Copolymers of sulfur dioxide with unsaturated aliphatic compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/50Improvements relating to the production of bulk chemicals
    • Y02P20/582Recycling of unreacted starting or intermediate materials

Abstract

本發明提供水性CMP拋光組合物,其包括以所述組合物之總重量計0.5至30重量%之多個含有陽離子氮原子之細長、彎曲或結節狀膠態矽石顆粒分散體,及0.001至0.5重量%,較佳10至500 ppm之具有陽離子胺基之二烯丙基胺鹽(如二烯丙基鹵化銨),或具有陽離子胺基之二烯丙基烷基胺鹽(如二烯丙基烷基銨鹽)之陽離子共聚物,或所述共聚物之混合物,其中所述組合物之pH為1至4.5。較佳地,具有陽離子胺基之二烯丙基胺鹽之所述陽離子共聚物包括二烯丙基氯化銨與二氧化硫之共聚物,且具有陽離子胺基之所述二烯丙基烷基胺鹽之所述共聚物包括二烯丙基單甲基鹵化銨(例如二烯丙基單甲基氯化銨)與二氧化硫之共聚物。漿料組合物在具有氮化物及矽圖案之圖案晶片之CMP拋光中表現出良好之氧化物選擇性。

Description

用於淺溝槽隔離之水性矽石漿料組合物及其使用方法
本發明係關於水性化學機械平坦化(chemical mechanical planarization,CMP)拋光組合物,其包括一或多種多個球形膠態矽石顆粒分散體,細長、彎曲或結節狀矽石顆粒分散體或其混合物(其含有陽離子氮原子),及具有陽離子胺基之二烯丙基胺鹽(如二烯丙基氯化銨)與二氧化硫之共聚物或具有陽離子胺基之二烯丙基烷基胺鹽(如二烯丙基單甲基氯化銨)與二氧化硫之共聚物,其中組合物之pH為1至4.5。
在前端線(front-end-of-line,FEOL)半導體製程中,淺溝槽隔離(shallow trench isolation,STI)對形成積體電路製造中之閘極至關重要,如在形成電晶體之前。在STI中,電介質如原矽酸四乙酯(tetraethyl orthosilicate,TEOS)或二氧化矽過量地沈積在矽晶片中形成之開口中,例如藉由氮化矽(SiN)阻隔物與積體電路之其餘部分隔離之溝槽或隔離區。然後使用CMP製程移除過量電介質,產生其中電介質之預定圖案鑲嵌在矽晶片中之結構。用於STI之CMP需要自隔離區移除且平坦化二氧化矽覆蓋層,從而產生與二氧化矽填充之溝槽之共面表面。在STI中,必須清除氮化矽膜表面之二氧化矽或氧化矽以允許隨後在後續處理中移除氮化物硬掩模。可接受之氧化物:氮化物移除速率比係必要的,以防止損壞底層Si有源區且提供過度拋光邊緣從而確保所有圖案密度都清除氧化物。此外,必須避免任何溝槽中之氧化物凹陷,以防止成品閘極中之低臨限值電壓洩漏。
目前,與CMP拋光墊一起使用以拋光基板之水性化學機械平坦化拋光(CMP拋光)組合物之使用者希望避免使用含有二氧化鈰之CMP拋光組合物。二氧化鈰漿料對二氧化矽表現出高於氮化矽之選擇性且避免在氮化矽暴露時移除溝槽區中之氧化物,但成本高,存在移除速率(removal rate,RR)及製程穩定性問題,且易於在拋光期間引起缺陷。矽石漿料配方提供成本較低、無缺陷之解決方案,但迄今為止,已經遭受了不令人滿意之氧化物凹陷控制,且氧化物:氮化物選擇性不足以用於STI應用。
Grumbine等人之美國專利第9,303,188 B2號揭示一種用於拋光具有鎢層之基板之化學機械拋光組合物,所述組合物在液體載體中包括水基液體載體、帶陽離子電荷之膠態矽石研磨劑及聚陽離子胺化合物之溶液。所述組合物可包含選自多胺及含有胺官能基之聚合物(如二烯丙基氯化銨)之胺基聚合物。所述組合物呈現不可接受之氧化物凹陷控制且氧化物:氮化物選擇性不足以用於STI應用。
本發明人致力於解決提供能夠實現可接受之氧化物凹陷控制及氧化物:氮化物選擇性以用於STI應用之水性矽石漿料之問題以及使用漿料之方法。
1.根據本發明,水性化學機械平坦化拋光(CMP拋光)組合物包括多個含有陽離子氮原子之細長、彎曲或結節狀膠態矽石顆粒分散體或其與多個球形膠態矽石顆粒分散體之混合物,例如,平均顆粒之顆粒最長尺寸與垂直於最長尺寸之其直徑的縱橫比為1.8:1至3:1之彼等,且具有陽離子胺基之二烯丙基胺鹽(如二烯丙基銨鹽,較佳鹵化物鹽,如二烯丙基鹵化銨)與二氧化硫之共聚物,或具有陽離子胺基之二烯丙基烷基胺鹽(如二烯丙基烷基鹵化銨,較佳二烯丙基單甲基銨鹽,如較佳鹵化物鹽,如較佳二烯丙基單甲基氯化銨)與二氧化硫之共聚物,或其混合物之陽離子共聚物為0.001至0.5重量%或較佳10至500 ppm,其中組合物之pH為1至4.5或較佳2.5至4.3,且另外其中以組合物之總重量計,呈固體之細長、彎曲或結節狀矽石顆粒分散體之量在0.5至30重量%,或較佳1至25重量%,或較佳更佳1至20重量%範圍內。
2.根據以上第1項中所闡述之水性CMP拋光組合物,組合物包括多個含有陽離子氮原子之細長、彎曲或結節狀膠態矽石顆粒分散體與多個球形膠態矽石顆粒分散體之混合物,其中以組合物中膠態矽石顆粒之總固體重量計,細長、彎曲或結節狀膠態矽石顆粒分散體之量在80至99.9重量%,或較佳95至99.9重量%範圍內。
3.根據以上第1項或第2項中任一項所闡述之水性CMP拋光組合物,其中矽石顆粒分散體中之矽石顆粒之重均粒度(CPS)或其混合物中之此類粒度之加權平均值在10 nm至200 nm,或較佳25 nm至80 nm範圍內。
4.根據上述第1項、第2項或第3項中任一項所闡述之水性CMP拋光組合物,其中二烯丙基銨鹽與二氧化硫之陽離子共聚物包括45至55莫耳%,或較佳48至52莫耳%之具有陽離子胺基之二烯丙基胺鹽(如二烯丙基銨鹽,如較佳鹵化物鹽)與45至55莫耳%,或較佳48至52莫耳%之二氧化硫之共聚物,或具有陽離子胺基之二烯丙基烷基胺鹽(如二烯丙基烷基銨鹽,或二烯丙基烷基鹵化銨,較佳二烯丙基單甲基銨鹽,如較佳鹵化鹽,如較佳二烯丙基單甲基氯化銨)與二氧化硫之陽離子共聚物包括45至55莫耳%,或較佳48至52莫耳%之二烯丙基單甲基銨鹽與45至55莫耳%,或較佳48至52莫耳%之二氧化硫之共聚物。
5.根據上述第1項、第2項、第3項或第4項中任一項所闡述之水性CMP拋光組合物,其中具有陽離子胺基之二烯丙基胺鹽,較佳鹵化物鹽與二氧化硫之陽離子共聚物,或具有陽離子胺基之二烯丙基烷基胺鹽,較佳鹵化物鹽,或較佳更佳鹵化銨與二氧化硫之陽離子共聚物,或其混合物之加權平均值之重均分子量為1,000至15,000,或較佳2,000至12,000。
6.根據本發明之另一態樣,使用水性CMP拋光組合物之方法包括用CMP拋光墊及以上第1項至第5項中任一項所闡述之水性CMP拋光組合物來拋光基板。
7.根據以上第6項中所闡述之本發明之方法,其中基板包括二氧化矽或原矽酸四乙酯(TEOS)及氮化矽,如SiN或Si3 N4 或其混合物,且拋光導致氧化物:氮化物移除速率比為至少3:1,例如3:1至25:1,或較佳8:1至18:1,例如至少8:1。
8.根據如以上第6項或第7項中任一項之用於拋光基板之本發明的方法,其中拋光下壓力在6.9 kPa(1 psi)至41.5 kPa(6 psi),或較佳12 kPa(1.8 psi)至36 kPa(5.2 psi)範圍內。
9.根據如以上第6項、第7項或第8項中任一項之用於拋光基板之本發明的方法,其中CMP拋光組合物包括總計0.5至5重量%,或較佳1至3重量%之細長、彎曲或結節狀膠態矽石顆粒分散體,球形膠態矽石顆粒分散體或其混合物之總固體含量。CMP拋光組合物可作為濃縮物儲存及運輸,且然後在拋光基板時用水稀釋。
除非另有指示,否則溫度條件及壓力條件係環境溫度及標準壓力。所敍述之所有範圍都係包含性的及可組合的。
除非另有指示,否則任何含有圓括號之術語都可選地指整個術語(就如同不存在圓括號)及沒有圓括號之術語及每個替代方案之組合。
所有範圍都係包含性的及可組合的。例如,術語「範圍50至3000 cPs,或100或更大cPs」將包含50至100 cPs、50至3000 cPs及100至3000 cPs中之每一者。
如本文所用,術語「ASTM」係指賓夕法尼亞州西康舍霍肯之ASTM國際(ASTM International, West Conshohocken, PA)之出版物。
如本文所用,術語「膠態穩定」意指給定組合物不膠凝或沈澱,且在給定時間及給定溫度之後,在可見偵測時保持清澈。
如本文所用,術語「硬鹼」係指金屬氫氧化物,其包含鹼(土)金屬氫氧化物,如NaOH、KOH或Ca(OH)2
如本文所用,術語「ISO」係指瑞士日內瓦之國際標準化組織(International Organization for Standardization, Geneva, CH)之出版物。
如本文所用,術語「粒度(CPS)」意指如藉由CPS Instruments(荷蘭(The Netherlands))盤式離心機系統測定之組合物之重均粒度。使用離心力使顆粒在溶劑中按大小分離並使用光學光散射進行定量。
如本文所用,術語「陽離子胺基」包含在水性介質中形成之氫氧化胺基團之鹽。
如本文所用,術語「邵氏D硬度(Shore D hardness)」係如根據ASTM D2240-15 (2015),「《橡膠效能、硬度計硬度之標準測試方法(Standard Test Method for Rubber Property, Durometer Hardness)》」量測之給定材料之2種第二硬度。在裝備有D探針之雷克斯混合硬度測試儀(Rex Hybrid hardness tester)(伊利諾伊州布法羅格羅夫之雷克斯儀錶公司(Rex Gauge Company, Inc., Buffalo Grove, IL))上量測硬度。六個樣品在每次硬度量測時堆疊且改組;且在使用ASTM D2240-15(2015)中概述之方法測試之前,每個測試墊藉由將其在23℃下、50%相對濕度中置放五天來調節,以改善硬度測試之可重複性。在本發明中,拋光層或拋光墊之聚胺酯反應產物之邵氏D硬度包含反應產物之邵氏D硬度。
如本文所用,對於給定組合物,術語「矽石顆粒固體」或「矽石固體」意指球形矽石顆粒之總量加上細長、彎曲或結節狀矽石顆粒之總量,包含用來處理任何所述顆粒之任何物質。
如本文所用,術語「固體」意指除水或氨之外之在使用條件下不揮發之任何材料,無論其實體狀態如何。因此,將在使用條件下不揮發之液體矽烷或添加劑視為「固體」。
如本文所用,術語「強酸」係指pKa 為2或更小之質子酸,如無機酸,如硫酸或硝酸。
如本文所用,術語「使用條件」意指使用給定組合物時所處之溫度及壓力,其包含在使用期間或作為使用結果時溫度及壓力之增加。
如本文所用,術語「重量分數矽石」意指以組合物之總重量/100%計,矽石之總重量%。因此,30重量%之矽石相當於重量分數0.3。
如本文所用,術語「加權平均值」意指來自不同組合物(例如球形膠態矽石顆粒分散體及細長膠態矽石顆粒分散體)之兩種或更多種量測值(例如平均粒度或分子量)之平均值,其由各自乘以其固體重量分數產生,其中總固體重量分數合計為一(1.00)。
如本文所用,術語「重量%」表示重量百分比。
如本文所用,術語「細長、彎曲或結節狀膠態矽石顆粒」係指矽石顆粒,如藉由本領域中熟習此項技術者已知之任何方法(如透射電子顯微術(transmission electron microscopy,TEM))測定或如由顆粒分散體之製造商報告,所述矽石顆粒在平均顆粒中之最長尺寸與垂直於最長尺寸之直徑的縱橫比為1.8:1至3:1。
本發明人驚奇地發現,具有陽離子電荷及以組合物之總重量計高達0.5重量%之具有陽離子胺基之二烯丙基胺鹽(如二烯丙基銨鹽),或具有陽離子胺基之二烯丙基烷基胺鹽(如二烯丙基烷基銨鹽,例如二烯丙基烷基鹵化銨,較佳二烯丙基單甲基銨鹽)與二氧化硫之陽離子共聚物的細長、彎曲或結節狀膠態矽石顆粒分散體之水性CMP拋光組合物尤其適用於平坦化或拋光基板,如已經受淺溝槽隔離(STI)處理之矽晶片。覆蓋式矽晶片上之壓力回應表徵揭示這些漿料以非普雷斯頓(non-Prestonian)方式拋光氧化矽:在低下壓力下氧化物移除速率可忽略不計且在高於「開啟」壓力之壓力下隨著下壓力增加而增加。此類非普雷斯頓氧化物RR(y軸)對下壓力(x軸)之曲線之x軸截距不為零。本發明之水性CMP拋光組合物使得二氧化矽之CMP拋光具有令人滿意之移除速率,且在覆蓋式及圖案晶片兩者上為氧化矽提供超過氮化矽之可接受之選擇性。最顯著的係,與其他矽石漿料相比,隨著時間之推移,所述組合物能夠改善溝槽氧化物損失及凹陷。
根據本發明,適合之膠態矽石組合物可包括藉由習知溶膠凝膠聚合或藉由水玻璃懸浮聚合製備之矽石分散體,以分佈或混合方式產生多個細長、彎曲或結節狀矽石顆粒,其可包含球形矽石顆粒。
適合之細長、彎曲或結節狀膠態矽石顆粒分散體藉由使以已知方式由前驅體(如四乙氧基矽烷(tetraethoxysilane,TEOS)或四甲氧基矽烷(tetramethoxysilane,TMOS))形成之矽醇水解縮合由懸浮聚合製備。製備細長、彎曲或結節狀矽石顆粒之製程係已知之,且可見於例如Higuchi等人之美國專利第8,529,787號中。水解縮合包括使前驅體在水性懸浮液中在存在鹼性催化劑(如烷基氫氧化銨、烷氧基烷基胺(如乙氧基丙胺(ethoxypropylamine,EOPA))、烷基胺或KOH,較佳四甲基氫氧化銨)下反應;水解縮合製程可將一或多個陽離子氮原子併入細長、彎曲或結節狀矽石顆粒中。較佳,細長、彎曲或結節狀矽石顆粒在pH為4或以下時係陽離子的。
適合之彎曲或結節狀膠態矽石顆粒分散體可以商品名HL-2、HL-3、HL-4、PL-2、PL-3或BS-2及BS-3漿料購自日本大阪之扶桑化工有限公司(扶桑)(Fuso Chemical Co., Ltd., Osaka, JP(Fuso))。來自扶桑之HL及BS系列顆粒含有一或多個氮原子,其在pH 4或低於4時賦予陽離子電荷。
為保證本發明之含水CMP拋光組合物之膠態穩定性,組合物之pH在1至4.5,或較佳2.5至4範圍內。所述組合物在期望之pH範圍以上往往會失去其穩定性。
本發明之具有陽離子胺基之陽離子二烯丙基胺鹽或二烯丙基烷基胺鹽與二氧化硫之共聚物在選擇性及防止拋光中之凹陷態樣提供幫助。以組合物之總重量計,陽離子共聚物之量最高達0.5重量%。太多的陽離子共聚物可鈍化基板之電介質或矽石表面。
本發明之陽離子共聚物可在存在或不存在酸(如鹽酸或乙醇酸)及自由基聚合引發劑(如過硫酸銨)下在極性溶劑(如水)中藉由加成聚合製備。此類聚合方法例如在Yusuke等人之美國專利第9,006,383 B2號中詳細描述。
本發明之水性CMP拋光組合物可包含pH調節劑,如無機酸(例如硝酸)或有機酸(如檸檬酸)。
本發明之水性CMP拋光組合物可包括其他陽離子添加劑,如多胺,以總固體計,其量可高達1重量%。
適合之添加劑亦可包含例如四級銨化合物及二四級銨化合物,例如98重量%之N,N,N,N',N',N'-六丁基-1,4-丁烷二銨二氫氧化物(德克薩斯州奧斯汀之Sachem(Sachem, Austin, TX));及陽離子胺基矽烷,例如98%之N-(2-胺基乙基)-3-胺基丙基三甲氧基矽烷(賓夕法尼亞州莫里斯維爾之Gelest Inc.(Gelest Inc., Morrisville, PA)),或98%之N,N-二乙基胺基甲基)三乙氧基矽烷(Gelest Inc.)等。
較佳地,水性CMP拋光組合物基本上由具有陽離子胺基之二烯丙基胺鹽或二烯丙基烷基胺鹽與二氧化硫之本發明共聚物及陽離子研磨劑組成,且不包含將進一步與研磨劑組分或共聚物相互作用之材料。較佳地此類組合物不包含與矽石相互作用之二四級銨化合物;且其不包含與共聚物相互作用之陰離子化合物及非離子界面活性劑。水性CMP拋光組合物可用水或用與水混溶之另一種液體稀釋。
理想地,本發明之CMP拋光在STI處理中用本發明之CMP拋光組合物進行,較佳使得基本上不移除氮化矽且適當地平坦化二氧化矽而不存在溝槽內之電介質或二氧化矽之過度腐蝕或凹陷。
在使用中,晶片基板之STI處理涉及提供其上沈積有氮化矽層之矽基板。在光刻之後,將溝槽蝕刻至包括氮化矽覆蓋層之基板上,且在其上沈積過量之電介質,例如二氧化矽。然後對基板進行平坦化直至氮化矽表面層暴露但基本上不被移除,使得留在溝槽中之電介質或氧化矽大致與氮化矽之邊緣齊平。
實例:以下實例說明本發明之各種特徵。
在以下實例中,除非另有指示,否則溫度及壓力條件係環境溫度或室溫及標準壓力。
以下材料包含列於下表A中之彼等用於以下實例中: 表A:矽石及其他研磨劑顆粒 1.日本大阪之扶桑化學;2.在pH為3.0下測定電荷,且用TMOS及含鹼性催化劑之胺(如四甲基氫氧化銨)形成陽離子顆粒;3.自來源供應pH。
如由製造商(日本福島之日東工器株式會社(Nitto Boseke Co.Ltd, Fukushima, JP),PAS-92A)報告的,共聚物1係二烯丙基氯化銨與二氧化硫之1:1共聚物,其重均分子量(MW)(使用聚乙二醇標樣之GPC)為5,000;
如由製造商(日本福島之日東工器株式會社,PAS-2201CL)報告的,共聚物2係二烯丙基單甲基氯化銨與二氧化硫之1:1共聚物,其重均分子量(MW)(使用聚乙二醇標樣之GPC)為3,000;
漿料B:二氧化鈰漿料,pH 5.2,聚丙烯酸分散劑,未稀釋之0.75重量%二氧化鈰固體,1:3稀釋使用。
漿料A在pH 4.5以下時帶正電。
實例中使用之各種矽石顆粒列於上表A中。
在以下實例中使用以下縮寫:
POU(Point of use):使用點;RR:移除速率;
在以下實例中使用以下測試方法:
POU時之pH:使用點時之pH(POU時之pH值)係在移除速率測試期間用水將指定濃縮物組合物稀釋至指定固體含量之後量測之pH。
移除速率:在移除速率測試中,使用下表1中定義之CMP拋光組合物,以20.7 kPa(3 psi)之下壓力、150毫升/分鐘之漿料流率、93 rpm之壓板速度及87 rpm之載劑速度,使用MirraTM (200 mm)拋光機或具有IC1010TM 或其他指定之CMP拋光墊(密歇根州米德蘭之陶氏化學公司(The Dow Chemical Company, Midland, MI)(陶氏))之「Mirra RR」(加利福尼亞州聖克拉拉之應用材料公司(Applied Materials, Santa Clara, CA))拋光裝置來拋光STI圖案晶片基板,其具有含MIT掩模(加利福尼亞州聖克拉拉之SKW, Inc., SKW-3晶片)之指定特徵%(其對應於晶片中之有源區或高區之面積相對於其總面積)。在拋光期間,使用100%原位調節,用KinikTM AD3CS-211250-1FN調節盤(中國砂輪企業(Kinik Company),臺灣)以3.17 kg(7磅力)之壓力來調節墊。
多步驟CMP拋光-P1(第一步驟)及P2(後續步驟):進行CMP拋光,使得在第一步驟或P1過程中,移除覆蓋層高密度電漿(high density plasma,HDP)氧化物膜。使用VP6000TM 聚胺酯CMP拋光墊(陶氏,邵氏D(2秒)硬度:53)及漿料E且藉由施加20.7 kPa(3 psi)之拋光下壓力及93 rpm之壓板速度來拋光膜。當完成平坦化時,在晶片之中間晶粒上之50%圖案密度(pattern density,PD)特徵上停止P1拋光。此時,約500 Å之HDP膜保留在50%特徵上。然而,在較小之特徵上,如10%及20%之PD特徵,HDP膜經完全移除且底層氮化物膜暴露。具有> 50% PD之特徵仍然在氮化物膜上具有顯著之電介質膜。在移至P2之前,使用SP100清潔化學品(含有TMAH)在OnTrak DSS-200 Synergy™工具(加利福尼亞州弗里蒙特之拉姆研究(Lam Research,Fremont,CA))上清潔圖案化晶片以自晶片上移除二氧化鈰顆粒。使用具有1010TM 凹槽設計(陶氏)之ICTM 聚胺酯拋光墊(陶氏,邵氏D(2秒)硬度:70)及指定之漿料組合物,使用20.7 kPa(3 psi)之拋光下壓力及93 rpm之壓板速度執行P2拋光。對於50%圖案密度特徵,拋光終點定義為HDP經清除且氮化物膜暴露之時間。在50%圖案密度特徵上監測各步驟拋光事件之溝槽氧化物損失。亦量測了100%圖案密度特徵上之HDP氧化物移除。過度拋光定義為在氮化矽暴露於50%圖案密度特徵上之後在100%特徵上移除之HDP膜之量。選擇性計算為100%特徵上之氮化矽移除速率比對HDP氧化物移除速率比。藉由使用KLA-TencorTM FX200度量工具(加利福尼亞州米爾皮塔斯之KLA Tencor(KLA Tencor,Milpitas,CA)使用具有3mm邊緣排除之49點螺旋掃描量測拋光之前及之後的膜厚度來測定所有電介質膜厚度及移除速率。進一步的拋光細節闡述於下表B中。
Figure 107126648-A0305-02-0014-1
持續拋光達指定之時間間隔或至指定之過度拋光量之程度。在下面的表3、4及5中之每一者中,效能標準A係溝槽氧化物損失(Å):在500Å過度拋光量下可接受之溝槽氧化物損失小於250Å,較佳在500Å過度拋光量下小於215Å;效能標準B係SiN損失(Å):在500Å過度拋光量下可接受之SiN損失小於200Å,較佳在500Å過度拋光量下小於150Å;且效能標準C係凹陷(Å):在500Å過度拋光量下可接受之凹陷小於200Å,較佳在500Å過度拋光量下小於175Å。
在另有指示之情況下,拋光基板係用於覆蓋式晶片研究之循環四乙氧基矽酸鹽(tetraethoxylsilicate,TEOS)晶片(TENR)。
Figure 107126648-A0305-02-0015-3
實例:拋光結果
使用上表1中列出之指定漿料,在具有50%PD特徵之STI晶片基板上執行拋光。使用指定漿料以多個步驟進行拋光。結果顯示在以下表2中。效能標準A係溝槽氧化物損失(Å);效能標準B係SiN損失(Å);且效能標準C係凹陷(Å)。
Figure 107126648-A0305-02-0015-4
如上表2中所示,與沒有比較實例1中之共聚物之相同漿料比較,共聚物1提供優異之拋光效能且改善了所有溝槽氧化物損失A、SiN損失B及凹陷C。
與比較實例1*之組合物相比,實例2及3之組合物顯示出較好之凹陷及溝槽氧化物損失。

Claims (9)

  1. 一種水性化學機械平坦化拋光(CMP拋光)組合物,其包括:多個含有陽離子氮原子之細長、彎曲或結節狀膠態矽石顆粒分散體或其與球形膠態矽石顆粒分散體之混合物;選自硫酸和硝酸組成群組的酸;以及0.001至0.5重量%之具有陽離子胺基之二烯丙基胺鹽與二氧化硫的陽離子共聚物、具有陽離子胺基之二烯丙基烷基胺鹽與二氧化硫的陽離子共聚物、或二該共聚物的混合物,其中所述組合物之pH為1至4.5,且另外,其中所述細長、彎曲或結節狀膠態矽石顆粒分散體之量在0.5至30重量%範圍內,所有重量均以所述組合物之總重量計,其中所述具有陽離子胺基之二烯丙基胺鹽與二氧化硫的陽離子共聚物包括二烯丙基氯化銨與二氧化硫之共聚物,且所述具有陽離子胺基之二烯丙基烷基胺鹽與二氧化硫的陽離子共聚物包括二烯丙基單甲基氯化銨與二氧化硫之共聚物。
  2. 如申請專利範圍第1項所述之水性化學機械平坦化拋光(CMP拋光)組合物,其中所述細長、彎曲或結節狀膠態矽石顆粒分散體之平均顆粒之最長尺寸與垂直於所述最長尺寸之直徑的縱橫比為1.8:1至3:1。
  3. 如申請專利範圍第1項所述之水性化學機械平坦化拋光(CMP拋光)組合物,其包括細長、彎曲或結節狀膠態矽石顆粒分散體與球形膠態矽石顆粒分散體之混合物,其中以所述組合物中之所述膠態矽石顆粒之總固體重量計,所述細長、彎曲或結節狀膠態矽石顆粒分散體之量在80至99.9重量%範圍內。
  4. 如申請專利範圍第1項所述之水性化學機械平坦化拋光(CMP拋光)組合物,其中所述陽離子共聚物或其混合物之量在10至500ppm範圍內。
  5. 如申請專利範圍第1項所述之水性化學機械平坦化拋光(CMP拋光)組合物,其中所述具有陽離子胺基之二烯丙基胺鹽與二氧化硫的陽離子共聚物包括45至55莫耳%之所述具有陽離子胺基之二烯丙基胺鹽與45至55莫耳%之所述二氧化硫之共聚物,且所述具有陽離子胺基之二烯丙基單甲基胺鹽與二氧化硫的陽離子共聚物包括45至55莫耳%之所述具有陽離子胺基之二烯丙基單甲基胺鹽與45至55莫耳%之所述二氧化硫的共聚物。
  6. 如申請專利範圍第1項所述之水性化學機械平坦化拋光(CMP拋光)組合物,其中所述具有陽離子胺基之二烯丙基胺鹽與二氧化硫的陽離子共聚物或所述具有陽離子胺基之二烯丙基烷基胺鹽與二氧化硫的陽離子共聚物具有1,000至15,000之重均分子量。
  7. 如申請專利範圍第1項所述之水性化學機械平坦化拋光(CMP拋光)組合物,其中所述組合物之pH為2.5至4.3。
  8. 一種使用如申請專利範圍第1項所述之水性化學機械平坦化拋光(CMP拋光)組合物之方法,其包括:用CMP拋光墊及所述水性化學機械平坦化拋光(CMP拋光)組合物來拋光基板。
  9. 如申請專利範圍第8項所述之方法,其中所述基板包括二氧化矽及氮化矽兩者,且所述拋光得到3:1至25:1之氧化物:氮化物移除速率比。
TW107126648A 2017-08-30 2018-08-01 用於淺溝槽隔離之水性矽石漿料組合物及其使用方法 TWI812633B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/691,115 US10316218B2 (en) 2017-08-30 2017-08-30 Aqueous silica slurry compositions for use in shallow trench isolation and methods of using them
US15/691115 2017-08-30

Publications (2)

Publication Number Publication Date
TW201912740A TW201912740A (zh) 2019-04-01
TWI812633B true TWI812633B (zh) 2023-08-21

Family

ID=65434603

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107126648A TWI812633B (zh) 2017-08-30 2018-08-01 用於淺溝槽隔離之水性矽石漿料組合物及其使用方法

Country Status (5)

Country Link
US (1) US10316218B2 (zh)
JP (1) JP7152217B2 (zh)
KR (1) KR102611005B1 (zh)
CN (1) CN109423213B (zh)
TW (1) TWI812633B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11549034B2 (en) 2018-08-09 2023-01-10 Versum Materials Us, Llc Oxide chemical mechanical planarization (CMP) polishing compositions

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201000613A (en) * 2008-04-23 2010-01-01 Hitachi Chemical Co Ltd Polishing agent and method for polishing substrate using the same
TW201022144A (en) * 2008-09-26 2010-06-16 Fuso Chemical Co Ltd Colloidal silica containing silica secondary particals having bended and branched structures and manufacturing method thereof
TW201905124A (zh) * 2017-06-16 2019-02-01 美商羅門哈斯電子材料Cmp控股公司 用於淺溝槽隔離的水性二氧化矽漿料組合物及其使用方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002110596A (ja) 2000-10-02 2002-04-12 Mitsubishi Electric Corp 半導体加工用研磨剤およびこれに用いる分散剤、並びに上記半導体加工用研磨剤を用いた半導体装置の製造方法
US20020104269A1 (en) 2001-01-26 2002-08-08 Applied Materials, Inc. Photochemically enhanced chemical polish
US7004819B2 (en) * 2002-01-18 2006-02-28 Cabot Microelectronics Corporation CMP systems and methods utilizing amine-containing polymers
US6866793B2 (en) 2002-09-26 2005-03-15 University Of Florida Research Foundation, Inc. High selectivity and high planarity dielectric polishing
US7531105B2 (en) 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
MY149975A (en) 2007-09-21 2013-11-15 Cabot Microelectronics Corp Polishing composition and method utilizing abrasive particles treated with an aminosilane
TW201038690A (en) 2008-09-26 2010-11-01 Rhodia Operations Abrasive compositions for chemical mechanical polishing and methods for using same
JP5748070B2 (ja) 2010-05-26 2015-07-15 日東紡績株式会社 ジアリルアミン類と二酸化硫黄との共重合体の製造方法
JP5925454B2 (ja) * 2010-12-16 2016-05-25 花王株式会社 磁気ディスク基板用研磨液組成物
CN104137232A (zh) * 2012-02-21 2014-11-05 日立化成株式会社 研磨剂、研磨剂组和基体的研磨方法
US9303188B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9309442B2 (en) * 2014-03-21 2016-04-12 Cabot Microelectronics Corporation Composition for tungsten buffing
US9303190B2 (en) * 2014-03-24 2016-04-05 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
JP6349852B2 (ja) * 2014-03-27 2018-07-04 日立化成株式会社 研磨剤、研磨剤用貯蔵液及び研磨方法
CN107001913B (zh) 2014-06-25 2019-06-28 嘉柏微电子材料股份公司 胶态氧化硅化学-机械抛光组合物
US9631122B1 (en) 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201000613A (en) * 2008-04-23 2010-01-01 Hitachi Chemical Co Ltd Polishing agent and method for polishing substrate using the same
TW201022144A (en) * 2008-09-26 2010-06-16 Fuso Chemical Co Ltd Colloidal silica containing silica secondary particals having bended and branched structures and manufacturing method thereof
TW201905124A (zh) * 2017-06-16 2019-02-01 美商羅門哈斯電子材料Cmp控股公司 用於淺溝槽隔離的水性二氧化矽漿料組合物及其使用方法

Also Published As

Publication number Publication date
US20190062593A1 (en) 2019-02-28
CN109423213A (zh) 2019-03-05
KR102611005B1 (ko) 2023-12-06
KR20190024695A (ko) 2019-03-08
CN109423213B (zh) 2021-07-20
TW201912740A (zh) 2019-04-01
US10316218B2 (en) 2019-06-11
JP2019056108A (ja) 2019-04-11
JP7152217B2 (ja) 2022-10-12

Similar Documents

Publication Publication Date Title
US10669449B2 (en) Composite abrasive particles for chemical mechanical planarization composition and method of use thereof
US7695637B2 (en) Slurry composition for chemical mechanical polishing and precursor composition thereof
US10119048B1 (en) Low-abrasive CMP slurry compositions with tunable selectivity
KR102488753B1 (ko) 낮은 연마제 실리카 입자의 수성 조성물
JP7274844B2 (ja) 浅溝分離に使用するための水性シリカスラリー及びアミンカルボン酸組成物並びにその使用方法
TW201927993A (zh) 用於多晶矽拋光之低凹陷二氧化矽顆粒之水性組合物
KR20130048162A (ko) 기판의 폴리싱 방법
TWI760494B (zh) 用於淺溝槽隔離的水性二氧化矽漿料組合物及其使用方法
TWI812633B (zh) 用於淺溝槽隔離之水性矽石漿料組合物及其使用方法
CN109593473B (zh) 用于浅沟槽隔离的水性低研磨剂二氧化硅浆料和胺羧酸组合物以及其制造和使用方法
KR102404499B1 (ko) 얇은 트렌치 격리 화학적 기계적 연마 슬러리
TW202340402A (zh) 用於矽氧化物、矽氮化物及多晶矽的選擇性及非選擇性cmp之基於氧化鈰的漿料組合物
TW202116968A (zh) 高氧化物移除速率的淺溝隔離化學機械平坦化組合物