CN109593473B - 用于浅沟槽隔离的水性低研磨剂二氧化硅浆料和胺羧酸组合物以及其制造和使用方法 - Google Patents

用于浅沟槽隔离的水性低研磨剂二氧化硅浆料和胺羧酸组合物以及其制造和使用方法 Download PDF

Info

Publication number
CN109593473B
CN109593473B CN201811009708.8A CN201811009708A CN109593473B CN 109593473 B CN109593473 B CN 109593473B CN 201811009708 A CN201811009708 A CN 201811009708A CN 109593473 B CN109593473 B CN 109593473B
Authority
CN
China
Prior art keywords
cmp
polishing composition
composition
amine
chemical mechanical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811009708.8A
Other languages
English (en)
Other versions
CN109593473A (zh
Inventor
郭毅
D·莫斯利
N·K·彭塔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials CMP Holdings Inc
Original Assignee
Rohm and Haas Electronic Materials CMP Holdings Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials CMP Holdings Inc filed Critical Rohm and Haas Electronic Materials CMP Holdings Inc
Publication of CN109593473A publication Critical patent/CN109593473A/zh
Application granted granted Critical
Publication of CN109593473B publication Critical patent/CN109593473B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Composite Materials (AREA)
  • Mechanical Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本发明提供水性化学机械平坦化(CMP)抛光组合物,其包含一种或多种具有+5到+50mV的ζ电位且具有一个或多个氨基硅烷基团的胶态二氧化硅粒子分散体,优选细长、弯曲或结节状胶态二氧化硅粒子,或更优选含有阳离子氮原子的此类粒子;以及至少一种胺杂环羧酸,其具有2.5到5,优选3到4的等电点(pI)。所述组合物具有2.5到5.3的pH。优选地,所述胺杂环羧酸为含胺杂环单羧酸,如烟碱酸、吡啶羧酸或异烟酸。所述组合物增强氧化物:氮化物去除速率比率。

Description

用于浅沟槽隔离的水性低研磨剂二氧化硅浆料和胺羧酸组合 物以及其制造和使用方法
本发明涉及水性化学机械平坦化(CMP)抛光组合物,其包含一种或多种具有一个或多个氨基硅烷基团的胶态二氧化硅粒子分散体的研磨剂,其中一种或多种胶态二氧化硅粒子分散体在组合物的pH下具有+5到+50mV,或优选+10到+30mV的ζ电位;以及至少一种胺杂环羧酸,其具有2.5到5的等电点(pI),组合物具有2.5到5.3的pH。
在前段工艺(FEOL)半导体处理中,浅沟槽隔离(STI)对于在集成电路制造时,如在形成电晶体之前形成栅极来说为关键的。在STI中,如原硅酸四乙酯(TEOS)或二氧化硅的介电质过量沉积于硅晶片中形成的开口中,例如通过氮化硅(SiN)阻挡层与集成电路的其余部分隔离的沟槽或隔离区域。随后使用CMP工艺去除过量介电质,产生其中介电质的预定图案镶嵌在硅晶片中的结构。用于STI的CMP需要从隔离区域去除和平坦化二氧化硅覆盖层,由此产生与二氧化硅填充的沟槽共面的表面。在STI中,氮化硅膜表面必须清除二氧化硅或氧化物以允许随后在后续处理中去除氮化物硬掩模。可接受的氮化物:氧化物去除速率比率对于防止损坏下面的Si有源区域且提供过度抛光间距以确保所有图案密度都清除氮化物是必要的。另外,必须避免任何沟槽中的氧化物凹陷,以防止成品栅极中的低阈值电压泄漏。
目前,水性化学机械平坦化抛光(CMP抛光)组合物的使用者与CMP抛光垫一起使用来抛光衬底希望避免使用含有氧化铈的CMP抛光组合物。氧化铈浆料显示二氧化硅对氮化硅的较高选择性且避免在氮化硅曝露后去除沟槽区域中的氧化物,但成本高,存在RR和工艺稳定性问题,且易于在抛光期间产生缺陷。二氧化硅浆料配制品提供成本较低、缺陷较少的解决方案,但迄今为止,氧化物:氮化物选择性不足以用于STI应用。具有氨基硅烷表面处理的二氧化硅粒子最近显示出一些前景,因为其具有相对较高的氧化物比率且由于有利的粒子/晶片静电排斥而明显降低了氮化物比率。此类水性二氧化硅浆料CMP组合物在4到5.5的pH范围下对于氧化物比率表现最佳,其中氮化物比率仍然难以与二氧化铈粒子提供的相匹配。为了在pH方案期间稳定pH,一种类型的羧酸通常用作滴定剂和/或缓冲剂,如乙酸和柠檬酸。此类化合物不足以提供所需氮化物抑制,且因此需要鉴别新型化学添加剂以获得氧化物:氮化物选择性。
Grumbine等人的美国专利第US 9,499,721B2号公开一种用于抛光衬底的化学机械抛光组合物,所述组合物包含可具有带永久正电荷粒子的胶态二氧化硅分散体和一种或多种并入到粒子中的化学物质。二氧化硅粒子中的化学物质可为含氮化合物,优选氨基硅烷或含磷化合物。然而,在所公开的氮的数百种此类化学物质中,Grumbine没有公开其中任何胺羧酸提高介电氧化物:介电氮化物去除速率选择性的任何组合物。
本发明人致力于解决提供水性二氧化硅浆料的问题,所述水性二氧化硅实现可接受的氧化物凹陷控制和氧化物:氮化物去除速率选择性以用于STI应用。
发明内容
1.根据本发明,水性化学机械平坦化(CMP)抛光组合物,其包含一种或多种具有一个或多个氨基硅烷基团的胶态二氧化硅粒子分散体,优选细长、弯曲或结节状胶态二氧化硅粒子或其与一种或多种球形胶态二氧化硅粒子分散体的混合物的研磨剂,其中一种或多种多个胶态二氧化硅粒子分散体在组合物的pH下具有+5到+50mV,或优选+10到+30mV的ζ电位;以及至少一种胺杂环羧酸,其具有2.5到5,或优选3到4.5的等电点(pI),所述组合物具有2.5到5.3,或优选4到5的pH,且另外其中,呈固体形式的研磨剂粒子的量在以组合物的总重量计0.01到20wt.%,或优选0.1到15wt.%,或更优选0.5到3wt.%范围内。CMP抛光组合物可作为浓缩物存储和运输,且随后在抛光衬底时用水稀释。
2.根据如上述项目1中所阐述的水性CMP抛光组合物,其中所述研磨剂包含含有阳离子氮原子的细长、弯曲或结节状胶态二氧化硅粒子分散体的混合物或其与多个球形胶态二氧化硅粒子分散体的混合物,其中细长、弯曲或结节状胶态二氧化硅粒子分散体的量在以研磨剂的总固体重量计50到99.9wt.%,或优选65到99.9wt.%范围内。
3.根据如上述项目1或2中任一项所阐述的水性CMP抛光组合物,其中所述研磨剂包含至少一种细长、弯曲或结节状胶态二氧化硅粒子分散体,其具有1.8:1到3:1的粒子最长尺寸与其垂直于最长尺寸的直径的平均粒子纵横比。
4.根据如上述项目1、2或3中任一项的水性CMP抛光组合物,其中胶态二氧化硅粒子分散体中的至少一种或所有在粒子内部包含一个或多个氮原子,由此在任何氨基硅烷基团不存在下所述粒子展现表面正电荷或ζ电位;例如截留在胶态二氧化硅粒子内部的氮可来自以下中的任一种:烷基氢氧化铵、烷基胺、烷氧基烷基胺、烷氧基烷基氢氧化铵、芳基胺或芳基氢氧化铵。
5.根据如上述项目1、2、3或4中任一项的水性CMP抛光组合物,其中所述氨基硅烷基团选自以下:含有一种或多种叔胺基的氨基硅烷,如N,N-(二乙基氨基甲基)三乙氧基硅烷(DEAMS);或一种或多种仲胺基,如N-(2-氨基乙基)-3-氨基丙基三甲氧基硅烷(AEAPS)或N-氨基乙基氨基乙基氨基丙基三甲氧基硅烷(DETAPS);或一种或多种伯胺基,如3-氨基丙基三乙氧基硅烷(APES)或3-氨基丙基三甲氧基硅烷(APMS),优选含有一种或多种叔胺基的氨基硅烷。
6.根据如上述项目1、2、3、4或5中任一项的水性CMP抛光组合物,其中氨基硅烷的量在以水性CMP抛光组合物中的总二氧化硅固体计0.0010到0.5wt.%,或优选0.003到0.1wt.%,或更优选0.005到0.02wt.%范围内。
7.根据如上述项目1、2、3、4、5或6中任一项的水性CMP抛光组合物,其进一步包含含有一种或多种胺杂环羧酸的化合物,其选自含N杂环单羧酸,或更优选选自烟碱酸、吡啶羧酸或异烟酸。
8.根据如上述项目7的水性化学机械平坦化(CMP)抛光组合物,其中胺杂环羧酸的量在以水性CMP抛光组合物中的总二氧化硅固体计10到5000ppm,或优选20到1000ppm,或更优选20ppm到700ppm范围内。
9.根据如上述项目1、2、3、4、5、6、7或8中任一项的水性化学机械平坦化(CMP)抛光组合物,其中二氧化硅粒子的重量平均粒径(CPS)在10nm到200nm,或优选25nm到80nm范围内。
10.根据如上述项目1到9中任一项的水性化学机械平坦化(CMP)抛光组合物,其用于抛光含有介电质或氧化物的衬底,其中所述组合物基本上不包含氧化剂化合物,如过氧化氢。
11.根据如上述项目1到10中任一项的水性化学机械平坦化(CMP)抛光组合物,其进一步包含一种或多种含有两个季铵基团的化合物,例如六丁基C1-C8烷二铵二氢氧化物或其盐,如二卤化物,或优选N,N,N,N',N',N'-六丁基-1,4-丁二铵二氢氧化物(HBBAH)。
12.根据如上述项目11中本发明的水性化学机械平坦化(CMP)抛光组合物,其中含有两个季铵基团的化合物的量在以水性CMP抛光组合物中的总二氧化硅固体计1到2000ppm,或优选5到500ppm,或更优选10ppm到200ppm范围内。
13.根据如上述项目1到12中任一项所阐述的水性CMP抛光组合物,其中所述组合物进一步包含一种或多种阳离子共聚物,如二烯丙基二烷基胺盐、二烯丙基烷基胺盐或二烯丙基胺盐和如二氧化硫的非离子单体中的任一种的阳离子共聚物。
14.根据本发明的另一方面,使用水性CMP抛光组合物的方法包含用CMP抛光垫和如上述项目1到13中任一项所阐述的水性CMP抛光组合物对衬底进行抛光。
15.根据如上述项目14中所阐述的本发明的方法,其中所述衬底包含二氧化硅或四乙氧基硅酸盐(TEOS)和氮化硅(如SiN或Si3N4或其混合物)两种,且抛光产生至少8:1,例如10:1到50:1或优选至少10:1,例如20:1到40:1的氮化物:氧化物去除速率比率。
16.根据如上述项目14或15中任一项的抛光CMP衬底的方法,其中抛光下压力在10.3kPa(1.5psi)到41.5kPa(6psi),或优选12kPa(1.8psi)到36kPa(5.2psi)范围内。
除非另外指示,否则温度和压力的条件均为环境温度和标准压力。所叙述的全部范围具有包括性和可组合性。
除非另外指示,否则含有圆括号的任何术语都可以替代地指全部术语,如同圆括号不存在和术语没有圆括号一样,以及每个替代方案的组合。因此,术语“(聚)异氰酸酯”是指异氰酸酯、聚异氰酸酯或其混合物。
全部范围具有包括性和可组合性。举例来说,术语“50到3000cPs或100cPs或超过100cPs”将包括50到100cPs、50到3000cPs以及100到3000cPs中的每一个。
如本文所使用,术语“胺杂环羧酸”意指含有至少一个羧基和至少一个含胺杂环基团的任何有机化合物。因此,胺杂环羧酸不限于具有含胺杂环基团的那些天然存在的氨基酸或仅形成肽键的那些氨基酸。举例来说,吡啶羧酸为不大可能形成肽键的胺杂环羧酸。
如本文所使用,术语“ASTM”是指宾夕法尼亚州西康舍霍肯ASTM国际性组织(ASTMInternational,West Conshohocken,PA)的出版物。
如本文所使用,术语“胶体稳定性”意指给定组合物不发生胶凝或沉淀,且在指定的温度下且在指定的时间后,在直观检验时保持透明。
如本文所使用,对于胺羧酸,术语“等电点”或“pI”是指胺羧酸的pKa1和pka2的平均值,其中pKa1是指胺羧酸上第一个或最低的羧基的pKa且pKa2是指胺羧酸上最后的或最高的胺基的pKa。对于pKa1为2.5且pKa2为6.5的实例,那么胺羧酸的等电点为4.5。另外,如本文所使用,术语“总研磨剂的pI”意指一种或多种胶态二氧化硅粒子分散体中的每一个的pI的加权平均值。因此,如果存在一种此类胶态二氧化硅粒子分散体,那么总研磨剂的pI等于所述分散体的pI;如果存在50/50w/w的两种此类分散体的混合物,且一种此类分散体的pI为3.5且另一此类分散体的pI为4.5,那么总研磨剂的pI为(3.5×0.5)+(4.5×0.5)或4.0。
如本文所使用,术语“硬碱”是指金属氢氧化物,包括碱(碱土)金属氢氧化物,如NaOH、KOH或Ca(OH)2
如本文所使用,术语“ISO”是指瑞士日内瓦国际标准化组织的出版物(International Organization for Standardization,Geneva,CH)。
如本文所使用,术语“粒径(CPS)”意指组合物的重量平均粒径,如通过CPS仪器公司(荷兰)盘式离心机系统所测定。利用离心力,根据尺寸来分离溶剂中的粒子且利用光学光散射来进行定量。
如本文所使用,术语“二氧化硅粒子固体”或“二氧化硅固体”意指对于给定组成来说,球形二氧化硅粒子的总量加上细长、弯曲或结节状二氧化硅粒子的总量,包括处理任何那些粒子的任何物质。
如本文所使用,术语“固体”意指除水或氨外的任何材料,其不在使用条件下挥发,无论其物理状态为何。因此,在使用条件下并不挥发的液体硅烷或添加剂视为“固体”。
如本文所使用,术语“强酸”是指具有2或更小的pKa的质子酸,如无机酸,如硫酸或硝酸。
如本文所使用,术语“基本上无氧化剂化合物”意指在给定组合物中不存在添加的氧化剂化合物且所述组合物含有小于500ppm,或优选小于100ppm任何氧化剂化合物。
如本文所使用,术语“使用条件”意指使用给定组合物所处的温度和压力,包括使用期间或作为使用结果的温度和压力增加。
如本文所使用,术语“二氧化硅重量分数”意指以组合物的总重量计二氧化硅的总wt.%/100%。因此,30wt.%二氧化硅等于0.3的重量分数。
如本文所使用,术语“wt.%”表示重量百分比。
如本文所使用,术语“ζ电位”是指如通过马尔文泽塔斯则(Malvern Zetasizer)仪器(英国马尔文的马尔文仪器公司(Malvern Instruments,Malvern,UK))测量的给定组合物的电荷。所有ζ电位测量均针对(稀释)的浆料组合物进行,如以下实例中所描述。使用通过每一指定组合物的仪器进行>20次采集,由ζ值的平均测量结果获取报导值。
本发明人已发现,含胺杂环羧酸在CMP抛光时调整氧化物:氮化物选择性方面起重要作用。因为胺杂环羧酸包含滴定剂,其可用于调节CMP抛光组合物的pH,本发明的组合物对二氧化硅研磨粒子稳定性或其与衬底的相互作用几乎没有负面影响;另外,本发明的组合物能够抑制氮化物的去除速率而不损害氧化物,例如四乙氧基硅烷(TEOS)去除速率。根据本发明的水性CMP抛光组合物提供10:1到50:1,或优选20:1到40:1的介电质氧化物:介电质氮化物衬底去除速率选择性比率。当与常用烷基羧酸和二羧酸,如乙酸或丁二酸或柠檬酸相比较时,选择性比率在4到5的优选pH下甚至更加改进。因此,根据本发明的方法能够提供10:1到50:1,或优选20:1到40:1的介电质氧化物:介电质氮化物衬底去除速率选择性比率。
本发明的胺杂环羧酸优选为单羧酸。当与本发明的组合物一起测试时,具有二羧酸添加剂的水性CMP抛光组合物具有受损的氧化物:氮化物选择性。
根据本发明,适合的胶态二氧化硅组合物可包含通过常规溶胶凝胶聚合或通过水玻璃的悬浮聚合制得的二氧化硅分散体,从而以分布方式或以混合方式产生多个细长、弯曲或结节状胶态二氧化硅粒子,其可包括多个球形二氧化硅粒子。
适合的细长、弯曲或结节状二氧化硅粒子利用悬浮聚合、通过使以已知方式由前驱物(如四乙氧基硅烷(TEOS)或四甲氧基硅烷(TMOS))形成的硅醇发生水解缩合来制成。用于制造细长、弯曲或结节状二氧化硅粒子的方法已为人知且可发现于例如Higuchi等人的美国专利第8,529,787号中。水解缩合包含使前驱物在水性悬浮液中、在碱性催化剂(如烷基氢氧化铵、烷基胺或KOH,优选四甲基氢氧化铵)存在下发生反应;水解缩合工艺可使一个或多个阳离子型氮原子并入细长、弯曲或结节状二氧化硅粒子中。优选地,细长、弯曲或结节状二氧化硅粒子在4的pH下为阳离子性的。
适合的弯曲或结节状二氧化硅粒子获自日本大阪扶桑化学有限公司(FusoChemical Co.,Ltd.,Osaka,JP)(扶桑),其商标名为HL-2、HL-3、HL-4、PL-2、PL-3或BS-2和BS-3浆料。扶桑的HL和BS系列粒子含有在pH 4下赋予阳离子电荷的一个或多个氮原子。
为了确保本发明的水性CMP抛光组合物的胶体稳定性,所述组合物具有2.5到5.3,或优选4到5范围内的pH。在高于所需pH范围时,所述组合物往往会失去其稳定性。
根据本发明的组合物,氨基硅烷的使用量为使得较多氨基硅烷与较小二氧化硅粒子(其具有更大表面积)一起使用和较少氨基硅烷与较大二氧化硅粒子一起使用。氨基硅烷的适合的量在以水性CMP抛光组合物中的总二氧化硅固体计0.0020到0.25wt.%,或优选0.003到0.1wt.%,或更优选0.003到0.02wt.%范围内。
氨基硅烷(铵硅烷)化合物可在本发明的组合物的pH下由于与粒子表面的静电引力而物理吸附到二氧化硅粒子表面上。其可随后通过缩合反应与二氧化硅表面反应,形成Si-O-Si键。一般来说,至少75wt.%任何氨基硅烷化合物将结合到二氧化硅表面,即不能自由漂浮于连续水相中。
本发明的CMP抛光组合物中的胶态二氧化硅粒子分散体具有正ζ电位,或如果为两种或多于两种胶态二氧化硅粒子分散体的混合物,那么为平均正ζ电位。为了控制胶体稳定性,在低于5的pH范围中每单位表面积二氧化硅粒子的氨基硅烷中的阳离子氮原子数目应保持较低且又使二氧化硅粒子具有正ζ电位。然而,每表面积二氧化硅粒子的阳离子氮原子过多会导致浆料的抛光能力损失,如通过TEOS晶片的去除速率所测量。相对于单位二氧化硅表面积的阳离子性氮原子的数目也是二氧化硅粒子表面的孔隙率、密度以及硅烷醇浓度的函数;对于孔隙度更大或密度更低的二氧化硅粒子以及其表面上具有更多硅烷醇基团的二氧化硅将需要更多的氨基硅烷。在本领域中已熟知,基于通过BET测量测得的表面积,水中二氧化硅粒子的硅烷醇密度从1.8到2硅烷醇/nm2表面积到多达7到8硅烷醇/nm2
氨基硅烷可改善本发明的组合物的胶体稳定性;此类稳定性可能与带正电原子或阳离子的存在有关。因为使氨基质子化,所以在本发明的组合物的pH下,具有一个胺基的叔氨基硅烷和仲氨基硅烷每个氨基硅烷分子贡献一个正电荷或阳离子氮原子。在本发明的组合物的pH下,含有两个氨基的双(氨基)硅烷和氨基硅烷,如N-(2-氨基乙基)-3-氨基丙基硅烷每个氨基硅烷分子贡献约2个正电荷或阳离子氮原子。
用于制得含有氨基硅烷基团的本发明的二氧化硅粒子分散体的适合的氨基硅烷为含有叔胺基和仲胺基的氨基硅烷。本发明的组合物中的氨基硅烷在最初混合期间以水解水性氨基硅烷的形式存在,但会迅速吸附到二氧化硅粒子的表面上。
用于本发明的水性CMP抛光组合物的适合的氨基硅烷包含:含有一种或多种叔胺基的氨基硅烷,如N,N-(二乙基氨基甲基)三乙氧基硅烷(DEAMS);或一种或多种仲胺基,如N-(2-氨基乙基)-3-氨基丙基三甲氧基硅烷(AEAPS)或N-氨基乙基氨基乙基氨基丙基三甲氧基硅烷(DEAPS,又名DETAPS);或一种或多种伯胺基,如3-氨基丙基三乙氧基硅烷(APES)或3-氨基丙基三甲氧基硅烷(APMS),优选含有一种或多种叔胺基的氨基硅烷。
根据本发明的水解水性氨基硅烷和用于制造含有其的CMP抛光组合物的方法,使水性氨基硅烷组合物静置以便水解在储存时形成的任何硅酸盐键。对于含有一个或多个仲胺基的氨基硅烷,在用强酸将pH调节到3.5到5之前,此类水性氨基硅烷的pH在7到8下保持5到600分钟,如5到120分钟。含有叔胺基的氨基硅烷与含有伯胺基和仲胺基的氨基硅烷相比在本发明的水性二氧化硅CMP抛光组合物的所需pH值范围下更容易水解。较小百分比的氨基硅烷在水解步骤之后可以短链寡聚物的形式存在。
因为具有一个或多个仲胺基的氨基硅烷并非优选的,所以制备水解的水性氨基硅烷的优选方法包含:将具有一个或多个叔氨基的本发明的水性氨基硅烷的pH调节到3.5到4.5的pH且使其静置5到600分钟或5到120分钟。
根据本发明,适合的氨基硅烷量可在以水性CMP抛光组合物中的总二氧化硅固体计0.0010到0.25wt.%,或优选0.003到0.1wt.%,或更优选0.003到0.02wt.%范围内。
优选地,根据本发明,水性CMP抛光组合物包含含有两个季铵基的化合物,如N,N,N,N',N',N'-六丁基-1,4-丁二铵二氢氧化物(HBBAH)。此类化合物增强了水性CMP抛光组合物在储存、装运和热老化期间的稳定性,同时维持高去除速率。
根据本发明,含有两个季铵基的适合化合物可包含六丁基C1-C8烷二铵二氢氧化物或其盐,如二卤化物,或优选N,N,N,N',N',N'-六丁基-1,4-丁二铵二氢氧化物(HBBAH)。
根据本发明,含有两个季铵基的化合物的适合量在以组合物中的总二氧化硅固体计1到2000ppm,或优选5到500ppm,或更优选10ppm到200ppm范围内。所述量应足以确保稳定作用。为了使具有较高二氧化硅浓度和/或较低氨基硅烷浓度的浓缩物和组合物稳定化,需要更多的含有两个季铵基的化合物。为了使较小平均尺寸粒子稳定化,由于其表面面积增加和潜在的寡聚化或凝胶化,因此还需要更多的化合物。
优选地,为了减少在CMP抛光期间介电氧化物的凹陷,本发明的组合物可进一步包含阳离子聚合物,如阳离子共聚物,例如阴离子单体或重复单元的共聚物,如二氧化硫,具有阳离子氮的二烯丙基二烷基胺盐,如二烯丙基二甲基卤化铵,具有阳离子胺基的二烯丙基胺盐,如二烯丙基卤化铵,或具有阳离子胺基的二烯丙基烷基胺盐,如二烯丙基烷基铵盐,例如二烯丙基烷基卤化铵,优选二烯丙基单甲基铵盐。此类共聚物可有助于氧化硅选择性和预防抛光中的凹陷。阳离子共聚物的量在以组合物的总重量计高达0.5wt.%范围内。过多阳离子共聚物可能使衬底的介电质或二氧化硅表面钝化。本发明的阳离子共聚物可在酸存在或不存在下通过加成聚合制得,如例如Yusuke等人的美国专利第9,006,383B2号中所详述。
根据本发明的水性化学机械平坦化(CMP)抛光组合物可用于抛光含有介电质或氧化物的衬底,其中所述组合物不包含氧化剂化合物,如过酸、过氧化物、氧化铁或碘酸盐。
优选地,本发明的水性CMP抛光组合物可进一步包含一种或多种具有疏水性烷基或芳基-经取代的烷基(芳烷基)的表面活性剂,其选自胺烷氧基化物、二胺烷氧基化物、铵烷氧基化物或其混合物。此类表面活性剂可为(i)具有C8到C32,或优选C8到C24N-烷基胺基的胺或二胺烷氧基化物,仲胺或叔胺,优选叔胺,(ii)具有C8到C32,或优选C8到C24N-烷基铵基的铵烷氧基化物,或(iii)(i)和(ii)两者。更优选地,表面活性剂中的至少一种具有两个N-烷氧基醚基,且甚至更优选地,至少一种(ii)铵烷氧基化物包含C1到C6N-烷基。在胺烷氧基化物、二胺烷氧基化物、铵烷氧基化物或其所有中,N-烷氧基醚基、N-乙氧基醚寡聚物或N-丙氧基寡聚物具有2到50个醚重复单元,或优选4到24个醚重复单元。此类一种或多种表面活性剂的量优选在以组合物的总重量计0.001到1wt.%,或更优选0.0025到0.05wt.%范围内作为固体。当表面活性剂为铵烷氧基化物或含有铵烷氧基化物和胺烷氧基化物的表面活性剂的混合物时,一种或多种铵烷氧基化物的量优选在以组合物的总重量计0.0005到1wt.%,或更优选0.0015到0.05wt.%范围内作为固体。
根据本发明的CMP抛光包含常规CMP抛光方法。CMP抛光包含:提供一种具有台板或工作台的CMP抛光设备;提供待抛光衬底,如硅或多晶硅衬底,在其上沉积一层介电质,如二氧化硅,且优选在其上还沉积一层氮化硅;提供CMP抛光垫,如具有抛光表面的聚氨基甲酸酯泡沫垫;将台板或工作台安装于CMP抛光垫上;在CMP抛光垫的抛光表面与衬底之间的界面处提供本发明的水性CMP抛光组合物;以及在CMP抛光垫表面与衬底之间建立动态接触直到曝露但不基本上移除优选多晶硅层和任何氮化硅为止,优选以使得任何低区域或沟槽中残留的介电质或氧化硅大致与多晶硅和任何氮化硅的边缘齐平。
根据本发明的方法,所述方法包含CMP抛光,其中可通过旋转衬底,旋转具有抛光层的CMP抛光垫,或旋转两者在CMP抛光垫表面与衬底之间建立动态接触。
根据本发明的方法,所述方法包含:用CMP抛光垫进行CMP抛光,且分别地或同时用调节垫调节CMP抛光垫的抛光表面以使得其具有表面微纹理。
根据本发明,所述衬底包含氮化硅,如SiN或Si3N4,以及二氧化硅或原硅酸四乙酯(TEOS),且抛光产生至少10:1,例如10:1到50:1,或优选20:1到40:1的氧化物:氮化物去除速率比率。
合乎需要地,本发明的CMP抛光在STI或ILD处理中用本发明的CMP抛光组合物进行,优选以使得多晶硅和任何氮化硅基本上不被去除且二氧化硅充分平坦化,而沟槽或任何其它低区域内的介电质或二氧化硅不会过度磨蚀或凹陷。
在使用时,晶片衬底的STI处理涉及提供硅衬底,在其上沉积一层氮化硅。在光刻之后,将沟槽蚀刻到包含氮化硅覆盖层的衬底上,且在其上沉积过量的电介质,例如二氧化硅。随后对衬底进行平坦化直到氮化硅的表面层曝露但基本上未被去除,使得留在沟槽中的介电质或氧化硅大致与氮化硅的边缘齐平。
在使用时,晶片衬底的ILD或主体介电质处理涉及提供一种含有具有掺杂硅活性特征的硅衬底的特征,其中在其与氮化硅沟槽之间具有低区域,且其上沉积一层介电质,如二氧化硅或TEOS的填充层。衬底随后经受平坦化直到硅和氮化硅的表面层曝露但基本上未被去除为止,使得留在低区域和沟槽中的介电质或氧化硅大致与硅特征和氮化硅的边缘齐平。
实例:以下实例说明本发明的各种特征。
在以下实例中,除非另外指明,否则温度和压力条件为环境温度和标准压力。
在以下实例中使用以下材料:
HBBAH=N,N,N,N',N',N'-六丁基-1,4-丁二铵二氢氧化物,98wt.%(Sachem,Austin,TX)。
AEAPS=N-(2-氨基乙基)-3-氨基丙基三甲氧基硅烷,98%(Gelest公司,Morrisville,PA);
DEAMS=(N,N-二乙基氨基甲基)三乙氧基硅烷,98%,(Gelest公司)。
二胺烷氧基化物:EthoduomeenTM T-25乙氧基化(15)N-动物脂-1,3-二氨基丙烷表面活性剂(CAS:61790-85-0,阿克苏诺贝尔(Akzo Nobel))。
实例中所用的各种二氧化硅粒子列举于下表A中。
表A:二氧化硅粒子
Figure BDA0001784772720000101
1.德国达姆施塔特的德国默克集团(Merck KgAA,Darmstadt,Germany)。所有粒子为球形的且由硅酸钠原材料产生。2.针对来自德国默克集团的每种产品,而非特地批次,报告比表面积(SSA);3.具有阳离子氮的细长二氧化硅粒子由来自日本大阪的扶桑化工有限公司的烷氧基烷基胺催化剂制造;4.如所供应。
在以下实例中使用以下缩写:
POU:使用点;RR:去除速率;SA:表面积;SSA:比表面积。
多种胺羧酸的pI显示于以下表B中。在以下表B中,N是指胺。
Figure BDA0001784772720000111
表B:胺羧酸的Pkas和等电点
胺羧酸的等电点:胺羧酸的等电点或pI为胺羧酸不在电场或电泳介质中迁移所处的pH。具有中性侧链的胺羧酸的特征在于两个pKas:pKa1为羧酸且pKa2为胺。pI将为这两个pKas的中间值或平均值,即pI=1/2(pKa1+pKa2)。在低于pKa1的pH下,胺羧酸将具有总正电荷,且在高于pKa的pH下,胺羧酸将具有总负电荷。对于最简单的胺羧酸,甘氨酸,pKa1=2.34且pKa2=9.6,pI=5.97。酸性胺羧酸具有酸性侧链。pI将处于较低pH下,因为酸性侧链引入额外负电荷。举例来说,对于天冬氨酸,存在两种酸pKas(pKa1和pKa2)和一种胺pKa,pKa3。pI在这两个值之间,即pI=1/2(pKa1+pKa3),因此pI=2.77。碱性胺羧酸在较高pH下具有pI,因为碱性侧链引入额外正电荷。举例来说,对于组氨酸,pI在羧酸氢的最低pKa与氨氢的最高pKa之间,两个值pI=1/2(pKa2+pKa3),因此pI=7.59。
配制品实例:除非另外指示,否则在以下实例中,每个浆料由以重量计3:1混合物配制作为浆料B中的细长、弯曲或结节状二氧化硅粒子和浆料A的二氧化硅粒子的固体,各自来自上述表A,作为15wt.%固体浆料组合物。POU的组合物包含指定量,例如以组合物的总重量计50ppm到500ppm指定酸或胺杂环羧酸作为固体;将约0.08wt.%固体DEAMS氨基硅烷作为pH约7.5的水溶液添加到指定二氧化硅粒子浆料组合物中以形成经胺处理的胶态二氧化硅粒子。浆料的pH在约7.5下维持1小时。所得组合物包含约90wt.%在粒子上含有氨基硅烷的二氧化硅粒子。将组合物与以固体计0.00625wt.%敌草快(Diquat)组合,且除非另外指示,否则在室温下老化。使用琥珀酸作为参考将二氧化硅浆料组合物调节到指定pH。
如果在以下实例中仅指定单个浆料A或浆料B,那么使用其以15wt.%固体组合物为起始材料,且将指定二氧化硅粒子浆料与如上所述的DEAMS组合。
将上述配制品稀释到2重量%固体且与指定表面活性剂和任何其它指定材料组合,得到水性CMP抛光组合物,如以下表C到E中所示。
表C:CMP抛光组合物
(所有比例单位都是以固体计的pbw)
Figure BDA0001784772720000121
*-3:1固体wt.浆料B与浆料A。
在以下实例中使用以下测试方法:
POU的pH:在使用时的pH(POU的pH)为在去除速率测试期间在用水将指定浓缩物组合物稀释到指定固体含量之后测量的pH。
去除速率:使用如指定的应用材料ReflexionTM 300mm抛光机或“Reflexion RR”(加利福尼亚州圣克拉拉应用材料公司(Applied Materials,Santa Clara,CA)),在指定下压力以及工作台和托架回转速率(rpm)下,且用指定的CMP抛光垫和研磨浆料,在指定的研磨浆料流动速率300mL/min下,在指定的衬底上进行抛光去除速率测试。Saesol8031C1金刚石垫调节剂(Saesol Diamond Ind.有限公司,韩国)用于调节抛光垫。在垫调节剂中,使用6.35kg(14.0lb)的下压力20分钟来使CMP抛光垫破裂且随后在抛光之前使用4.1kg(9lb)的下压力10分钟来进一步调节。在抛光期间用4.1kg(9lb)的下压力从抛光垫中心在10扫描/分钟下进一步就地调节CMP抛光垫。去除速率通过使用KLA-Tencor F5X计量工具(加利福尼亚州米尔皮塔斯KLA Tencor公司(KLA Tencor,Milpitas,CA))使用49点螺旋扫描下3mm边缘排除下测量抛光前后的膜厚度来确定。
z平均粒径:指定组合物的z平均粒径使用依据制造商建议校准的马尔文泽塔斯则装置(英国马尔文的马尔文仪器公司),通过动态光散射(DLS)来测量。z平均粒径为加权调和平均尺寸,其为如通过ISO方法(ISO13321:1996或其较新附属ISO22412:2008)计算的直径。在如每个实例中所描述的pH下针对经稀释粒子进行粒径测量。
ζ电位:以如上文所定义的方式通过马尔文泽塔斯则仪器测量指定组合物的ζ电位。针对使用pH 4.5的溶液将其稀释到2%w/w二氧化硅的组合物进行ζ电位的测量,所述组合物处于或接近pH 4.5。
去除速率测试:用水将浆料浓缩物稀释到2%w/w以进行去除速率测试,无后续pH调节。除非另外说明,否则AMAT ReflexionTM LK抛光机(加利福尼亚州圣克拉拉的应用材料公司)以6.9kPa(1psi)和20.7kPa(3psi)的下压力且工作台速度93rpm且衬底载体速度87rpm运行。为了测试性能,以300mL/min的流动速率抛光TEOS/SiN/多晶Si晶片。除非另外说明,否则使用具有K7+R32凹槽图案的80密耳厚的肖氏D硬度为57的IC1000TM氨基甲酸酯垫(马萨诸塞州米德兰的陶氏化学公司(The Dow Chemical Company,Midland,MI),(陶氏))。
实例1:羧酸盐对多种羧酸的作用
上述表C中指定的浆料组合物的去除速率测试在以下表1中指明。
表1:去除速率测试
Figure BDA0001784772720000131
如上述表1中所示,相比于参考琥珀酸,所选寡聚羧酸中无一者产生改进的选择性。
实例2:各种酸性化合物的作用
上述表C中指定的组合物的去除速率测试在以下表2中指明。以与上文所指示相同的方式制得配制品,其具有3:1浆料B:浆料A的固体重量掺合物。如上文所指示测试去除速率;且以kPa计的下压力在以下表2中指明。
表D:更多配制品
(所有比例单位都是以固体计的pbw)
Figure BDA0001784772720000132
表2:去除速率测试
Figure BDA0001784772720000141
如上述表2中所示,实例7的本发明水性CMP抛光组合物,其具有烟碱酸作为胺杂环羧酸,产生约~35%选择性改进,抑制氮化物去除
实例3:更多CMP抛光配制品
如上文针对去除速率所指示测试以下表E中指示的配制品;且以kPa计的下压力和结果在以下表3中指示。
表E:更多配制品
(所有比例单位都是以固体计的pbw)
Figure BDA0001784772720000142
表3:去除速率性能
Figure BDA0001784772720000143
如上述表3中所示,含有胺杂环羧酸的实例11的浆料组合物促进氧化物:氮化物选择性改良。与吡啶羧酸(吡啶单羧酸)相比,比较实例12和13中两个测试的吡啶二羧酸使得氮化物去除速率提高,而不是抑制其。

Claims (11)

1.一种水性化学机械平坦化(CMP)抛光组合物,其包含一种或多种具有一个或多个在胶态二氧化硅粒子的表面上的氨基硅烷基团的胶态二氧化硅粒子分散体的研磨剂,其中多个胶态二氧化硅粒子的一种或多种分散体在所述组合物的pH下具有+5到+50mV的ζ电位;以及至少一个胺杂环羧酸,其具有2.5到5的等电点(pI),所述组合物具有2.5到5.3的pH,且进一步其中,呈固体形式的研磨剂粒子的量在以所述组合物的总重量计0.01到20wt.%范围内。
2.根据权利要求1所述的水性化学机械平坦化(CMP)抛光组合物,其中所述胶态二氧化硅粒子分散体中的至少一种包含细长、弯曲或结节状胶态二氧化硅粒子或其与一种或多种球形胶态二氧化硅粒子分散体的混合物。
3.根据权利要求2所述的水性化学机械平坦化(CMP)抛光组合物,其中所述研磨剂包含多个含有阳离子氮原子的细长、弯曲或结节状胶态二氧化硅粒子分散体的混合物或其与多个球形胶态二氧化硅粒子分散体的混合物,其中所述细长、弯曲或结节状胶态二氧化硅粒子分散体的量在以所述研磨剂的总固体重量计50到99.9wt.%范围内。
4.根据权利要求1所述的水性化学机械平坦化(CMP)抛光组合物,其中所述胺杂环羧酸具有3到4.5的等电点(pI)。
5.根据权利要求1所述的水性化学机械平坦化(CMP)抛光组合物,其中所述氨基硅烷基团选自含有一个或多个叔胺基或一个或多个仲胺基或一个或多个伯胺基的氨基硅烷。
6.根据权利要求5所述的水性化学机械平坦化(CMP)抛光组合物,其中氨基硅烷的量在以所述水性CMP抛光组合物中的总二氧化硅固体计0.0010到0.5wt.%范围内。
7.根据权利要求1所述的水性化学机械平坦化(CMP)抛光组合物,其中含有一种或多种胺杂环羧酸的化合物选自含N杂环单羧酸。
8.根据权利要求7所述的水性化学机械平坦化(CMP)抛光组合物,其中所述胺杂环羧酸选自烟碱酸、吡啶羧酸或异烟酸。
9.根据权利要求1所述的水性化学机械平坦化(CMP)抛光组合物,其中所述胺杂环羧酸的量在以所述水性CMP抛光组合物中的总二氧化硅固体计10到5000ppm范围内。
10.根据权利要求1所述的水性化学机械平坦化(CMP)抛光组合物,其用于抛光含有介电质或氧化物的衬底,其中所述组合物基本上不包含氧化剂化合物。
11.根据权利要求1所述的水性化学机械平坦化(CMP)抛光组合物,其进一步包含一种或多种含有两个季铵基的化合物。
CN201811009708.8A 2017-09-28 2018-08-31 用于浅沟槽隔离的水性低研磨剂二氧化硅浆料和胺羧酸组合物以及其制造和使用方法 Active CN109593473B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/718,998 US10508221B2 (en) 2017-09-28 2017-09-28 Aqueous low abrasive silica slurry and amine carboxylic acid compositions for use in shallow trench isolation and methods of making and using them
US15/718998 2017-09-28

Publications (2)

Publication Number Publication Date
CN109593473A CN109593473A (zh) 2019-04-09
CN109593473B true CN109593473B (zh) 2021-04-27

Family

ID=65808936

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811009708.8A Active CN109593473B (zh) 2017-09-28 2018-08-31 用于浅沟槽隔离的水性低研磨剂二氧化硅浆料和胺羧酸组合物以及其制造和使用方法

Country Status (5)

Country Link
US (1) US10508221B2 (zh)
JP (1) JP7274845B2 (zh)
KR (1) KR20190037109A (zh)
CN (1) CN109593473B (zh)
TW (1) TWI778120B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7458732B2 (ja) 2019-09-30 2024-04-01 株式会社フジミインコーポレーテッド 研磨用組成物および磁気ディスク基板製造方法
KR20210095465A (ko) * 2020-01-23 2021-08-02 삼성에스디아이 주식회사 텅스텐 패턴 웨이퍼 연마용 cmp 슬러리 조성물 및 이를 이용한 텅스텐 패턴 웨이퍼의 연마 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101802125A (zh) * 2007-09-21 2010-08-11 卡伯特微电子公司 使用经氨基硅烷处理的研磨剂颗粒的抛光组合物和方法
CN105612222A (zh) * 2013-10-22 2016-05-25 陶氏环球技术有限责任公司 水性涂料组合物和其制造方法
CN106103639A (zh) * 2014-03-11 2016-11-09 嘉柏微电子材料股份公司 用于钨化学机械抛光的组合物
CN106661431A (zh) * 2014-06-25 2017-05-10 嘉柏微电子材料股份公司 铜阻挡物的化学机械抛光组合物

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7316603B2 (en) 2002-01-22 2008-01-08 Cabot Microelectronics Corporation Compositions and methods for tantalum CMP
JP4954462B2 (ja) 2004-10-19 2012-06-13 株式会社フジミインコーポレーテッド 窒化シリコン膜選択的研磨用組成物およびそれを用いる研磨方法
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7531105B2 (en) 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US8759216B2 (en) 2006-06-07 2014-06-24 Cabot Microelectronics Corporation Compositions and methods for polishing silicon nitride materials
JP5646996B2 (ja) 2007-09-21 2014-12-24 キャボット マイクロエレクトロニクス コーポレイション 研磨組成物およびアミノシランを用いて処理された研削剤粒子の使用方法
JP5467804B2 (ja) 2008-07-11 2014-04-09 富士フイルム株式会社 窒化ケイ素用研磨液及び研磨方法
KR101626179B1 (ko) 2008-09-26 2016-05-31 후소카가쿠코교 가부시키가이샤 굴곡 구조 및/또는 분지 구조를 갖는 실리카 이차 입자를 함유하는 콜로이달 실리카 및 그의 제조 방법
SG10201401549SA (en) 2009-06-22 2014-06-27 Cabot Microelectronics Corp CMP Compositions And Methods For Suppressing Polysilicon Removal Rates
US8492277B2 (en) 2010-03-16 2013-07-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc Method of polishing a substrate comprising polysilicon and at least one of silicon oxide and silicon nitride
JP2012028747A (ja) 2010-06-24 2012-02-09 Hitachi Chem Co Ltd Cmp研磨液及び基板の研磨方法
US8513126B2 (en) * 2010-09-22 2013-08-20 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Slurry composition having tunable dielectric polishing selectivity and method of polishing a substrate
TWI573864B (zh) 2012-03-14 2017-03-11 卡博特微電子公司 具有高移除率及低缺陷率之對氧化物及氮化物有選擇性之cmp組成物
US8778212B2 (en) 2012-05-22 2014-07-15 Cabot Microelectronics Corporation CMP composition containing zirconia particles and method of use
US8906252B1 (en) 2013-05-21 2014-12-09 Cabot Microelelctronics Corporation CMP compositions selective for oxide and nitride with high removal rate and low defectivity
US9303189B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
SG11201610329PA (en) 2014-06-25 2017-01-27 Cabot Microelectronics Corp Methods for fabricating a chemical-mechanical polishing composition
US9631122B1 (en) 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant
US9803108B1 (en) * 2016-10-19 2017-10-31 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Aqueous compositions of stabilized aminosilane group containing silica particles

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101802125A (zh) * 2007-09-21 2010-08-11 卡伯特微电子公司 使用经氨基硅烷处理的研磨剂颗粒的抛光组合物和方法
CN105612222A (zh) * 2013-10-22 2016-05-25 陶氏环球技术有限责任公司 水性涂料组合物和其制造方法
CN106103639A (zh) * 2014-03-11 2016-11-09 嘉柏微电子材料股份公司 用于钨化学机械抛光的组合物
CN106661431A (zh) * 2014-06-25 2017-05-10 嘉柏微电子材料股份公司 铜阻挡物的化学机械抛光组合物

Also Published As

Publication number Publication date
US10508221B2 (en) 2019-12-17
KR20190037109A (ko) 2019-04-05
TW201915122A (zh) 2019-04-16
CN109593473A (zh) 2019-04-09
US20190092970A1 (en) 2019-03-28
JP2019070113A (ja) 2019-05-09
TWI778120B (zh) 2022-09-21
JP7274845B2 (ja) 2023-05-17

Similar Documents

Publication Publication Date Title
US10119048B1 (en) Low-abrasive CMP slurry compositions with tunable selectivity
KR102488753B1 (ko) 낮은 연마제 실리카 입자의 수성 조성물
JP7274844B2 (ja) 浅溝分離に使用するための水性シリカスラリー及びアミンカルボン酸組成物並びにその使用方法
US10822524B2 (en) Aqueous compositions of low dishing silica particles for polysilicon polishing
CN109593473B (zh) 用于浅沟槽隔离的水性低研磨剂二氧化硅浆料和胺羧酸组合物以及其制造和使用方法
KR102649773B1 (ko) 얕은 트렌치 분리에서의 사용을 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법
CN109423213B (zh) 用于浅沟槽隔离的水性硅石浆料组合物和其使用方法
EP3400266B1 (en) Method of polishing a low-k substrate

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant