KR102649773B1 - 얕은 트렌치 분리에서의 사용을 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법 - Google Patents

얕은 트렌치 분리에서의 사용을 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법 Download PDF

Info

Publication number
KR102649773B1
KR102649773B1 KR1020180063342A KR20180063342A KR102649773B1 KR 102649773 B1 KR102649773 B1 KR 102649773B1 KR 1020180063342 A KR1020180063342 A KR 1020180063342A KR 20180063342 A KR20180063342 A KR 20180063342A KR 102649773 B1 KR102649773 B1 KR 102649773B1
Authority
KR
South Korea
Prior art keywords
composition
polishing
polishing composition
colloidal silica
copolymer
Prior art date
Application number
KR1020180063342A
Other languages
English (en)
Other versions
KR20180137406A (ko
Inventor
코주크흐 줄리아
모슬리 데이비드
쿠마 펜타 나레시
반 하네헴 매튜
케이. 레디 칸찰라-아룬
Original Assignee
롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 filed Critical 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드
Publication of KR20180137406A publication Critical patent/KR20180137406A/ko
Application granted granted Critical
Publication of KR102649773B1 publication Critical patent/KR102649773B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/34Silicon-containing compounds
    • C08K3/36Silica
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/06Other polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G1/00Control arrangements or circuits, of interest only in connection with cathode-ray tube indicators; General aspects or details, e.g. selection emphasis on particular characters, dashed line or dotted line generation; Preprocessing of data
    • G09G1/04Deflection circuits ; Constructional details not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/50Improvements relating to the production of bulk chemicals
    • Y02P20/582Recycling of unreacted starting or intermediate materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Composite Materials (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Remote Sensing (AREA)
  • Theoretical Computer Science (AREA)
  • Radar, Positioning & Navigation (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Silicon Compounds (AREA)

Abstract

본 발명은, 조성물의 총 중량을 기준으로 0.5 내지 30 wt.%의, 양이온성 질소 원자를 함유하는 복수의 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자의 분산액, 및 0.001 내지 0.5 wt.%, 바람직하게는 10 내지 500 ppm의 디알릴디메틸암모늄 염, 예컨대 디알릴디메틸암모늄 할라이드의 양이온성 코폴리머를 포함하는, 수성 CMP 연마 조성물을 제공하며, 여기서, 상기 조성물은 1 내지 4.5의 pH를 갖는다. 바람직하게는, 디알릴디메틸암모늄 염의 양이온성 코폴리머는 디알릴디메틸암모늄 클로라이드(DADMAC)와 이산화황의 코폴리머를 포함한다. 슬러리 조성물은 질화물 및 실리콘 패턴을 갖는 패턴 웨이퍼의 CMP 연마에서 우수한 산화물 선택성을 입증한다.

Description

얕은 트렌치 분리에서의 사용을 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법{AQUEOUS SILICA SLURRY COMPOSITIONS FOR USE IN SHALLOW TRENCH ISOLATION AND METHODS OF USING THEM}
본 발명은 양이온성 질소 원자를 함유하는 복수의 구형 콜로이드성 실리카 입자, 또는 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자 또는 이들의 혼합물의 1종 이상의 분산물, 및 디알릴디메틸암모늄 염, 예컨대 디알릴디메틸암모늄 클로라이드 (DADMAC)와 이산화황의 코폴리머를 포함하는, 수성 화학 기계적 평탄화 (chemical mechanical planarization: CMP) 연마 조성물에 관한 것으로, 상기 조성물은 1 내지 4.5의 pH를 갖는다.
프론트 엔드 오브 라인 (front-end-of-line: FEOL) 반도체 프로세싱에서, 얕은 트렌치 분리 (shallow trench isolation: STI)는 트랜지스터의 형성 전과 같은 집적 회로 제조에서 게이트의 형성에 중요하다. STI에서, 유전체, 예컨대 테트라에틸 오르토실리케이트 (TEOS) 또는 이산화규소는, 실리콘 웨이퍼에서 형성된 개구, 예를 들어, 질화규소 (SiN) 배리어에 의해 집적 회로의 나머지로부터 분리되는 트렌치 또는 분리 영역에 과도하게 침착된다. 그 후 CMP 공정을 사용하여 과도한 유전체를 제거함으로써 미리 결정된 유전체 패턴이 실리콘 웨이퍼에서 상감되는 (inlaid) 구조를 만든다. STI를 위한 CMP는 분리 영역으로부터 이산화규소 과부하물 (overburden)의 제거 및 평탄화를 필요로 하며, 이로 인해 이산화규소-충전된 트렌치와 동일평면상 (coplanar) 표면에 있게 된다. STI에서, 다운스트림 프로세싱에서 질화물 하드 마스크의 후속적인 제거를 허용하도록, 질화규소 필름 표면에서 이산화규소 또는 산화물을 제거해야 한다. 허용 가능한 산화물:질화물 제거율 비는 하부 Si 활성 영역에 대한 손상을 방지하고 모든 패턴 밀도에서 산화물을 제거하도록 과연마 (overpolish) 마진을 제공하는 데 필요하다. 또한, 완성된 게이트에서 낮은 임계 전압 누설을 방지하기 위해 임의의 트렌치에서의 산화물의 디싱 (dishing)은 피해야 한다.
현재, 기판을 연마하기 위해 CMP 연마 패드와 함께 사용되는 수성 화학 기계적 평탄화 연마 (CMP 연마) 조성물의 사용자는 세리아 함유 CMP 연마 조성물의 사용을 피하길 원한다. 세리아 슬러리는 질화규소 위의 이산화규소에 대한 높은 선택성을 나타내며, 질화규소의 노출시 트렌치 영역에서 산화물의 제거를 피하지만, 비용이 많이 들고, 제거율 (RR) 및 공정 안정성과 관련하여 문제가 있고, 연마 동안에 결함을 야기하는 경향이 있다. 실리카 슬러리 제형은 더 저렴함 비용, 결함이 없는 해결책을 제공하지만, 현재까지, 만족스럽지 못한 산화물 디싱 제어와 STI 응용에 사용하기에 불충분한 산화물:질화물 선택성을 겪고 있다.
Grumbine 등의 미국 특허 제9,303,188 B2호는 텅스텐 층을 갖는 기판을 연마하기 위한 화학 기계적 연마 조성물을 개시하며, 상기 조성물은 수계 액체 캐리어, 양이온으로 하전된 콜로이드 실리카 연마제 및 액체 캐리어 내 용액 중의 폴리양이온성 아민 화합물을 포함한다. 상기 조성물은 폴리아민으로부터 선택된 폴리머 및 디알릴디메틸암모늄 클로라이드와 같은 아민 작용기를 함유하는 폴리머에 기반한 아민을 포함할 수 있다. 상기 조성물은 허용 가능한 산화물 디싱 제어와 STI 응용에 사용하기에 불충분한 산화물:질화물 선택성을 나타내지 않는다.
본 발명자들은 허용 가능한 산화물 디싱 제어 및 STI 응용에 사용하기 위한 산화물:질화물 선택성을 가능하게 하는 수성 실리카 슬러리를 제공하는 문제 뿐만 아니라 슬러리를 사용하는 방법을 해결하기 위해 노력해 왔다.
1. 본 발명에 따라, 양이온성 질소 원자를 함유하는 복수의 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자, 예를 들어, 평균 입자에 대해 1.8:1 내지 3:1의 입자의 가장 긴 치수 대 가장 긴 치수에 수직인 직경의 종횡비를 갖는 것들, 또는 구형 콜로이드성 실리카 입자와의 혼합물의 분산물 및 0.001 내지 0.5 wt.% 또는, 바람직하게는, 10 내지 500 ppm의 디알릴디메틸암모늄 염, 바람직하게는, 할라이드 염의 양이온성 코폴리머, 예컨대 디알릴디메틸암모늄 할라이드 (DADMAC)와 이산화황의 코폴리머를 포함하는, 수성 화학 기계적 평탄화 연마 (CMP 연마) 조성물로서, 상기 조성물은 1 내지 4.5의 pH 또는, 바람직하게는, 2.5 내지 4.3의 pH를 가지며, 추가로, 상기 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자의 분산물의 양은 고체로서 상기 조성물의 총 중량을 기준으로 0.5 내지 30 wt.%, 또는, 바람직하게는, 1 내지 25 wt.%, 또는, 더욱바람직하게는, 1 내지 20 wt.%의 범위인, 수성 CMP 연마 조성물.
2. 상기 항목 1에 기재된 수성 CMP 연마 조성물에 따라, 상기 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자의 분산물의 양은 상기 조성물 중의 콜로이드성 실리카 입자의 총 고체 중량을 기준으로 80 내지 99.9 wt.%, 또는, 바람직하게는, 95 내지 99.9 wt.%의 범위인, 수성 CMP 연마 조성물.
3. 상기 항목 1 또는 2 중 어느 하나에 기재된 수성 CMP 연마 조성물에 따라, 상기 콜로이드성 실리카 입자의 분산물 중의 콜로이드성 실리카 입자의 중량 평균 입자 크기 (CPS) 또는 이들의 혼합물 중의 그러한 입자 크기의 가중 평균 (weighted average)은 10 nm 내지 200 nm, 또는, 바람직하게는, 25 nm 내지 80 nm의 범위인, 수성 CMP 연마 조성물.
4. 상기 항목 1, 2 또는 3 중 어느 하나에 기재된 수성 CMP 연마 조성물에 따라, 상기 디알릴디메틸암모늄 염, 바람직하게는, 할라이드 염과 이산화황의 양이온성 코폴리머는 45 내지 55 몰% 또는, 바람직하게는, 48 내지 52 몰%의 디알릴디메틸암모늄 염과 45 내지 55 몰% 또는, 바람직하게는, 48 내지 52 몰%의 이산화황의 코폴리머를 포함하는, 수성 CMP 연마 조성물.
5. 상기 항목 1, 2, 3, 또는 4 중 어느 하나에 기재된 수성 CMP 연마 조성물에 따라, 상기 디알릴디메틸암모늄 염, 바람직하게는, 할라이드 염과 이산화황의 양이온성 코폴리머는 1,000 내지 15,000 또는, 바람직하게는, 2,000 내지 12,000의 중량 평균 분자량을 갖는, 수성 CMP 연마 조성물.
6. 본 발명의 또 다른 양태에 따르면, 상기 수성 CMP 연마 조성물을 사용하는 방법은 상기 항목 1 내지 5 중 어느 하나에 기재된 CMP 연마 패드 및 수성 CMP 연마 조성물로 기판을 연마하는 단계를 포함하는, 방법.
7. 상기 항목 6에 기재된 본 발명의 방법에 따라, 상기 기판은 이산화규소 또는 테트라에틸 오르토실리케이트 (TEOS) 및 SiN 또는 Si3N4 또는 이들의 혼합물로서 규소 질화물 둘 다를 포함하고, 상기 연마는 적어도 3:1, 예를 들어, 3:1 내지 25:1 또는, 바람직하게는, 8:1 내지 18:1, 예를 들어, 적어도 8:1의 산화물:질화물 제거율 비를 초래하는, 방법.
8. 상기 항목 6 또는 7 중 어느 하나의 기판을 연마하기 위한 본 발명의 방법에 따라, 상기 연마 다운포스 (downforce)는 6.9 kPa (1 psi) 내지 41.5 kPa (6 psi) 또는, 바람직하게는, 12 kPa (1.8 psi) 내지 36 kPa (5.2 psi)의 범위인, 방법.
9. 상기 항목 6, 7 또는 8 중 어느 하나의 기판을 연마하기 위한 본 발명의 방법에 따라, 상기 CMP 연마 조성물은 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자의 분산물의 총 고체 함량을 총 0.5 내지 5 wt.%, 또는, 바람직하게는, 1 내지 3 wt.% 포함하는, 방법.
상기 CMP 연마 조성물은 농축물로서 저장 및 선적하며, 그 후 기판을 연마할 때에 물로 희석될 수 있다.
달리 나타내지 않는 한, 온도 및 압력 조건은 주위 온도 및 표준 압력이다. 열거된 모든 범위는 포괄적이며 조합 가능하다.
달리 나타내지 않는 한, 괄호를 포함하는 임의의 용어는, 대안적으로, 괄호가 없는 것처럼 전체 용어를, 그리고 괄호가 없는 용어와 각 대안의 조합을 언급한다.
모든 범위는 포괄적이며 조합 가능하다. 예를 들어, 용어 "50 내지 3000 cPs, 또는 100 또는 그 초과의 cPs"는 50 내지 100 cPs, 50 내지 3000 cPs 및 100 내지 3000 cPs를 각각 포함할 것이다.
본원에 사용된 바와 같은 용어 "ASTM"은 펜실베니아주 웨스트 콘쇼호켄 소재의 ASTM International의 간행물을 언급한다.
본원에 사용된 바와 같은 용어 "콜로이드상으로 안정한"은 주어진 조성물이 겔화되거나 침전되지 않고 주어진 시간 후에 그리고 주어진 온도에서 가시적인 검사시에 투명하게 남아 있음을 의미한다.
본원에 사용된 바와 같은 용어 "경질 염기"는 알칼리(알칼린 토) 금속 수산화물을 포함하는 금속 수산화물, 예컨대 NaOH, KOH, 또는 Ca(OH)2를 언급한다.
본원에 사용된 바와 같은 용어 "ISO"는 스위스 제네바 소재의 국제 표준화 기구 (International Organization for Standardization)의 간행물을 언급한다.
본원에 사용된 바와 같은 용어 "입자 크기 (CPS)"는 CPS Instruments (The Netherlands) 디스크 원심분리 시스템에 의해 결정된 조성물의 중량 평균 입자 크기를 의미한다. 입자는 용매에서 원심력을 사용하여 크기 별로 분리되고 광학 광산란을 사용하여 정량화된다.
본원에 사용된 바와 같은 용어 "쇼어 D 경도"는 ASTM D2240-15 (2015), "고무 특성, 경도계 경도에 대한 표준 시험 방법"에 따라 측정된 주어진 재료의 2 초 경도이다. 경도는 D 프로브가 장착된 Rex 하이브리드 경도 시험기 (Rex Gauge Company, Inc., 일리노이주 버팔로 그루브 소재)에서 측정되었다. 6개의 샘플을 각각의 경도 측정을 위해 적층하고 셔플링하였고(shuffled); 시험된 각각의 패드는 시험 전에 23 ℃에서 5일 동안 50 % 상대 습도에 위치시키고 경도 시험의 반복성을 향상시키기 위해 ASTM D2240-15 (2015)에 요약된 방법을 사용하여 컨디셔닝하였다. 본 발명에서, 연마 층 또는 패드의 폴리우레탄 반응 생성물의 쇼어 D 경도는 그 반응 생성물의 쇼어 D 경도를 포함한다.
본원에 사용된 바와 같은 용어 "실리카 입자 고체" 또는 "실리카 고체"는, 주어진 조성물에 대해, 구형 콜로이드성 실리카 입자의 총량 + 임의의 이들 입자들이 처리된 모든 것을 포함하여, 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자의 총량을 의미한다.
본원에 사용된 바와 같은 용어 "고체"는 물리적 상태에 상관 없이 사용 조건에서 휘발하지 않는 물 또는 암모니아 이외의 임의의 물질을 의미한다. 따라서, 사용 조건에서 휘발하지 않는 액체 실란 또는 첨가제는 "고체"로 간주된다.
본원에 사용된 바와 같은 용어 "강산"은 2 이하의 pKa를 갖는 양성자성 산, 예컨대 황산 또는 질산과 같은 무기산을 언급한다.
본원에 사용된 바와 같은 용어 "사용 조건"은 사용 동안에 또는 사용 결과로서 온도와 압력의 증가를 포함하여 주어진 조성물이 사용되는 온도와 압력을 의미한다.
본원에 사용된 바와 같은 용어 "중량 분율 실리카"는 조성물의 총 중량/100 %를 기준으로 실리카의 총 wt.%를 의미한다. 따라서, 30 wt.%의 실리카는 0.3의 중량 분율과 동일하다.
본원에 사용된 바와 같은 용어 "가중 평균"은 각각 고체 중량 분율을 곱하여 발생하는 상이한 조성물 (예를 들어, 구형 콜로이드성 실리카 입자의 분산물 및 세장형 콜로이드성 실리카 입자)로부터의 2개 이상의 측정치 (예를 들어, 평균 입자 크기 또는 분자량)의 평균을 의미하며, 여기서 총 고체 중량 분율은 1 (1.00)까지 합한다.
본원에 사용된 바와 같은 용어 "wt.%"는 중량%를 나타낸다.
본원에 사용된 바와 같은 용어 "세장형, 굽은 또는 구상의 콜로이드성 실리카 입자"는, 당업자에게 공지된 임의의 방법, 예컨대 투과 전자 현미경 (TEM)에 의해 결정된 바와 같이 또는 입자의 분산물의 제조자에 의해 보고된 바와 같이, 평균 입자에 있어서 1.8:1 내지 3:1의 가장 긴 치수 대 가장 긴 치수에 수직인 직경의 종횡비를 갖는 콜로이드성 실리카 입자를 언급한다.
본 발명자들은 놀랍게도, 양이온성 전하를 갖는 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자의 분산물, 및 조성물의 총 중량을 기준으로 0.5 wt.% 이하의, 디알릴디메틸암모늄 염, 예컨대 할로겐화물 또는 암모늄 염과 이산화황의 양이온성 인터폴리머의 수성 CMP 연마 조성물은 기판, 예컨대 얕은 트렌치 분리 (STI) 프로세싱을 거친 실리콘 웨이퍼의 평탄화 또는 연마하는데 특히 매우 적합하다는 것을 밝혀냈다. 블랭킷 실리콘 웨이퍼에 대한 압력 반응 특성은 이러한 슬러리가 비-프레스토니안 방식 (non-Prestonian manner)으로 산화규소를 연마하는 것으로 나타났다: 산소 제거율은 낮은 다운-포스에서는 무시할 수 있으며 "턴 온 (turn on)" 압력보다 높은 압력에서 다운-포스가 증가함에 따라 증가한다. 그러한 비-프레스토니안 산화물 RR (y 축) 대 다운-포스 (x 축) 곡선의 x-절편은 0이 아니다. 본 발명의 수성 CMP 연마 조성물은 만족스러운 제거율로 이산화규소의 CMP 연마를 가능하게 하고, 블랭킷 및 패턴 웨이퍼 둘 다의 상에서 규소 질화물 위의 규소 산화물에 대한 허용 가능한 선택성을 제공한다. 가장 중요한 것은, 상기 조성물은 다른 실리카 슬러리에 비해 시간이 경과함에 따라 트렌치 산화물 손실 및 디싱을 개선할 수 있다는 것이다.
본 발명에 따라, 적합한 콜로이드성 실리카 조성물은, 구형 콜로이드성 실리카 입자를 포함할 수 있는 분포 또는 혼합에서 복수의 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자를 생성하도록 통상적인 졸 겔 중합에 의해 또는 물 유리의 현탁액 중합에 의해 제조된 실리카의 분산물을 포함할 수 있다.
세장형, 굽은 또는 구상의 콜로이드성 실리카 입자의 적합한 분산물은 전구체, 예컨대 테트라에톡시실란 (TEOS) 또는 테트라메톡시실란 (TMOS)으로부터 공지된 방식으로 형성된 실라놀의 가수분해성 축합에 의한 현탁 중합으로부터 제조된다. 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자의 제조 방법은 공지되어 있으며, 예를 들어, Higuchi 등의 미국 특허 제8,529,787호에서 발견할 수 있다. 가수분해성 축합은 염기성 촉매, 예컨대 알킬암모늄 수산화물, 알콕시알킬 아민, 예컨대 에톡시프로필아민 (EOPA), 알킬아민 또는 KOH, 바람직하게는, 테트라메틸암모늄 수산화물의 존재하에 수성 현탁액 중에서 전구체를 반응시킴을 포함한다; 가수분해성 축합 공정은 1종 이상의 양이온성 질소 원자를 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자에 혼입시킬 수 있다. 바람직하게는, 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자는 4 이하의 pH에서 양이온성이다.
굽은 또는 구상의 콜로이드성 실리카 입자의 적합한 분산물은 상표명 HL-2, HL-3, HL-4, PL-2, PL-3 또는 BS-2 및 BS-3 슬러리로 일본 오사카 소재의 Fuso Chemical Co., Ltd. (Fuso)로부터 입수 가능하다. Fuso로부터의 HL 및 BS 시리즈 입자는 pH 4 이하에서 양이온성 전하를 부여하는 1종 이상의 질소 원자를 함유한다.
본 발명의 수성 CMP 연마 조성물의 콜로이드성 안정성을 보장하기 위해, 조성물은 1 내지 4.5 또는, 바람직하게는 2.5 내지 4 범위의 pH를 갖는다. 조성물은 원하는 pH 범위 이상에서는 이들의 안정성을 잃는 경향이 있다.
본 발명의 양이온성 디알릴디메틸암모늄 염과 이산화황 코폴리머는 선택성 및 연마시의 디싱 방지를 돕는다. 양이온성 코폴리머의 양은 조성물의 총 중량을 기준으로 최대 0.5 wt.% 범위이다. 너무 많은 양이온성 코폴리머는 기판의 유전체 또는 실리카 표면을 부동태화시킬 수 있다.
본 발명의 양이온성 코폴리머는 극성 용매, 예컨대 물 중에서 산, 예컨대 염산 또는 글리콜산 및 라디칼 중합 개시제, 예컨대 과황산암모늄의 존재하에 또는 이의 부재하에 부가 중합에 의해 제조될 수 있다. 그러한 중합 방법은, 예를 들어, Yusuke 등의 미국 특허 제9,006,383 B2호에 상술되어 있다.
본 발명의 수성 CMP 연마 조성물은 pH 조절제, 예컨대 무기산, 예를 들어, 질산, 또는 유기산, 예컨대 시트르산을 포함할 수 있다.
본 발명의 수성 CMP 연마 조성물은 다른 양이온성 첨가제, 예컨대 폴리아민을 총 고체를 기준으로 최대 1 wt.%의 양으로 포함할 수 있다.
적합한 첨가제는 또한, 예를 들어, 4급 암모늄 화합물 및 다이4급 (diquaternary) 암모늄 화합물, 예를 들어, N,N,N,N',N',N'-헥사부틸-1,4-부탄디암모늄 디하이드록사이드, 98 wt.% (Sachem, 텍사스주 오스틴 소재); 및 양이온성 아미노실란, 예를 들어, N-(2-아미노에틸)-3-아미노프로필트리메톡시실란, 98 % (Gelest Inc., 펜실베니아주 모리스빌 소재) 또는 N,N-디에틸아미노메틸)트리에톡시실란, 98 % (Gelest Inc.)를 포함할 수 있다.
바람직하게는, 수성 CMP 연마 조성물은 필수적으로 디알릴디메틸 암모늄 염과 양이온성 연마제의 본 발명의 코폴리머로 이루어지며, 연마제 성분 또는 코폴리머와 추가로 상호작용하는 물질을 포함하지 않는다. 그러한 조성물은 바람직하게는 실리카와 상호작용하는 디4급 암모늄 화합물을 포함하지 않으며; 이들은 코폴리머와 상호작용하는 음이온성 화합물 및 비이온성 계면활성제를 포함하지 않는다. 수성 CMP 연마 조성물은 물 또는 물과 혼화성인 또 다른 액체로 희석될 수 있다.
바람직하게는, 본 발명의 CMP 연마는, 바람직하게는 질화규소가 실질적으로 제거되지 않고 이산화규소가 트렌치 내의 유전체 또는 이산화규소의 과도한 침식 또는 디싱 없이 적절하게 평탄화되도록 본 발명의 CMP 연마 조성물을 사용하여 STI 프로세싱에서 수행된다.
사용시에, 웨이퍼 기판의 STI 프로세싱은 질화규소 층이 침착되는 실리콘 기판을 제공하는 것을 포함한다. 포토리소그래피에 이어, 트렌치가 질화규소의 상부층을 포함하는 기판 상에 에칭되고, 과량의 유전체, 예를 들어, 이산화규소가 그 위에 침착된다. 그 후 기판은 질화규소의 표면층이 노출되지만 실질적으로 제거되지 않을 때까지 평탄화되어, 트렌치 내에 잔류하는 유전체 또는 산화규소가 질화규소의 에지와 대략 수평이다.
실시예 : 하기 실시예는 본 발명의 특징을 예시한다.
하기 실시예에서, 달리 나타내지 않는 한, 온도 및 압력 조건은 상온 또는 실온 및 표준 압력이다.
아래 표 A에 열거된 것을 포함하여 하기 물질이 하기 실시예에서 사용되었다:
표 A: 실리카 및 다른 연마제 입자
DiquatTM 첨가제: N,N,N,N',N',N'-헥사부틸-1,4-부탄디암모늄 디하이드록사이드, 98 wt.% (Sachem, 텍사스주 오스틴 소재);
슬러리 G: 2.39의 pH에서의 24 wt.% 고체 제형이며 20 wt.%의 슬러리 A 고체, 4 wt.%의 슬러리 B 고체, 0.2 wt.% DiquatTM 첨가제 및 0.112 wt.% HNO3을 함유한다. POU (6 %, 4x 희석)에서, pH는 ~ pH 3이었다.
코폴리머 1은 제조자 (PAS-A-1, 일본 후쿠시마 소재의 Nitto Boseke Co. Ltd)에 의해 보고된 바와 같이 중량 평균 분자량 (MW) (폴리에틸렌 글리콜 표준물을 사용하는 GPC)이 5,000인, DADMAC와 이산화황의 1:1 코폴리머이다;
폴리머 2는 중량 평균 분자량 (MW, GPC)이 8,500 (Nitto Boseke Co.)인 DADMAC의 호모폴리머이다.
슬러리 E: 세리아 슬러리, pH 5.2, 폴리아크릴산 분산물, 희석되지 않은 0.75 wt.% 세리아 고체, 사용된 1:3 희석.
슬러리 F: 중량 평균 MW 1800/시트르산/pH 3.3의 슬러리 C/67 ppm 폴리(아크릴산) (PAA)의 2 wt.% 고체 조성물; 및,
슬러리 C는 pH 4.5 이하에서 양으로 하전된다.
본 실시예에 사용된 다양한 콜로이드성 실리카 입자는 상기 표 A에 열거되어 있다.
하기 실시예에서는 하기 약어들이 사용되었다:
POU: 사용 시점; RR: 제거율;
하기 실시예에서는 하기 시험 방법들이 사용되었다:
POU에서의 pH: 사용 시점에서의 pH (POU에서의 pH)는 지시된 농축물 조성물을 지시된 고체 함량으로 물을 사용하여 희석한 후 제거율 시험 동안 측정한 것이었다.
CMP ( SP2xp ) 후 결함 카운트: 4개의 TEOS 웨이퍼가 각각의 슬러리에 대한 결함 모니터 웨이퍼로서 사용되었다. 각각의 결함 웨이퍼는 3 psi, 93/87 rpm 및 150 ml/분 슬러리 유량에서 60초 동안 연마하였다. 연마 후에, 웨이퍼를 CMP 후 결함 웨이퍼 맵을 얻기 위해 SurfscanTM SP2xp 계측 도구 (KLA-Tencor, 캘리포니아주 밀피타스 소재)에서 주사한 다음, 100개의 램덤 결함에 대한 자동 SEM 검토를 수행하였다. 넓은 개방 채널 설정 (즉, 결함 크기 제한 없음)을 갖는 Klarity Defect 소프트웨어 (KLA-Tencor, 캘리포니아주 밀피타스 소재)를 사용하여 각 웨이퍼에 대한 CMP 후 총 결함 카운트를 추출하였다. 결함 카운트는 가능한 한 낮아야 한다.
HF (불화수소산) 후 결함 카운트: CMP 후 결함 분석 후에, M3307-2949 VeecoTM HF 세정제 (Veeco, 펜실베니아주 호샴 소재)를 사용하여 주어진 기판 200 Å를 제거하기 위해 충분한 시간 동안 1.92 wt.% HF 용액에 웨이퍼를 노출시켰다. Surfscan SP2xp (KLA-Tencor)에서 웨이퍼를 다시-주사하여 HF 후 결함 웨이퍼 맵을 얻은 다음, 100개의 랜덤 결함에 대한 자동 SEM 검토를 수행하였다.
제거율: 제거율 시험에서, 20.7 kPa (3 psi) 다운-포스, 150 mL/분 슬러리 유량, 93 rpm 플래튼 속도 및 87 rpm 캐리어 속도에서, 아래 표 1에 정의된 CMP 연마 조성물을 사용하여, MIT 마스크 (SKW-3 웨이퍼, SKW, Inc. 캘리포니아주 산타 클라라 소재)를 사용하여 특정 특징 % (웨이퍼의 총 면적에 비해 웨이퍼 내의 활성 면적 또는 높은 면적에 상응함)를 갖는 STI 패턴 웨이퍼 기판을 연마하기 위해 IC1010TM 또는 다른 지시된 CMP 연마 패드 (The Dow Chemical Company, 미시간주 미들랜드 소재 (Dow))를 갖는 MirraTM (200 mm) 연마기 또는 "Mirra RR" (Applied Materials, 캘리포니아주 산타 클라라 소재) 연마 장치를 사용하였다. 연마 동안에, 100 % 원 위치 컨디셔닝을 사용하여 3.17 kg (7 lbf) 압력에서 KinikTM AD3CS-211250-1FN 컨디셔닝 디스크 (Kinik Company, 타이완)로 패드를 컨디셔닝하였다.
다단계 CMP 연마 - P1 ( 제1 단계 ) 및 P2 (후속 단계): CMP 연마는 제1 단계 또는 P1 공정에서 과부과물 고밀도 플라즈마 산화물 (HDP) 필름이 제거되도록 수행하였다. 필름은 VP6000TM 폴리우레탄 CMP 연마 패드 (Dow, 쇼어 D (2초) 경도: 53) 및 슬러리 E를 사용하고 연마 다운-포스 20.7 kPa (3 psi) 및 플래튼 속도 93 rpm을 적용하여 연마하였다. 웨이퍼의 중간 다이에서 50 % 패턴 밀도 (PD) 특징에 대한 완전한 평탄화가 달성되는 경우 P1 연마를 중단하였다. 이 시점에서 ~ 500 Å의 HDP 필름이 50 % 특징을 유지했다. 그러나, 10 % 및 20 % PD 특징과 같은 더 작은 특징에서는 HDP 필름이 완전히 제거되었고 하부 질화물 필름이 노출되었다. > 50 % PD를 갖는 특징은 여전히 질화물 필름 위에 상당한 유전체 필름을 가졌다. P2로 이동하기 전에, 웨이퍼로부터 세리아 입자를 제거하기 위해 OnTrak DSS-200 Synergy ™ 도구 (Lam Research, 캘리포니아주 프리몬트 소재)에서 SP100 세정 화학물질 (TMAH 함유)을 사용하여 패턴화된 웨이퍼를 세정하였다. 연마 다운-포스 20.7 kPa (3 psi) 및 플래튼 속도 93 rpm을 사용하여 1010TM 홈 디자인 (Dow) 및 지시된 슬러리 조성물을 갖는 ICTM 폴리우레탄 연마 패드 (Dow, 쇼어 D (2초) 경도: 70)를 사용하여 P2 연마를 수행하였다. 50 % 패턴 밀도 특징의 경우, 연마 종점은 HDP가 제거되고 질화물 필름이 노출된 시간으로 정의되었다. 트렌치 산화물 손실은 각 단계-연마 이벤트에 대해 50 % 패턴 밀도 특징에서 모니터링되었다. 100 % 패턴 밀도 특징에 대한 HDP 산화물 제거도 측정되었다. 과연마는 50 % 패턴 밀도 특징에서 질화규소가 노출된 후 100 % 특징에서 제거된 HDP 필름의 양으로 정의된다. 선택성은 100 % 특징에서 HDP 산화물 제거율의 비에 대한 질화규소 제거율의 비로서 계산되었다. 모든 유전체 필름 두께 및 제거율은 3 mm 에지 배제된 49 포인트 나선형 주사를 사용하여 KLA-TencorTM FX200 계측 도구 (KLA Tencor, 캘리포니아주 밀피타스 소재)를 사용하여 연마 전후의 필름 두께를 측정하여 결정되었다. 추가 연마 세부사항은 아래 표 B에 기재되어 있다.
표 B: 연마 파라미터
지시된 시간 간격 동안 또는 지시된 과연마 양 만큼 연마가 계속되었다. 아래 표 3, 4 및 5 각각에서, 성능 기준 A는 트렌치 산화물 손실 (Å)이다: 허용 가능한 트렌치 산화물 손실은 500 Å 과연마 양에서 250 Å 미만, 바람직하게는 500 Å 과연마 양에서 215 Å 미만이다; 성능 기준 B는 SiN 손실 (Å)이다: 허용 가능한 SiN 손실은 500 Å 과연마 양에서 200 Å 미만, 바람직하게는 500 Å 과연마 양에서 150 Å 미만이며; 성능 기준 A는 디싱 (Å)이다: 허용 가능한 디싱은 500 Å 과연마 양에서 200 Å 미만, 바람직하게는 500 Å 과연마 양에서 175 Å 미만이다.
달리 나타내지 않는 한, 연마된 기판은 블랭킷 웨이퍼 연구에 사용된 재순환된 테트라에톡실실리케이트 (TEOS) 웨이퍼 (TENR)였다.
표 1: 슬러리 제형 세부사항
실시예 1 - 결함 카운트: 아래 표 2에서, 기판은 테트라에틸 오르토실리케이트 (TEOS)로부터의 산화물 웨이퍼였다. 지시된 슬러리를 사용하여 60초 동안 연마를 수행하였다.
표 2: 결함 카운트
아래 표 2에 나타낸 바와 같이, CMP 연마 후의 결함 카운트는 본 발명의 양이온성 코폴리머가 없는 세리아 슬러리 (슬러리 E) 또는 슬러리 4로 동일한 방식으로 연마된 동일한 웨이퍼의 결함 카운트와 비교하여 급격하게 저하되었다.
실시예 2 - 패턴 웨이퍼 연마시 성능: 아래 표 3에서, 기판은 50 % PD 특징을 갖는 STI 웨이퍼였다. 지시된 슬러리를 사용하여 다단계로 연마를 수행하였다.
표 3: 양이온성 코폴리머를 사용한 연마 및 이를 사용하지 않은 연마
상기 표 3에 나타낸 바와 같이, 본 발명의 슬러리 조성물의 트렌치 산화물 손실 A, SiN 손실 B 및 디싱 C는 비교 실시예 2A에서 단지 세장형 양이온성 실리카 슬러리 C에 대비하여 시간이 경과함에 따라 급격하게 개선된다.
실시예 3: 특징 웨이퍼에 대한 더 많은 성능: 아래 표 4에서, 기판은 50 % PD 특징을 갖는 STI 웨이퍼였다. 지시된 슬러리를 사용하여 다단계로 연마를 수행하였다.
표 4: 양이온성 코폴리머를 사용한 연마
상기 표 4에 나타낸 바와 같이, 약간의 과연마에서, 본 발명의 슬러리 조성물의 트렌치 산화물 손실 A, SiN 손실 B 및 디싱 C가 허용 가능하다.
실시예 4: 다양한 패드를 사용한 연마: 아래 표 5에서, 2개의 상이한 패드를 사용하여 연마하기 위해 슬러리 2를 사용하였다. 기판은 50 % PD 특징을 갖는 STI 웨이퍼였다. 지시된 슬러리를 사용하여 다단계로 연마를 수행하였다.
표 5: 다양한 CMP 연마 패드를 사용한 연마
상기 표 5에 나타낸 바와 같이, 약간의 과연마에서, 본 발명의 슬러리 조성물 2의 트렌치 산화물 손실 A, SiN 손실 B 및 디싱 C는 모두 IC1010TM 패드 (Dow)를 사용하여 허용 가능하며 실시예 4B에서 약간 더 부드러운 패드를 사용하여 디싱 C가 개선된다.
비교 실시예 5: DADMAC 호모폴리머 첨가제 (중합체 2)를 사용하는 것을 제외하고는 실시예 2, 3 및 4에서와 같은 연마를 수행하였다.
표 6: 비교 폴리머 2 성능
상기 표 6에 나타낸 바와 같이, DADMAC의 호모폴리머는 DADMAC 코폴리머를 갖는 본 발명의 조성물의 연마 성능 근처 어디도 제공하지 못한다. 결과를 상기 표 2, 3 및 4의 결과와 비교한다.

Claims (10)

  1. 수성 화학 기계적 평탄화 연마 조성물로서,
    물;
    양이온성 질소 원자를 함유하는 복수의 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자의 분산물;
    황산 및 질산으로 이루어진 군으로부터 선택되는 산; 및
    10 내지 20 ppm의 디알릴디메틸암모늄 염의 양이온성 코폴리머;로 이루어지며,
    상기 디알릴디메틸암모늄 염의 양이온성 코폴리머가 디알릴디메틸암모늄 클로라이드와 이산화황의 코폴리머로 이루어지고,
    상기 조성물은 1 내지 4.5의 pH를 가지며, 또한
    상기 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자의 분산물의 양은 0.5 내지 30 wt.%의 범위이고, 모든 중량은 조성물의 총 중량을 기준으로 하는,
    수성 화학 기계적 평탄화 연마 조성물.
  2. 제1항에 있어서, 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자의 분산물이 1.8:1 내지 3:1의 종횡비를 갖고, 이 종횡비는 평균 입자에 대해 가장 긴 치수 대 가장 긴 치수에 수직인 직경의 비인, 수성 화학 기계적 평탄화 연마 조성물.
  3. 제1항에 있어서, 세장형, 굽은 또는 구상의 콜로이드성 실리카 입자의 분산물의 양은, 조성물 중의 콜로이드성 실리카 입자의 총 고체 중량을 기준으로, 80 내지 99.9 wt.%의 범위인, 수성 화학 기계적 평탄화 연마 조성물.
  4. 삭제
  5. 삭제
  6. ◈청구항 6은(는) 설정등록료 납부시 포기되었습니다.◈
    제1항에 있어서, 디알릴디메틸암모늄 염의 양이온성 코폴리머가 45 내지 55 몰%의 디알릴디메틸암모늄 클로라이드와 45 내지 55 몰%의 이산화황의 코폴리머로 이루어지는, 수성 화학 기계적 평탄화 연마 조성물.
  7. ◈청구항 7은(는) 설정등록료 납부시 포기되었습니다.◈
    제1항에 있어서, 디알릴디메틸암모늄 클로라이드와 이산화황의 코폴리머로 이루어진 디알릴디메틸암모늄 염의 양이온성 코폴리머가 1,000 내지 15,000의 중량 평균 분자량을 갖는, 수성 화학 기계적 평탄화 연마 조성물.
  8. ◈청구항 8은(는) 설정등록료 납부시 포기되었습니다.◈
    제1항에 있어서, 2.5 내지 4.3의 pH를 갖는 것인 수성 화학 기계적 평탄화 연마 조성물.
  9. ◈청구항 9은(는) 설정등록료 납부시 포기되었습니다.◈
    제1항 내지 제3항 및 제6항 내지 제8항 중 어느 한 항의 수성 화학 기계적 평탄화 연마 조성물을 사용하는 방법으로서, 기판을 화학 기계적 연마 패드 및 상기 수성 화학 기계적 평탄화 연마 조성물로 연마하는 단계를 포함하는 방법.
  10. ◈청구항 10은(는) 설정등록료 납부시 포기되었습니다.◈
    제9항에 있어서, 기판이 이산화규소 및 규소 질화물을 둘 다 포함하고, 연마의 결과, 산화물:질화물 제거율 비가 3:1 내지 25:1인, 방법.
KR1020180063342A 2017-06-16 2018-06-01 얕은 트렌치 분리에서의 사용을 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법 KR102649773B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201715625075A 2017-06-16 2017-06-16
US15/625,075 2017-06-16
US15/680,730 2017-08-18
US15/680,730 US10221336B2 (en) 2017-06-16 2017-08-18 Aqueous silica slurry compositions for use in shallow trench isolation and methods of using them

Publications (2)

Publication Number Publication Date
KR20180137406A KR20180137406A (ko) 2018-12-27
KR102649773B1 true KR102649773B1 (ko) 2024-03-20

Family

ID=64656093

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180063342A KR102649773B1 (ko) 2017-06-16 2018-06-01 얕은 트렌치 분리에서의 사용을 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법

Country Status (5)

Country Link
US (1) US10221336B2 (ko)
JP (1) JP7160570B2 (ko)
KR (1) KR102649773B1 (ko)
CN (1) CN109148282B (ko)
TW (1) TWI760494B (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10316218B2 (en) * 2017-08-30 2019-06-11 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Aqueous silica slurry compositions for use in shallow trench isolation and methods of using them
US20230094224A1 (en) * 2020-01-16 2023-03-30 Showa Denko Materials Co., Ltd. Polishing agent, stock solution for polishing agent, and polishing method
TW202346208A (zh) * 2022-03-31 2023-12-01 日商日揮觸媒化成股份有限公司 多孔二氧化矽粒子、包含其的研磨劑及化妝品以及其製造方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120156968A1 (en) * 2010-12-16 2012-06-21 Yosuke Kimura Polishing liquid composition for magnetic disk substrate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3195569B2 (ja) * 1997-08-11 2001-08-06 守 磯 繭型コロイダルシリカの製造方法
JP2002110596A (ja) 2000-10-02 2002-04-12 Mitsubishi Electric Corp 半導体加工用研磨剤およびこれに用いる分散剤、並びに上記半導体加工用研磨剤を用いた半導体装置の製造方法
US20020104269A1 (en) 2001-01-26 2002-08-08 Applied Materials, Inc. Photochemically enhanced chemical polish
US7004819B2 (en) 2002-01-18 2006-02-28 Cabot Microelectronics Corporation CMP systems and methods utilizing amine-containing polymers
US6866793B2 (en) 2002-09-26 2005-03-15 University Of Florida Research Foundation, Inc. High selectivity and high planarity dielectric polishing
US7531105B2 (en) 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US9028572B2 (en) 2007-09-21 2015-05-12 Cabot Microelectronics Corporation Polishing composition and method utilizing abrasive particles treated with an aminosilane
MY147729A (en) * 2007-09-21 2013-01-15 Cabot Microelectronics Corp Polishing composition and method utilizing abrasive particles treated with an aminosilane
JP5602358B2 (ja) * 2007-11-30 2014-10-08 日揮触媒化成株式会社 非球状シリカゾル、その製造方法および研磨用組成物
CN102017091B (zh) 2008-04-23 2014-10-29 日立化成株式会社 研磨剂及使用该研磨剂的基板研磨方法
CN102164853B (zh) 2008-09-26 2014-12-31 扶桑化学工业株式会社 含有具有弯曲结构和/或分支结构的二氧化硅二次颗粒的胶体二氧化硅及其制造方法
TW201038690A (en) 2008-09-26 2010-11-01 Rhodia Operations Abrasive compositions for chemical mechanical polishing and methods for using same
KR101726018B1 (ko) 2010-05-26 2017-04-11 니토 보세키 가부시기가이샤 디알릴아민류와 이산화황의 공중합체의 제조 방법
US9303188B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9303190B2 (en) * 2014-03-24 2016-04-05 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
SG11201610328YA (en) * 2014-06-25 2017-01-27 Cabot Microelectronics Corp Colloidal silica chemical-mechanical polishing composition
JP6350861B2 (ja) * 2014-07-15 2018-07-04 スピードファム株式会社 コロイダルシリカ及びそれを含有する半導体ウエハ研磨用組成物
US9293339B1 (en) 2015-09-24 2016-03-22 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of polishing semiconductor substrate
US9631122B1 (en) 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant
US9771496B2 (en) * 2015-10-28 2017-09-26 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant and cyclodextrin

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120156968A1 (en) * 2010-12-16 2012-06-21 Yosuke Kimura Polishing liquid composition for magnetic disk substrate

Also Published As

Publication number Publication date
US20180362805A1 (en) 2018-12-20
TW201905124A (zh) 2019-02-01
JP2019036712A (ja) 2019-03-07
CN109148282B (zh) 2023-06-23
US10221336B2 (en) 2019-03-05
JP7160570B2 (ja) 2022-10-25
CN109148282A (zh) 2019-01-04
KR20180137406A (ko) 2018-12-27
TWI760494B (zh) 2022-04-11

Similar Documents

Publication Publication Date Title
KR102654089B1 (ko) 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 및 아민 카르복실산 조성물 및 이의 사용 방법
US10119048B1 (en) Low-abrasive CMP slurry compositions with tunable selectivity
KR102649773B1 (ko) 얕은 트렌치 분리에서의 사용을 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법
KR102649771B1 (ko) 연마 시 선택적 질화물 제거를 위한 수성의 음이온 작용성 실리카 슬러리 및 아민 카복실산 조성물, 및 이의 사용 방법
JP2019143119A (ja) ポリシリコン研磨用の低ディッシングシリカ粒子の水性組成物
CN109593473B (zh) 用于浅沟槽隔离的水性低研磨剂二氧化硅浆料和胺羧酸组合物以及其制造和使用方法
KR102654509B1 (ko) 연마 시 질화물 제거에 선택적인 수성의 실리카 슬러리 및 아민 카복실산 조성물, 및 이의 사용 방법
KR102611005B1 (ko) 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)