CN108687649A - 含阳离子颗粒的浆料及其用于旋涂式碳膜的cmp的方法 - Google Patents

含阳离子颗粒的浆料及其用于旋涂式碳膜的cmp的方法 Download PDF

Info

Publication number
CN108687649A
CN108687649A CN201810250459.5A CN201810250459A CN108687649A CN 108687649 A CN108687649 A CN 108687649A CN 201810250459 A CN201810250459 A CN 201810250459A CN 108687649 A CN108687649 A CN 108687649A
Authority
CN
China
Prior art keywords
organic polymer
polymer films
cmp planarization
cmp
dioxide granule
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810250459.5A
Other languages
English (en)
Other versions
CN108687649B (zh
Inventor
J·考兹休克
L·M·库克
M·E·米尔斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials CMP Holdings Inc
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Publication of CN108687649A publication Critical patent/CN108687649A/zh
Application granted granted Critical
Publication of CN108687649B publication Critical patent/CN108687649B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B7/00Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor
    • B24B7/20Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground
    • B24B7/22Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain
    • B24B7/228Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain for grinding thin, brittle parts, e.g. semiconductors, wafers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Ceramic Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本发明提供作为光刻的一部分或作为电子封装的一部分的化学机械抛光(CMP抛光)半导体晶片或衬底上的旋涂有机聚合物膜的方法。所述方法包含将有机聚合物液体旋涂在半导体晶片或衬底上;至少部分地固化所述旋涂涂层以形成有机聚合物膜;和用抛光垫和水性CMP抛光组合物CMP抛光所述有机聚合物膜,所述水性CMP抛光组合物的pH为1.5到4.5,并且包含含有一个或多个阳离子氮或磷原子的细长、弯曲或球状二氧化硅颗粒,以总CMP抛光组合物固体计0.005到0.5重量%的含有硫酸根基团的C8到C18烷基或烯基表面活性剂,和pH调节剂。

Description

含阳离子颗粒的浆料及其用于旋涂式碳膜的CMP的方法
本发明涉及包含用含有阳离子二氧化硅颗粒和含硫酸根基团表面活性剂的磨料组合物化学机械抛光(CMP)半导体晶片衬底上的有机聚合物膜的方法,所述方法表现出高去除速率和高衬底去除选择性。
在半导体和电子器件行业中,化学机械抛光(CMP)用于平面化和抛光和/或去除在制造过程中形成的层。在制造这些层中的一些时,由有机聚合物液体旋涂组合物制成的旋涂碳(SOC)涂层产生在光刻中适用作牺牲层或掩模的膜,用于制造例如用于存储器或逻辑应用中的半导体衬底。旋涂电介质(SOD)涂层在包装电子器件衬底的方法中适用作绝缘层或再分布层,例如用于光电应用。
举例来说在光刻应用中,仍然需要在SOC膜中的裸片规模和晶片规模上进行有效的化学机械平面化以满足聚焦深度要求。迄今为止,SOC膜的CMP依赖于含有高浓度磨料和/或含氧化剂的浆料以实现期望的去除。这类浆料还引起划痕和其他缺陷,并导致使用成本高。
授予MacDonald的美国专利第7,390,748B2号公开用于半导体晶片抛光的方法,其中磨料浆料可含有二氧化硅颗粒和表面活性剂,例如季铵盐,其有助于抑制晶片的凹陷或较低密度区域中的不期望的抛光。在MacDonald的组合物中,用于抛光的浆料组合物的pH在晶片表面的等电点和颗粒中的磨料的等电点之间。因此,浆料具有与衬底相反的表面电荷;然而,表面活性剂具有与其中使用的磨料颗粒相同的表面电荷,由此抑制抛光。MacDonald的公开内容和组合物未解决有机聚合物衬底的抛光。
本发明人已努力解决提供CMP抛光方法的问题,所述抛光方法在低二氧化硅固体含量下在抛光有机衬底(例如聚合物)时实现更一致且更高度可调的抛光性能。
发明内容
1.根据本发明,方法包含旋涂以在半导体晶片或衬底(如图案化或未图案化的硅晶片、砷化镓晶片或硅-锗晶片)上形成有机聚合物液体;例如在70到375℃,或优选地90到350℃范围内的温度下至少部分地固化旋涂涂层以形成有机聚合物膜,和用CMP抛光垫和水性CMP抛光组合物对有机聚合物膜进行化学机械抛光(CMP抛光),所述水性CMP抛光组合物包含以总CMP抛光组合物计0.05到7重量%,或优选地0.1到4重量%的细长、弯曲或球状二氧化硅颗粒磨料,所述二氧化硅颗粒磨料在二氧化硅颗粒中的至少一个内含有一个或多个阳离子氮或磷原子,优选一个或多个阳离子氮原子,或更优选地所述二氧化硅颗粒磨料在3.3的pH下ζ电位(ZP)为8到50mV,或甚至更优选地ZP为17到26mV,以总CMP抛光组合物固体计0.005到0.5重量%,或优选地0.01到0.1重量%的含硫酸根基团的表面活性剂,所述含硫酸根基团的表面活性剂进一步含有C8到C18烷基或烯基,优选地C12到C14烷基或烯基,和pH调节剂如无机酸,所述组合物的pH在1.5到4.5或优选地1.5到3.5范围内,其中pH低于二氧化硅颗粒的等电点(IEP)。
2.根据上述项目1所述的本发明方法,其中有机聚合物膜是用于光刻应用中的旋涂式涂层(SOC),方法进一步包含:(a)通过掩模将抛光的有机物膜暴露于活化辐射;(b)使有机聚合物膜层与显影剂接触以形成光刻图案,其中CMP抛光在(a)暴露之前或之后进行。
3.根据上述项目1所述的本发明方法,其中有机聚合物膜是用于光刻应用中的旋涂式涂层(SOC),并且方法进一步包含:(a)在有机聚合物膜上形成面涂层;(b)通过掩模将面涂层和有机聚合物膜暴露于活化辐射;和(c)使所述暴露的面涂层和有机聚合物膜层与显影剂接触以形成光刻图案,其中所述CMP抛光在(b)暴露之前或之后并且在(a)形成面涂层之前进行,其中所述CMP抛光在(b)暴露之前或之后并且在(a)形成面涂层之之后进行。
4.根据上述项目1所述的本发明方法,其中有机聚合物膜是用于光刻应用中的旋涂式涂层(SOC),并且在CMP抛光之后,方法进一步包含(a)将抛光的有机聚合物膜暴露于活化辐射;和(b)使有机聚合物膜层与显影剂接触以形成光刻图案。
5.根据项目1、2、3或4中任一项所述的本发明方法,其中有机聚合物膜为旋涂式涂层,所述旋涂式涂层包含选自聚亚芳基、聚亚芳基醚、交联聚亚芳基、交联聚亚芳基醚、酚醛清漆或酚醛环氧化物。
6.根据项目1、2、3、4或5中任一项所述的本发明方法,其中所述半导体晶片或衬底另外包含无机氧化物如二氧化硅,无机氧化物和导电层如铜或多晶硅,无机氧化物和电介质如氮化物,或无机氧化物、电介质和导电层。
7.根据项目6所述的本发明的方法,其中继续进行CMP抛光直到所述有机聚合物膜的表面被抛光以平坦化有机聚合物膜和/或暴露无机氧化物,或无机氧化物以及电介质和导电层中的任何一者或两者。
8.根据项目1所述的本发明方法,其中有机聚合物膜是旋涂在电子封装衬底上的旋涂式电介质(SOD),所述电子包装衬底包含无机氧化物如二氧化硅和/或导电层如铜或多晶硅。
9.根据项目1或8中任一项所述的本发明方法,其中有机聚合物膜选自聚酰亚胺环氧化物如酚醛清漆环氧化物,或聚苯并恶唑,优选地,其中固化有机聚合物膜包含在80到180℃的温度下部分地固化30秒到20分钟,如1分钟或更长,接着CMP抛光有机聚合物膜,并且其中方法进一步包含在CMP抛光衬底之后,使有机聚合物膜完全固化。
10.根据项目1、8或9中任一项所述的本发明的方法,其中半导体晶片或衬底包含无机氧化物如二氧化硅,无机氧化物和导电层如铜,无机氧化物和电介质如氮化物,或无机氧化物、电介质和导电层。
11.根据项目10所述的本发明的方法,其中继续进行CMP抛光直到有机聚合物膜的表面被抛光以暴露无机氧化物,或无机氧化物和导电层,或无机氧化物和电介质。
12.根据上述项目1、2、3、4、5、6、7、8、9、10或11中任一项所述的本发明方法,其中在水性CMP抛光组合物中,一个或多个阳离子氮原子来自在水性CMP抛光组合物的pH下含有一个或多个阳离子氮原子的氨基硅烷或铵化合物,优选地,其中一个或多个阳离子氮原子是季铵原子,或更优选地,四甲基铵的阳离子氮原子。
13.根据上述项目1、2、3、4、5、6、7、8、9、10、11或12中任一项所述的本发明方法,其中在水性CMP抛光组合物中,一个或多个阳离子氮原子来自用四甲氧基硅烷(TMOS)形成的阳离子颗粒和含有季铵原子的含胺碱性催化剂,如四甲基氢氧化铵。
14.根据上述项目1、2、3、4、5、6、7、8、9、10、11、12或13中任一项所述的本发明的方法,其中在水性CMP抛光中组合物中,pH调节剂是选自硝酸和/或磷酸的无机酸。
15.根据上述项目1到14中任一项所述的本发明方法,其中所述水性CMP抛光组合物基本上不含任何氧化剂化合物,如过氧化氢。
优选地,在根据本发明的方法中,在CMP抛光组合物中磨料细长弯曲或球状二氧化硅颗粒固体与含硫酸根基团的表面活性剂固体的比率在4:1到35:1,或优选地5:1到31:1范围内;更优选地,这类CMP抛光组合物包含作为固体0.1到4重量%的细长、弯曲或球状二氧化硅颗粒磨料,者最优选地,这类CMP抛光组合物包含作为固体0.1到2重量%的在3.3的pH下在ZP下ζ电位(ZP)为17到26mV的的细长、弯曲或球状二氧化硅颗粒磨料。
优选地,在根据本发明的方法中,在CMP抛光组合物中磨料二氧化硅颗粒固体与含硫酸根基团的表面活性剂固体的比率在5:1到120:1范围内;更优选地,这类CMP抛光组合物包含作为固体0.4到3重量%的二氧化硅颗粒磨料。
除非另外说明,否则温度和压力的条件是环境温度和标准压力。所叙述的所有范围都都包括端点在内并且是可组合的。
除非另外指明,否则含有括号的任何术语替代地指如同不存在括号的整个术语和没有括号的术语以及每个替代方案的组合。因此,术语“(聚)异氰酸酯”是指异氰酸酯、聚异氰酸酯或其混合物。
所有范围都包括端点在内并且是可组合的。举例来说,术语“50到3000cP,或100或更高cP的范围”将包括50到100cP、50到3000cP和100到3000cP中的每一个。
如本文所用,术语“ASTM”是指宾夕法尼亚州西康舍霍肯的ASTM国际标准协会(ASTM International,West Conshohocken,PA)的出版物。
如本文所用,术语“细长、弯曲或球状二氧化硅颗粒”是指最长尺寸与垂直于最长尺寸的直径的纵横比为1.8:1到3:1的二氧化硅颗粒。
如本文所用,术语“硬碱”是指金属氢氧化物,包括碱(碱土)金属氢氧化物,如NaOH,KOH或Ca(OH)2
如本文所用,术语“等电点”是指给定表面或材料的电荷为中性时所述表面或材料的pH水平。在等电点以下,给定表面的电荷是正的。
如本文所用,术语“ISO”是指瑞士日内瓦的国际标准化组织(InternationalOrganization for Standardization,Geneva,CH)的出版物。
如本文所用,术语“粒径(CPS)”意指如通过由CPS Instruments(TheNetherlands)盘式离心机系统测定的组合物的重均粒径。使用离心力在溶剂中将颗粒大小分离并使用光学光散射进行定量。
如本文所用,术语“半导体晶片”旨在涵盖半导体衬底,如未图案化的半导体或具有图案的半导体衬底、半导体器件、用于各种级别的互连的各种包装,包括单芯片晶片或多个晶片,用于发光二极管(LED)的衬底或需要焊接连接的其它组件。
如本文所用,术语“半导体衬底”被定义为意指含包半导体材料的任何构造。半导体衬底包括半导体器件和具有包括半导体器件的有源或可操作部分的一个或多个半导体层或结构的任何衬底。
如本文所用,术语“半导体器件”是指其上已经或正在制造至少一个微电子器件的半导体衬底。
如本文所用,术语“肖氏D硬度”是根据ASTM D2240-15(2015)“用于橡胶性质-硬度计硬度的标准测试方法(Standard Test Method for Rubber Property—DurometerHardness)”测量的给定材料的硬度。在装有D探针的Rex Hybrid硬度测试仪(伊利诺斯州布法罗格罗夫的雷克斯仪表有限公司(Rex Gauge Company,Inc.,Buffalo Grove,IL))上测量硬度。对于每个硬度测量,将六个样品堆叠并混洗;并且在测试并且使用ASTM D2240-15(2015)中概述的方法学来改进硬度测试的可重复性之前,通过将每个测试垫放置在50%相对湿度下在23℃下五天来调节每个测试垫。在本发明中,抛光层或抛光垫的聚氨酯反应产物的肖氏D硬度包括所述反应的肖氏D硬度,所述反应包括任何添加剂以降低肖氏D硬度。
如本文所用,对于给定组合物,术语“二氧化硅颗粒固体”或“二氧化硅固体”是指球形二氧化硅颗粒的总量加上细长、弯曲或球状二氧化硅颗粒的总量,包括处理那些颗粒的任何组分。
如本文所用,术语“固体”意指除水或氨之外的任何材料,所述材料在使用条件下不挥发,不管其物理状态如何。因此,在使用条件下不挥发的液体硅烷或添加剂被认为是“固体”。
如本文所用,术语“强酸”是指具有2或更低的pKa的质子酸,如无机酸如硫酸或硝酸。
如本文所用,术语“基本上不含任何氧化剂化合物”意指给定组合物包含50ppm或更少,或优选地25ppm的任何氧化剂化合物。
如本文所用,术语“可调谐”或“可调谐性”是指通过去除速率和它们在将一种材料抛光到另一种材料上时表现出的选择性确定的CMP组合物的特性,如高去除二氧化硅和低去除或氮化硅。
如本文所用,术语“使用条件”意指使用给定组合物时的温度和压力,包括在使用期间或作为使用结果时温度和压力的增加。
如本文中所使用,术语“重量%”表示重量百分比。
如本文所用,术语“ζ电位”或“ZP”是指如通过Malvern Zetasizer仪器测量的给定组合物的电荷。如实例中所述,在浆料组合物上进行所有ζ电位测量。所报告的值取自平均测量的ζ值,使用仪器对每种指示组合物进行>20次采集。根据本发明,二氧化硅颗粒的ζ电位与二氧化硅颗粒表面上的阳离子电荷量成比例。
根据本发明的方法,CMP抛光从半导体晶片或衬底去除有机聚合物膜。使用具有低磨料含量的浆料,有机聚合物膜可是旋涂式(SOC)或(SOD)膜,例如交联聚亚芳基醚膜。CMP抛光组合物配方简单并且由少量和市售组分组成,并且组分不受环境或安全限制。含硫酸根基团的阴离子表面活性剂可通过表面活性剂分子的疏水尾部相互作用而润湿任何衬底表面,并且可通过表面活性剂的带负电的亲水性头部的电荷吸引吸引阳离子二氧化硅颗粒。CMP抛光组合物包含阳离子二氧化硅颗粒和含硫酸根基团的表面活性剂的浆液,在酸性条件pH为1.5到4下,例如以高去除速率去除交联聚亚芳基醚SOC膜,只要浆料保持在磨料颗粒的IEP以下。由于浆料的颗粒负荷较低,故障率、所需的清洁步骤和使用成本都降到最低。
根据本发明的方法,可根据本领域已知的常规方法通过旋涂制备适用的有机聚合物膜。根据本发明的有机聚合物膜的固化可通过方法中已知的常规方法进行。
用于光刻应用中的旋涂式涂层(SOC)包含涂层或有机聚合物膜,其平均厚度可为200到2000nm并涂覆在单个裸片或图案上;在用于包装应用中的旋涂式电介质涂层包含平均厚度可为0.4到60微米并覆盖、保护或封装半导体晶片或衬底的涂层或有机聚合物膜。
优选地,在沉积在表面上之后,旋涂的有机聚合物膜被加热(烘焙)以去除任何残留的溶剂;此加热可部分地固化所得膜。通常通过加热一段时间来进行固化。合适的固化温度在70到375℃范围内。通常固化时间在1到600分钟范围内;较长的固化时间使得聚合物能够在较低温度下固化。
合适的CMP抛光垫可是任何抛光垫,例如聚氨酯泡沫垫,如本领域中已知和常规的那样,例如Reinhardt等人的美国专利第5,578,362号或Kulp的美国专利第7,445,847B2号中公开的CMP抛光垫。
根据本发明的方法中的CMP,适用的CMP组合物可包含含有一个或多个阳离子氮或磷原子的细长、弯曲或球状二氧化硅颗粒磨料。在本发明的方法中,这类二氧化硅颗粒磨料可以非常低的固体含量使用。
合适的细长、弯曲或球状二氧化硅颗粒通过悬浮聚合通过以已知方式由前体如四乙氧基硅烷(TEOS)或四甲氧基硅烷(TMOS)形成的硅烷醇的水解缩合来制备。制备细长、弯曲或球状二氧化硅颗粒的方法是已知的,并且可在例如Higuchi等人的美国专利第8,529,787号中发现。水解缩合反应包含使前体在水性悬浮液中在碱性催化剂如烷基氢氧化铵、烷基胺或KOH,优选地四甲基氢氧化铵存在下反应;水解缩合过程可将一个或多个阳离子氮或磷原子并入细长、弯曲或球状二氧化硅颗粒中。优选地,细长、弯曲或球状二氧化硅颗粒在4或更低的pH下是阳离子的。
其它合适的含有一个或多个阳离子氮原子的二氧化硅颗粒磨料可是在氨基硅烷存在下形成的那些二氧化硅颗粒,如通过在低于6的pH下将氨基硅烷添加到硅烷醇或其前体并且通过添加碱性催化剂如苛性碱形成溶胶凝胶二氧化硅。合适的氨基硅烷可是例如含有一个或多个叔胺基团(如N,N-(二乙基氨基甲基)三乙氧基硅烷(DEAMS))或一个或多个仲胺基团(如N-(2-氨基乙基)-3-氨基丙基三甲氧基硅烷(AEAPS)或N-氨基乙基氨基乙基氨基丙基三甲氧基硅烷(DETAPS))的氨基硅烷,优选地含有一个或多个叔胺基团的氨基硅烷。
合适的细长、弯曲或球状二氧化硅颗粒磨料可以商品名HL-2、HL-3、HL-4、PL-2、PL-3或BS-2和BS-3浆料购自日本大阪的扶桑化工有限公司(Fuso Chemical Co.,Ltd.,Osaka,JP)。来自扶桑的HL和BS系列颗粒含有一个或多个氮原子,其在pH 4或更低时赋予阳离子电荷。
适用于本发明方法中的CMP抛光组合物可含有附加表面活性剂;然而,以CMP抛光组合物的总固体计,适用于本发明方法中的CMP抛光组合物中表面活性剂的量应限于小于1.0重量%。过量的表面活性剂充当润滑层并且抑制CMP抛光的有效性。
适用于本发明方法中的CMP抛光组合物优选地不含有大量的氧化剂。氧化剂可导致含有它们的组合物不稳定。
根据本发明的方法,适用作旋涂式碳(SOC)涂层的合适的有机聚合物膜为在光刻应用中适用作牺牲层以实现图案化,尤其是自对准四重图案化的任何膜。虽然聚合物膜的局部平面化可通过如流动的材料特性来实现,但较大规模如晶片和裸片规模平面性已经被证明是难以实现的。合适的SOC涂层可包括用于多图案化应用的交联聚(亚芳基醚)膜。聚(亚芳基醚)膜在高达450℃的温度下是热稳定的,由于它们的高碳含量和局部特征规模上的间隙填充,提供良好的蚀刻选择性。根据本发明的方法,这类交联聚亚芳基醚膜可在裸片规模和晶片规模上有效地平面化。
根据本发明的方法适用作旋涂式电介质(SOD)的合适的有机聚合物膜可为适用于封装应用中的任何膜,如晶片级封装(WLP)应用,采用作为堆叠的永久组件存在的聚合物电介质。优选地,这类聚合物在CMP抛光之前部分地固化,并且在CMP抛光之后经历附加步骤以实现完全固化。
用作根据本发明的SOC的所得旋涂膜可用于光刻应用中。
根据本发明的方法,光刻法可包含:(a)在衬底上形成有机聚合物膜;(b)在有机聚合物膜上形成面涂层;(c)通过掩模将面涂层和有机聚合物膜暴露于活化辐射;和(d)使暴露的面涂层和有机聚合物膜与显影剂接触以形成光刻图案。面涂层(b)可省略。如本文所用,术语“活化辐射”是任何辐射,如紫外(UV)光,其在正性光致抗蚀剂的情况下将有机聚合物膜转化成可溶于显影剂中的形式,或在负光致抗蚀剂的情况下,将有机聚合物膜转化成不溶于显影剂中的形式。如本文所用,术语“掩模”是保护具有期望图案的一部分有机聚合物膜或有机聚合物膜和面涂层免于暴露于活化辐射的任何滤波器或图案。
根据本发明在光刻应用中的方法,CMP抛光在形成有机聚合物膜、将有机聚合物膜暴露于活化辐射中任一者或两者之后进行。
根据本发明的CMP抛光包含常规的CMP抛光方法。CMP抛光包含:提供具有平台或工作台的CMP抛光设备;提供待抛光的有机聚合物膜衬底;提供具有抛光表面的CMP抛光垫,如聚氨酯泡沫垫;在平台或工作台上安装CMP抛光垫;在CMP抛光垫的抛光表面和衬底之间的界面处提供本发明的CMP抛光组合物;和在CMP抛光垫表面和衬底之间产生动态接触,其中从衬底去除至少一些有机聚合物膜材料。
根据本发明的方法,方法包含CMP抛光,其中在CMP抛光垫表面和有机聚合物膜衬底之间产生动态接触可通过旋转衬底、旋转具有抛光层的CMP抛光垫或同时旋转两者。
根据本发明的方法,方法包含:用CMP抛光垫CMP抛光,和分别地或同时用调节垫调节CMP抛光垫的抛光表面,使得其具有表面微观纹理。
优选地,在本发明的CMP抛光中,在CMP抛光垫表面和有机聚合物膜衬底之间产生动态接触产生4到40kPa,或优选地小于6到35kPa的低向下力。
当旋涂有机聚合物膜形成组合物时,可调整有机聚合物液体涂料组合物的固体含量,以基于所使用的具体旋转设备、组合物的粘度、旋转器的速度和允许旋转的时间量提供期望膜厚度。
根据本发明用于光刻中的旋涂组合物(SOC)适用于常规用于涉及用SOC涂覆的工艺的衬底。举例来说,可将组合物施加在无机氧化物、硅晶片或可能已经涂覆有待图案化的一个或多个层(例如导电层、半导体层和介电层中的一个或多个)的硅晶片上。
由SOC制成的有机聚合物膜可被干燥或至少部分地固化,并且溶剂在单个热处理步骤中基本上被去除。
可通过本领域技术人员已知的任何合适的方法将本发明的面涂层组合物施加到SOC有机聚合物膜上,其中旋涂是典型的;两层都可进行热处理或一起固化。然后将具有面涂层组合物层的光致抗蚀剂有机聚合物膜图案化地暴露于用于光致抗蚀剂的光敏组分的活化辐射。
其后,使膜显影,通常通过用选自以下的水性碱显影剂处理:氢氧化季铵溶液,如四烷基氢氧化铵溶液,通常2.38重量%或5重量%四甲基氢氧化铵水溶液;胺溶液,如乙胺、正丙胺、二乙胺、二正丙胺、三乙胺或甲基二乙胺;醇胺,如二乙醇胺或三乙醇胺;和环胺,如吡咯或吡啶。一般来说,显影根据本领域公认的方法。
在衬底上的光致抗蚀剂涂层显影之后,可例如根据本领域中已知的方法通过蚀刻或镀覆无抗蚀剂的衬底区域,对经显影衬底的无抗蚀剂的那些区域进行选择性加工。在这类处理之后,可使用已知的剥离方法将抗蚀剂从经加工的衬底上去除。
实例:以下实例说明本发明的各种特征。
在以下实例中,除非另外说明,否则温度和压力的条件是环境温度和标准压力。
在以下实例中使用以下材料:
AEAPS=N-(2-氨基乙基)-3-氨基丙基三甲氧基硅烷,98%(宾夕法尼亚州莫里斯维尔的Gelest有限公司(Gelest Inc.,Morrisville,PA));DEAMS=(N,N-二乙基氨基甲基)三乙氧基硅烷,98%(Gelest有限公司);TMOS=四甲氧基硅烷;TMAH=四甲基氢氧化铵。
实例中使用的各种二氧化硅颗粒列于下表A中。
表A:二氧化硅颗粒
1.日本大阪的扶桑化学工;2.如由来源传递的pH值;3.在pH 3.3下测量的。
去除速率:除非另外说明,否则使用Strasbaugh 6EC 200mm晶片抛光机或“6ECRR”(亚利桑那州钱德勒的崇硕科技公司(Axus Technology Company,Chandler,AZ))进行在指定衬底上的抛光的去除速率测试。Strasbaugh 6EC 20 0mm晶片抛光机在20.7kPa(3psi)的向下力下以93rpm的转台速度,87rpm的载体速度运行。用指定磨料浆料以200mL/min的流速抛光指定衬底。来自陶氏电子材料(Dow Electronic Materials)的IC1010TM垫用于抛光。1010TM垫是2.03mm(80密耳)厚的聚氨酯垫,肖氏D硬度为57并且具有1010凹槽图案(密歇根州米德兰的陶氏化学公司(陶氏)(The Dow Chemical Company,Midland,MI,(Dow)))。AK45AM02BSL8031C1-PM金刚石垫调节器(韩国峨山SAESOL金刚石有限公司(SAESOL Diamond Ind.Co.Ltd.,Asan,South Korea)用于以62.1kPa(9lbf)压力的向下力经过100%原位调节20分钟来调节抛光垫,并且然后在使用48.3kPa(7lbf)的向下力抛光10分钟之前进一步调节。通过使用KLA-TencorTM FX200度量工具(加利福尼亚州米尔皮塔斯的KLA Tencor(KLA Tencor,Milpitas,CA)使用具有3mm边缘排除的49点螺旋扫描测量抛光之前和之后的膜厚度来测定去除速率。
实例1:测试具有弯曲\细长二氧化硅颗粒磨料的CMP抛光组合物,浆料A,结果在下表1中示出。在下表1中,抛光的衬底是涂有约5000Ang.厚度OPTLTM型交联聚(亚芳基醚)(歇根州米德兰的陶氏化学公司(陶氏))旋涂(SOC)膜并在350℃下固化1分钟的200mm直径的裸硅晶片。SOC膜被光60秒。每次试验测试一个晶片。
表1:各种表面活性剂和聚合物去除率
如上表1所示,含硫酸根基团的表面活性剂显示出通过在低固体含量下含有细伸长、弯曲二氧化硅颗粒的浆料提高有机聚合物膜的去除速率。此外,磨料二氧化硅颗粒的0.5重量%固体含量与其中较大的固体含量同样有效。相比之下,阳离子表面活性剂未有助于有机聚合物膜去除速率。
实例2:含硫酸根基团的表面活性剂浓度的对聚合物对比氧化物和氮化物去除率的影响。测试的衬底是其上沉积有TEOS(二氧化硅)或SiN电介质的200mm直径的裸硅晶片,或旋涂有5000Ang.厚的在350℃/60秒下烘焙的OPTLTM型交联聚(亚芳基醚)(陶氏)层的裸硅晶片。每次试验测试一个具有SOC涂层、沉积其上的SiN层或沉积其上的TEOS层中的一个的晶片。SOC膜抛光15秒。结果在下表2中示出。
表2:在不同磨料和表面活性剂浓度下的去除速率
如上表2所示,2.5:1或更高的细长、弯曲或球状二氧化硅颗粒磨料(量为500ppm)与含硫酸根基团的表面活性剂(浓度为200ppm或0.02重量%固体)削弱抛光;然而,其中使用0.2重量%的二氧化硅颗粒固体的10:1固体重量比提供优异的聚合物相对于氧化物和氮化物的去除速率和选择性。同样,其中使用0.3重量%固体的二氧化硅颗粒的6:1固体重量比提供优异的聚合物相对于氧化物和氮化物的去除速率和选择性。然而,当磨料与表面活性剂的重量比为30:1时,甚至0.3重量%的二氧化硅固体具有降低的聚合物去除速率,但具有优异的选择性。此实例证明,在本发明的方法中,CMP组合物在对于聚合物去除相对于去除氧化物或氮化物的去除速率和选择性方面实现良好的可调性。
实例3:含硫酸根基团的表面活性剂浓度对聚合物对比氧化物和氮化物去除速率的影响
测试的衬底是其上沉积有TEOS(二氧化硅)层的200mm直径裸硅晶片,或旋涂有5000Ang.厚在350℃/60秒下烘焙的OPTLTM型交联聚亚芳基醚(陶氏)SOC层。SOC膜抛光15秒。每次试验测试具有各种涂层或沉积层的一个晶片。结果在下表3中示出。
表3:在不同磨料和表面活性剂浓度下的去除速率
如上表3所示,阳离子二氧化硅颗粒磨料与含硫酸盐基团的表面活性剂的比率控制CMP抛光的去除速率和选择性。即,对于每个二氧化硅颗粒磨料浓度,存在最佳表面活性剂浓度,其中可使有机聚合物薄膜去除速率最大化并且可调节选择性。此实例证明,在本发明的方法中,具有阳离子二氧化硅颗粒的CMP组合物实现去除一种衬底材料的去除速率和选择性的合理可调性与另一种相反。
实例4:为了显示表面活性剂链长度的影响,用增加碳链长度的各种表面活性剂测试细长、弯曲或球状二氧化硅颗粒的磨料。抛光的衬底是其上沉积有TEOS(二氧化硅)层或氮化硅层的200mm直径裸硅晶片,或然后旋涂有约5000Ang.厚度的OPTLTM型交联聚(亚芳基醚)(歇根州米德兰的陶氏化学公司(陶氏))旋涂(SOC)膜并在350℃下固化1分钟。SOC膜抛光15秒。每次试验测试其上涂布或沉积有各种层的一个晶片。结果在下表4中示出。
表4:去除速率和表面活性剂链长度
如上表4所示,只有少量细长、弯曲或球状二氧化硅颗粒磨料具有良好的去除速率,并且较长的链表面活性剂具有显著更好的结果。
实例5:其它有机聚合物膜应用。浆料A/月桂基硫酸铵浆料的CMP抛光组合物。抛光的衬底是其上沉积有TEOS或SiN的200mm直径裸硅晶片或旋涂有在240℃/60秒下烘焙的80%的酚醛清漆/20%MMA SOC膜的200mm直径裸硅晶片。SOC有机聚合物薄膜仅用浆料A抛光60秒,并且用浆料A/月桂基硫酸铵抛光30秒。每次试验测试一个晶片。
表5:其它有机聚合物薄膜应用和可调性
*-表示比较实例。
如上表5所示,本发明的方法实现可停止在SiN、氧化物上或抛光氧化物和氮化物两者的可调CMP组合物。

Claims (10)

1.一种方法,包含:旋涂以在半导体晶片或衬底上形成有机聚合物液体;
在70到375℃范围内的温度下至少部分地固化所述旋涂以形成有机聚合物膜;和,
用抛光垫和水性CMP抛光组合物化学机械抛光(CMP抛光)所述有机聚合物膜,所述CMP抛光组合物包含以总CMP抛光组合物固体计0.05到7重量%的细长、弯曲或球状二氧化硅颗粒磨料,所述二氧化硅颗粒磨料在所述二氧化硅颗粒中的至少一个内含有一个或多个阳离子氮或磷原子,以总CMP抛光组合物固体计0.005到0.5重量%的含硫酸根基团的表面活性剂,所述表面活性剂进一步含有C8到C18烷基或烯基,和pH调节剂,所述CMP抛光组合物的pH在1.5到4.5范围内,其中所述pH低于所述二氧化硅颗粒的等电点(IEP)。
2.根据权利要求1所述的方法,其中所述CMP抛光组合物包含以总CMP组合物固体计0.1到4重量%的二氧化硅颗粒磨料,所述二氧化硅颗粒磨料在所述二氧化硅颗粒中的至少一个内含有一个或多个阳离子氮或磷原子。
3.根据权利要求1所述的方法,其中所述CMP抛光组合物包含二氧化硅颗粒磨料,所述二氧化硅颗粒磨料在所述二氧化硅颗粒中的至少一个内含有一个或多个阳离子氮原子。
4.根据权利要求1所述的方法,其中所述CMP抛光组合物包含二氧化硅颗粒磨料,所述二氧化硅颗粒磨料在所述二氧化硅颗粒中的至少一个内含有一个或多个阳离子氮或磷原子并且在3.3的pH下ζ电位(ZP)为8到50mV。
5.根据权利要求1所述的方法,其中所述CMP抛光组合物包含以总CMP组合物固体计0.01到0.1重量%的含硫酸根基团的表面活性剂,所述表面活性剂进一步含有C8到C18烷基或烯基。
6.根据权利要求1所述的方法,其中所述有机聚合物膜是用于光刻应用中的旋涂式涂层(SOC),并且所述方法进一步包含:
(a)通过掩模将所述抛光的有机聚合物膜暴露于活化辐射;和,
(b)使所述有机聚合物膜层与显影剂接触以形成光刻图案,其中所述CMP抛光在所述(a)暴露之前或之后进行。
7.根据权利要求1所述的方法,其中所述有机聚合物膜包含选自以下的聚合物:聚亚芳基、聚亚芳基醚、交联聚亚芳基、交联聚亚芳基醚、酚醛清漆、聚酰亚胺、聚苯并恶唑或酚醛环氧化物。
8.根据权利要求1所述的方法,其中所述半导体晶片或衬底另外包含无机氧化物;无机氧化物和导电层;无机氧化物和电介质;或无机氧化物、电介质和导电层。
9.根据权利要求1所述的方法,其中所述有机聚合物膜是旋涂在包含无机氧化物和/或导电层的电子封装衬底上的旋涂式电介质(SOD)。
10.根据权利要求9所述的方法,其中所述有机聚合物膜选自聚酰亚胺、环氧化物或聚苯并恶唑,并且进一步其中,所述有机聚合物膜的所述固化包含在80到180℃的温度下部分地固化持续30秒到20分钟,接着CMP抛光所述有机聚合物膜,和然后在CMP抛光所述衬底之后使所述有机聚合物膜完全固化。
CN201810250459.5A 2017-03-29 2018-03-26 含阳离子颗粒的浆料及其用于旋涂式碳膜的cmp的方法 Active CN108687649B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/472,976 US10037889B1 (en) 2017-03-29 2017-03-29 Cationic particle containing slurries and methods of using them for CMP of spin-on carbon films
US15/472976 2017-03-29

Publications (2)

Publication Number Publication Date
CN108687649A true CN108687649A (zh) 2018-10-23
CN108687649B CN108687649B (zh) 2020-08-14

Family

ID=62948607

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810250459.5A Active CN108687649B (zh) 2017-03-29 2018-03-26 含阳离子颗粒的浆料及其用于旋涂式碳膜的cmp的方法

Country Status (5)

Country Link
US (1) US10037889B1 (zh)
JP (1) JP7355487B2 (zh)
KR (1) KR102459039B1 (zh)
CN (1) CN108687649B (zh)
TW (1) TWI760462B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111808533A (zh) * 2020-07-19 2020-10-23 湖州飞鹿新能源科技有限公司 一种Topcon电池专用晶体硅抛光凝胶及其使用方法
CN112059898A (zh) * 2019-06-10 2020-12-11 罗门哈斯电子材料Cmp控股股份有限公司 阳离子氟聚合物复合抛光垫

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200172761A1 (en) * 2018-12-04 2020-06-04 Cabot Microelectronics Corporation Composition and method for silicon nitride cmp
US10968366B2 (en) * 2018-12-04 2021-04-06 Cmc Materials, Inc. Composition and method for metal CMP
WO2023171290A1 (ja) * 2022-03-08 2023-09-14 株式会社フジミインコーポレーテッド 研磨用組成物

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6375548B1 (en) * 1999-12-30 2002-04-23 Micron Technology, Inc. Chemical-mechanical polishing methods
CN1436225A (zh) * 2000-07-05 2003-08-13 卡伯特微电子公司 金属cmp用的抛光组合物
CN101374922A (zh) * 2006-01-25 2009-02-25 Lg化学株式会社 用于抛光半导体晶片的cmp浆料及使用该浆料的方法
WO2010121029A2 (en) * 2009-04-15 2010-10-21 Sinmat, Inc. Cyclic self-limiting cmp removal and associated processing tool
CN102744668A (zh) * 2011-04-20 2012-10-24 中芯国际集成电路制造(上海)有限公司 抛光方法以及浮栅的形成方法
CN105014528A (zh) * 2014-04-25 2015-11-04 陶氏环球技术有限公司 化学机械抛光垫

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05326395A (ja) * 1992-05-21 1993-12-10 Toshiba Corp 半導体装置の製造方法
US5597442A (en) 1995-10-16 1997-01-28 Taiwan Semiconductor Manufacturing Company Ltd. Chemical/mechanical planarization (CMP) endpoint method using measurement of polishing pad temperature
US5643050A (en) * 1996-05-23 1997-07-01 Industrial Technology Research Institute Chemical/mechanical polish (CMP) thickness monitor
US6153525A (en) 1997-03-13 2000-11-28 Alliedsignal Inc. Methods for chemical mechanical polish of organic polymer dielectric films
US6723143B2 (en) 1998-06-11 2004-04-20 Honeywell International Inc. Reactive aqueous metal oxide sols as polishing slurries for low dielectric constant materials
US6358853B2 (en) 1998-09-10 2002-03-19 Intel Corporation Ceria based slurry for chemical-mechanical polishing
US6270395B1 (en) 1998-09-24 2001-08-07 Alliedsignal, Inc. Oxidizing polishing slurries for low dielectric constant materials
FR2785614B1 (fr) 1998-11-09 2001-01-26 Clariant France Sa Nouveau procede de polissage mecano-chimique selectif entre une couche d'oxyde de silicium et une couche de nitrure de silicium
US6315635B1 (en) 1999-03-31 2001-11-13 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for slurry temperature control in a polishing process
EP1071121A1 (en) * 1999-07-19 2001-01-24 International Business Machines Corporation Process for the formation of a collar oxide in a trench in a semiconductor substrate
US6736992B2 (en) 2000-04-11 2004-05-18 Honeywell International Inc. Chemical mechanical planarization of low dielectric constant materials
JP4018892B2 (ja) * 2001-10-03 2007-12-05 大日本スクリーン製造株式会社 基板処理装置
US6830503B1 (en) 2002-01-11 2004-12-14 Cabot Microelectronics Corporation Catalyst/oxidizer-based CMP system for organic polymer films
US20030162399A1 (en) * 2002-02-22 2003-08-28 University Of Florida Method, composition and apparatus for tunable selectivity during chemical mechanical polishing of metallic structures
JP2004128475A (ja) * 2002-08-02 2004-04-22 Jsr Corp 化学機械研磨用水系分散体および半導体装置の製造方法
TWI241626B (en) 2003-06-02 2005-10-11 Toshiba Corp Chemical mechanical polishing method of organic film and method of manufacturing semiconductor device
US7390748B2 (en) 2004-08-05 2008-06-24 International Business Machines Corporation Method of forming a polishing inhibiting layer using a slurry having an additive
US20060124592A1 (en) 2004-12-09 2006-06-15 Miller Anne E Chemical mechanical polish slurry
KR100627589B1 (ko) 2004-12-31 2006-09-25 제일모직주식회사 결함 발생률이 낮은 cmp 슬러리 조성물 및 그 제조방법
US7052373B1 (en) 2005-01-19 2006-05-30 Anji Microelectronics Co., Ltd. Systems and slurries for chemical mechanical polishing
US7294044B2 (en) 2005-04-08 2007-11-13 Ferro Corporation Slurry composition and method for polishing organic polymer-based ophthalmic substrates
JP2007088424A (ja) * 2005-08-24 2007-04-05 Jsr Corp 化学機械研磨用水系分散体、該水系分散体を調製するためのキット、化学機械研磨方法、および半導体装置の製造方法
JP2007138133A (ja) 2005-10-21 2007-06-07 Hitachi Chem Co Ltd 有機膜研磨用研磨液及びこれを用いた有機膜の研磨方法
KR20080037802A (ko) 2006-10-27 2008-05-02 삼성전자주식회사 슬러리 조성물 및 이를 이용한 연마 방법
KR100827591B1 (ko) 2006-11-27 2008-05-07 제일모직주식회사 화학적 기계적 연마용 슬러리 조성물 및 그 전구체 조성물
JP5329786B2 (ja) 2007-08-31 2013-10-30 株式会社東芝 研磨液および半導体装置の製造方法
JP2009079137A (ja) * 2007-09-26 2009-04-16 Fujifilm Corp 膜形成用組成物及び膜の製造方法
JP2009146998A (ja) * 2007-12-12 2009-07-02 Toshiba Corp 半導体装置の製造方法
JP2010028086A (ja) * 2008-06-16 2010-02-04 Hitachi Chem Co Ltd Cmp研磨剤、このcmp研磨剤を用いた研磨方法
JP2010056199A (ja) 2008-08-27 2010-03-11 Fujifilm Corp 研磨用組成物及びそれを用いた研磨方法
US20100279435A1 (en) 2009-04-30 2010-11-04 Applied Materials, Inc. Temperature control of chemical mechanical polishing
US8449636B2 (en) 2010-08-09 2013-05-28 Ferro Corporation Easy rinsing polishing composition for polymer-based surfaces
CN102465945A (zh) 2010-11-12 2012-05-23 中国南车集团襄樊牵引电机有限公司 一种易拆卸定位销
TWI568541B (zh) * 2010-12-22 2017-02-01 Jsr Corp Chemical mechanical grinding method
TWI593791B (zh) * 2011-01-25 2017-08-01 日立化成股份有限公司 Cmp研磨液及其製造方法、複合粒子的製造方法以及基體的研磨方法
JP5787705B2 (ja) * 2011-10-11 2015-09-30 扶桑化学工業株式会社 高純度単分散シリカ粒子及びその製造方法
CN103834305B (zh) 2012-11-22 2017-08-29 安集微电子(上海)有限公司 一种化学机械抛光液
US8961807B2 (en) * 2013-03-15 2015-02-24 Cabot Microelectronics Corporation CMP compositions with low solids content and methods related thereto
US20160068711A1 (en) 2013-04-17 2016-03-10 Samsung Sdi Co., Ltd. Organic Film CMP Slurry Composition and Polishing Method Using Same
US20150021513A1 (en) 2013-07-17 2015-01-22 Yun-jeong Kim Cmp slurry composition for polishing an organic layer and method of forming a semiconductor device using the same
US9434859B2 (en) 2013-09-24 2016-09-06 Cabot Microelectronics Corporation Chemical-mechanical planarization of polymer films
US9589786B2 (en) 2014-04-28 2017-03-07 National Center For Advanced Packaging Co., Ltd Method for polishing a polymer surface
JP6284443B2 (ja) * 2014-06-25 2018-02-28 扶桑化学工業株式会社 コアシェル型シリカ粒子を含有するコロイダルシリカの製造方法
KR102501107B1 (ko) * 2014-06-25 2023-02-17 씨엠씨 머티리얼즈, 인코포레이티드 콜로이드성 실리카 화학적-기계적 연마 조성물
WO2015200679A1 (en) * 2014-06-25 2015-12-30 Cabot Microelectronics Corporation Tungsten chemical-mechanical polishing composition
US10946494B2 (en) * 2015-03-10 2021-03-16 Showa Denko Materials Co., Ltd. Polishing agent, stock solution for polishing agent, and polishing method
US9783702B1 (en) * 2016-10-19 2017-10-10 Rohm And Haas Electronic Materials Cmp Holdings Inc. Aqueous compositions of low abrasive silica particles

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6375548B1 (en) * 1999-12-30 2002-04-23 Micron Technology, Inc. Chemical-mechanical polishing methods
US20090023291A1 (en) * 1999-12-30 2009-01-22 Micron Technology, Inc. Polishing Methods
CN1436225A (zh) * 2000-07-05 2003-08-13 卡伯特微电子公司 金属cmp用的抛光组合物
CN101374922A (zh) * 2006-01-25 2009-02-25 Lg化学株式会社 用于抛光半导体晶片的cmp浆料及使用该浆料的方法
WO2010121029A2 (en) * 2009-04-15 2010-10-21 Sinmat, Inc. Cyclic self-limiting cmp removal and associated processing tool
CN102744668A (zh) * 2011-04-20 2012-10-24 中芯国际集成电路制造(上海)有限公司 抛光方法以及浮栅的形成方法
CN105014528A (zh) * 2014-04-25 2015-11-04 陶氏环球技术有限公司 化学机械抛光垫

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112059898A (zh) * 2019-06-10 2020-12-11 罗门哈斯电子材料Cmp控股股份有限公司 阳离子氟聚合物复合抛光垫
CN111808533A (zh) * 2020-07-19 2020-10-23 湖州飞鹿新能源科技有限公司 一种Topcon电池专用晶体硅抛光凝胶及其使用方法

Also Published As

Publication number Publication date
KR20180110626A (ko) 2018-10-10
TWI760462B (zh) 2022-04-11
KR102459039B1 (ko) 2022-10-25
CN108687649B (zh) 2020-08-14
US10037889B1 (en) 2018-07-31
JP7355487B2 (ja) 2023-10-03
TW201840766A (zh) 2018-11-16
JP2018170505A (ja) 2018-11-01

Similar Documents

Publication Publication Date Title
CN108687649A (zh) 含阳离子颗粒的浆料及其用于旋涂式碳膜的cmp的方法
US7247179B2 (en) Composition and associated methods for chemical mechanical planarization having high selectivity for metal removal
JP2019029660A (ja) 調整可能な選択性を有する低砥粒cmpスラリー組成物
CN109957334A (zh) 用于多晶硅抛光的低凹陷二氧化硅颗粒的水性组合物
JP7274844B2 (ja) 浅溝分離に使用するための水性シリカスラリー及びアミンカルボン酸組成物並びにその使用方法
KR20060097615A (ko) 박막 및 유전체 물질의 화학기계적 연마용 조성물 및 방법
KR102649771B1 (ko) 연마 시 선택적 질화물 제거를 위한 수성의 음이온 작용성 실리카 슬러리 및 아민 카복실산 조성물, 및 이의 사용 방법
TWI760494B (zh) 用於淺溝槽隔離的水性二氧化矽漿料組合物及其使用方法
JP7274845B2 (ja) 浅溝分離に使用するための水性低砥粒シリカスラリー及びアミンカルボン酸組成物並びにその製造方法及び使用方法
KR102611005B1 (ko) 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법
KR100684877B1 (ko) 슬러리 조성물 및 이를 이용한 화학적 기계적 연마 공정을포함하는 반도체 소자 제조 방법
CN109593474A (zh) 选择用于抛光中氮化物去除的含水二氧化硅浆料和胺羧酸组合物及其使用方法
JP2008182181A (ja) 研磨用組成物
TW202338027A (zh) 用於矽氧化物及矽氮化物的化學機械平坦化研磨組合物

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant