JP7355487B2 - カチオン性粒子含有スラリー及びスピンオン炭素膜のcmpのためのその使用方法 - Google Patents

カチオン性粒子含有スラリー及びスピンオン炭素膜のcmpのためのその使用方法 Download PDF

Info

Publication number
JP7355487B2
JP7355487B2 JP2018051445A JP2018051445A JP7355487B2 JP 7355487 B2 JP7355487 B2 JP 7355487B2 JP 2018051445 A JP2018051445 A JP 2018051445A JP 2018051445 A JP2018051445 A JP 2018051445A JP 7355487 B2 JP7355487 B2 JP 7355487B2
Authority
JP
Japan
Prior art keywords
organic polymer
cmp polishing
polymer film
spin
inorganic oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018051445A
Other languages
English (en)
Other versions
JP2018170505A (ja
Inventor
ユリア・コジューフ
リー・メルボルン・クック
マイケル・イー・ミルズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials CMP Holdings Inc
Original Assignee
Rohm and Haas Electronic Materials CMP Holdings Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials CMP Holdings Inc filed Critical Rohm and Haas Electronic Materials CMP Holdings Inc
Publication of JP2018170505A publication Critical patent/JP2018170505A/ja
Application granted granted Critical
Publication of JP7355487B2 publication Critical patent/JP7355487B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B7/00Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor
    • B24B7/20Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground
    • B24B7/22Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain
    • B24B7/228Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain for grinding thin, brittle parts, e.g. semiconductors, wafers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Description

本発明は、カチオン性シリカ粒子及び硫酸基含有界面活性剤を含有する砥粒組成物を用いて半導体ウェーハ基材上の有機ポリマー膜をケミカルメカニカルポリッシング(CMP)する工程を含む方法であって、高い除去速度及び高い基材除去選択比を示す方法に関する。
半導体及び電子デバイス産業においては、製造工程中に形成する層を平坦化、研磨及び/又は除去するためにケミカルメカニカルポリッシング(CMP)が使用される。そのような層のいくつかを製造する際、有機ポリマー液スピンコーティング組成物から作られるスピンオン炭素(SOC)コーティングが、たとえばメモリ又は論理用途に使用するための半導体基材を製造するためのフォトリソグラフィーにおいて犠牲層又はマスクとして有用な膜を作り出す。スピンオン絶縁体(SOD)コーティングは、たとえば光電子用途のための電子部品基材をパッケージングする工程において絶縁層又は再分布層として有用である。
たとえばフォトリソグラフィー用途においては、焦点深度要件を満たすために、SOC膜においてダイスケール及びウェーハスケールでの効果的なケミカルメカニカルプラナリゼーションの必要性が残る。今日まで、SOC膜のCMPは、所望の除去を達成するために高濃度の砥粒を含有する、及び/又は酸化剤を含有するスラリーに依存するものであった。そのようなスラリーはまた、スクラッチ及び他の欠陥を生じさせ、多大な使用コストに寄与する。
MacDonaldへの米国特許第7,390,748B2号は、砥粒スラリーがシリカ粒子及び界面活性剤、たとえば第四級アンモニウム塩を含有し、それが、ウェーハのリセス状又は低密度区域における望まれない研磨を阻止するのに役立つ、半導体ウェーハ研磨の方法を開示している。MacDonaldの組成物において、研磨に使用されるスラリー組成物は、ウェーハ表面の等電点のpHと、粒子中の砥粒のpHとの間のpHを有する。その結果、スラリーは、基板の表面電荷とは反対の表面電荷を有するが;界面活性剤は、その中に使用されている砥粒粒子と同じ表面電荷を有し、それによって研磨を妨げる。MacDonaldの開示及びその組成物は有機ポリマー基材の研磨の課題に対処していない。
本発明者らは、有機基材、たとえばポリマーの研磨において、低いシリカ固形分ででも、より一貫し、より高度にチューニング可能な研磨性能を可能にするCMP研磨法を提供する課題を解決しようと尽力した。
1 .本発明にしたがって、方法は、半導体ウェーハ又は基材、たとえばパターン付き又はパターンなしシリコンウェーハ、ガリウムヒ素ウェーハ又はシリコンゲルマニウムウェーハ上に有機ポリマー液をスピンコートして形成する工程、スピンコーティングをたとえば70 ~ 375 ℃ 又は好ましくは90 ~ 350℃ の温度で少なくとも部分的に硬化させて有機ポリマー膜を形成する工程; 及びCMP研磨パッド及び水性CMP研磨組成物によって有機ポリマー膜をケミカルメカニカルポリッシング(CMP研磨)する工程を含み、水性CMP研磨組成物は、全CMP研磨組成物固形分に基づいて0.05 ~ 7重量% 又は好ましくは0.1 ~ 4重量% の、細長い、曲がった、又はこぶのあるシリカ粒子の砥粒であって、シリカ粒子の少なくとも一つの中に一つ以上のカチオン性窒素又はリン原子、好ましくは一つ以上のカチオン性窒素原子を含有する、又は好ましくは、シリカ粒子が、3.3 のpH で8 ~ 50 mVのゼータ電位(ZP) 又はさらに好ましくは17 ~ 26 mVのZPを有する、砥粒、全CMP研磨組成物固形分に基づいて0.005 ~ 0.5 重量% 又は好ましくは0.01~ 0.1重量% の硫酸基含有界面活性剤であって、C8~ C18アルキルもしくはアルケニル基、好ましくはC12~ C14アルキルもしくはアルケニル基をさらに含有する硫酸基含有界面活性剤、及びpH調節剤、たとえば無機酸を含み、水性CMP研磨組成物は、1.5 ~ 4.5又は好ましくは1.5 ~ 3.5の範囲のpHを有し、ここで、前記pH は、シリカ粒子の電点(IEP) 未満である。
2.上記項目1記載の本発明の方法にしたがって、有機ポリマー膜は、リソグラフィー用途に使用されるスピンオンコーティング(SOC)であり、方法はさらに、(a)研磨された有機ポリマー膜をマスクに通して活性化放射線に露光する工程;及び(b)有機ポリマー膜層を現像剤と接触させてリソグラフィーパターンを形成する工程を含み、CMP研磨は(a)露光の前又は後のいずれかで実施される。
3.上記項目1記載の本発明の方法にしたがって、有機ポリマー膜は、リソグラフィー用途に使用されるスピンオンコーティング(SOC)であり、方法はさらに、(a)有機ポリマー膜上にトップコート層を形成する工程;(b)トップコート層及び有機ポリマー膜をマスクに通して活性化放射線に露光する工程;及び(c)露光したトップコート層及び有機ポリマー膜層を現像剤と接触させてリソグラフィーパターンを形成する工程を含み、CMP研磨は(b)露光の前又は後かつ(a)トップコート層形成の前に実施され、CMP研磨は(b)露光の前又は後かつ(a)トップコート層形成の後で実施される。
4.上記項目1記載の本発明の方法にしたがって、有機ポリマー膜は、リソグラフィー用途に使用されるスピンオンコーティング(SOC)であり、CMP研磨ののち、方法はさらに、(a)研磨された有機ポリマー膜を活性化放射線に露光する工程;及び(b)有機ポリマー膜層を現像剤と接触させてリソグラフィーパターンを形成する工程を含む。
5.項目1、2、3又は4のいずれか一つに記載の本発明の方法にしたがって、有機ポリマー膜は、ポリアリーレン類、ポリアリーレンエーテル類、架橋ポリアリーレン類、架橋ポリアリーレンエーテル類、ノボラック類又はフェノールエポキシ類から選択されるポリマーを含むスピンオンコーティングである。
6.項目1、2、3、4又は5のいずれか一つに記載の本発明の方法にしたがって、半導体ウェーハ又は基材はさらに、無機酸化物、たとえば二酸化ケイ素、無機酸化物と導電層、たとえば銅又はポリシリコン、無機酸化物と絶縁体、たとえば窒化物、又は無機酸化物と絶縁体と導電層を含む。
7.項目6記載の本発明の方法にしたがって、CMP研磨は、有機ポリマー膜の表面が研磨されて、有機ポリマー膜を平坦化する、及び/又は無機酸化物又は無機酸化物と絶縁体及び導電層のいずれか又は両方を露出させるまで続けられる。
8.項目1記載の本発明の方法にしたがって、有機ポリマー膜は、無機酸化物、たとえば二酸化ケイ素及び/又は導電層、たとえば銅又はポリシリコンを含む電子パッケージング基材上にスピンコートされるスピンオン絶縁体(SOD)である。
9.項目1又は8のいずれか一つに記載の本発明の方法にしたがって、有機ポリマー膜は、ポリイミド類、エポキシ類、たとえばノボラックエポキシ類又はポリベンゾキサゾール類から選択され、好ましくは、有機ポリマー膜の硬化は、80~180℃の温度で30秒~20分間、たとえば1分以上、部分的に硬化させたのち有機ポリマー膜をCMP研磨する工程を含み、方法はさらに、基材をCMP研磨したのち有機ポリマー膜を完全に硬化させる工程を含む。
10.項目1、8又は9のいずれか一つに記載の本発明の方法にしたがって、半導体ウェーハ又は基材は、無機酸化物、たとえば二酸化ケイ素、無機酸化物と導電層、たとえば銅、無機酸化物と絶縁体、たとえば窒化物、又は無機酸化物と絶縁体と導電層を含む。
11.項目10記載の本発明の方法にしたがって、CMP研磨は、有機ポリマー膜の表面が研磨されて、無機酸化物、又は無機酸化物と導電層、又は無機酸化物と絶縁体を露出させるまで続けられる。
12.上記項目1、2、3、4、5、6、7、8、9、10又は11のいずれか一つに記載の本発明の方法にしたがって、水性CMP研磨組成物中、一つ以上のカチオン性窒素原子は、水性CMP研磨組成物のpHで一つ以上のカチオン性窒素原子を含有するアミノシラン類又はアンモニウム化合物に由来し、好ましくは、一つ以上のカチオン性窒素原子は、第四級アンモニウム原子又は好ましくはテトラメチルアンモニウムのカチオン性窒素原子である。
13.上記項目1、2、3、4、5、6、7、8、9、10、11又は12のいずれか一つに記載の本発明の方法にしたがって、水性CMP研磨組成物中、一つ以上のカチオン性窒素原子は、テトラメトキシシラン(TMOS)と、第四級アンモニウム原子を含有するアミン含有アルカリ性触媒、たとえば水酸化テトラメチルアンモニウムとで形成されたカチオン性粒子に由来する。
14.上記項目1、2、3、4、5、6、7、8、9、10、11、12又は13のいずれか一つに記載の本発明の方法にしたがって、水性CMP研磨組成物中、pH調節剤は、硝酸及び/又はリン酸から選択される無機酸である。
15.上記項目1~14のいずれか一つに記載の本発明の方法にしたがって、水性CMP研磨組成物は、酸化剤化合物、たとえば過酸化水素を実質的に含まない。
好ましくは、本発明の方法において、CMP研磨組成物中の細長い、曲がった、又はこぶのある砥粒シリカ粒子固形分と、硫酸基含有界面活性剤固形分との比は、4:1~35:1又は好ましくは5:1~33:1の範囲であり;より好ましくは、そのようなCMP研磨組成物は、細長い、曲がった、又はこぶのあるシリカ粒子砥粒を固形分として0.1~4重量%含み、又はもっとも好ましくは、そのようななCMP研磨組成物は、3.3のpHで17~26mVのゼータ電位(ZP)を有する細長い、曲がった、又はこぶのあるシリカ粒子を固形分として0.1~2重量%含む。
好ましくは、本発明の方法において、CMP研磨組成物中の砥粒シリカ粒子固形分と、硫酸基含有界面活性剤固形分との比は5:1~120:1であり;より好ましくは、そのようなCMP研磨組成物はシリカ粒子砥粒を固形分として0.4~3重量%含む。
別段指示されない限り、温度及び圧力の条件は周囲温度及び標準圧力である。記載されるすべての範囲は包括的かつ組み合わせ可能である。
別段指示されない限り、括弧を含む語は、選択的に、括弧が存在しない場合の完全な語及び括弧を有しないその語ならびに各選択の組み合わせを指す。よって、語「(ポリ)イソシアネート」は、イソシアネート、ポリイソシアネート又はそれらの混合物を指す。
すべての範囲は包括的かつ組み合わせ可能である。たとえば、語「50~3000cPの範囲又は100cP以上」は、50~100cP、50~3000cP及び100~3000cPそれぞれを含む。
本明細書の中で使用される語「ASTM」とは、ASTM International(West Conshohocken, PA)の刊行物をいう。
本明細書の中で使用される語「細長い、曲がった又はこぶのあるシリカ粒子」とは、最長寸法と、最長寸法に対して垂直である直径とのアスペクト比が1.8:1~3:1であるシリカ粒子をいう。
本明細書の中で使用される語「硬い塩基」とは、NaOH、KOH又はCa(OH)2のようなアルカリ(土類)金属水酸化物を含む金属水酸化物をいう。
本明細書の中で使用される語「等電点」とは、所与の表面又は材料の電荷が中性になる、その表面又は材料のpHレベルをいう。等電点未満で、所与の表面の電荷は、正である。
本明細書の中で使用される語「ISO」とは、International Organization for Standardization(Geneva, CH)の刊行物をいう。
本明細書の中で使用される語「粒子径(CPS)」とは、CPS Instruments(The Netherlands)ディスク遠心システムによって測定される組成物の重量平均粒子径をいう。粒子は、溶媒中、遠心力によって径ごとに分けられ、光散乱法を使用して定量される。
本明細書の中で使用される語「半導体ウェーハ」とは、半導体基材、たとえばパターンなしの半導体又はパターンを有する半導体、半導体デバイス、様々なレベルの配線のための様々なパッケージ、たとえばシングルチップウェーハ又はマルチチップウェーハ、発光ダイオード(LED)のための基板又ははんだ接続を要する他のアセンブリを包含することを意図したものである。
本明細書の中で使用される語「半導体基材」とは、半導体材料を含む任意の構造物をいうものと定義される。半導体基材は、半導体デバイス及び半導体デバイスの活動的又は動作可能部分を含む一つ以上の半導体層又は構造を有する基材を含む。
本明細書の中で使用される語「半導体デバイス」とは、少なくとも一つのマイクロ電子デバイスがその上に作製されている半導体基材をいう。
本明細書の中で使用される語「ショアーD硬さ」とは、ASTM D2240-15(2015)「Standard Test Method for Rubber Property―Durometer Hardness」にしたがって計測される所与の材料の硬さである。硬さは、Dプローブを備えたRex Hybrid硬さ試験器(Rex Gauge Company, Inc., Buffalo Grove, IL)で計測したものである。六つの試料を積み重ね、硬さ計測ごとに入れ替えた。硬さ試験の繰返し精度を改善するために、試験する各パッドを、試験前に50%相対湿度、23℃で5日間配置し、ASTM D2240-15(2015)に概説された方法を使用することによってコンディショニングした。本発明において、研磨層又はパッドのポリウレタン反応性生成物のショアーD硬さは、ショアーD硬さを下げるための添加物を含むその反応生成物のショアーD硬さを含む。
本明細書の中で使用される語「シリカ粒子固形分」又は「シリカ固形分」とは、所与の組成物に関し、球形シリカ粒子の全量+細長い、曲がった、又はこぶのあるシリカ粒子の全量(それらの粒子いずれかが処理されるとき用いられる成分があるならばそれをも含む)をいう。
本明細書の中で使用される語「固形分」とは、その物理的状態にかかわらず使用条件下で揮発しない、水又はアンモニア以外の物質をいう。よって、使用条件下で揮発しない液体シラン類又は添加物は「固形分」とみなされる。
本明細書の中で使用される語「強酸」とは、2以下のpKaを有するプロトン酸、たとえば硫酸又は硝酸のような無機酸をいう。
本明細書の中で使用される語「酸化剤化合物を実質的に含まない」とは、所与の化合物が酸化剤化合物を50ppm以下又は好ましくは25ppm以下しか含まないことを意味する。
本明細書の中で使用される語「チューニング可能」又は「チューニング可能性」とは、一つの材料を別の材料よりも研磨する際にCMP組成物が示す除去速度及び選択比、たとえば二酸化ケイ素の高い除去及び窒化ケイ素の低い除去によって決まるCMP組成物の特性をいう。
本明細書の中で使用される語「使用条件」とは、所与の組成物が使用されるときの温度及び圧力(使用中の、又は使用の結果としての温度及び圧力の上昇をも含む)をいう。
本明細書の中で使用される「重量%」は重量百分率の略である。
本明細書の中で使用される語「ゼータ電位」又は「ZP」とは、Malvern Zetasizer計器によって計測される所与の組成物の電荷をいう。すべてのゼータ電位計測は、実施例に記載されるようにスラリー組成物に対して実施したものである。報告される値は、各指示された組成物に関して計器によって読み取られた>20の獲得値を使用してゼータ値の平均化計測値から得たものである。本発明にしたがって、シリカ粒子のゼータ電位は、シリカ粒子の表面上のカチオン電荷の量に比例する。
本発明の方法にしたがって、CMP研磨は半導体ウェーハ又は基材から有機ポリマー膜を除去する。有機ポリマー膜は、低い砥粒含量を有するスラリーを使用する、スピンオン(SOC)又は(SOD)膜、たとえば架橋ポリアリーレンエーテル膜であることができる。CMP研磨組成物調合は簡単であり、数少ない市販の成分で構成され、そのような成分は環境又は安全性制限を受けない。硫酸基含有アニオン性界面活性剤は、界面活性剤分子の疎水性テールを介する相互作用により、いかなる基材面をも濡らすことができ、界面活性剤の負電荷親水性ヘッドによる電荷引き寄せによってカチオン性シリカ粒子を引き寄せることができる。CMP研磨組成物は、pH1.5~4の酸性条件でカチオン性シリカ粒子及び硫酸基含有界面活性剤のスラリーを含み、スラリーが砥粒粒子のIEP未満にとどまる限り、たとえば高い除去速度で架橋ポリアリーレンエーテルSOC膜を除去する。スラリーの砥粒添加量が低いため、欠陥率、必要な洗浄工程及び使用コストは最小化される。
本発明の方法にしたがって、有用な有機ポリマー膜は、当技術分野において公知の従来法にしたがってスピンコーティングによって製造することができる。本発明の有機ポリマー膜の硬化は、当技術分野において公知の従来法によって実施することができる。
リソグラフィー用途に使用するためのスピンオンコーティング(SOC)は、個々のダイ又はパターンにコートされる、200~2000nmの平均厚さを有することができるコーティング層又は有機ポリマー膜を含み;パッケージング用途に使用するためのスピンオン絶縁体コーティングは、半導体ウェーハ又は基材を被覆、保護又は封入する、0.4~60ミクロンの平均厚さを有することができるコーティング層又は有機ポリマー膜を含む。
好ましくは、表面に付着させたのち、スピンコートされた有機ポリマー膜を加熱(ベーク)して残留溶媒を除去し;この加熱は、得られる膜を部分的に硬化させることができる。一般には一定期間の加熱により、硬化が続いて起こる。適当な硬化温度は70~375℃の範囲である。一般に硬化時間は1~600分の範囲であり;長めの硬化時間は低めの温度でポリマーの硬化を可能にする。
適当なCMP研磨パッドは、任意の研磨パッド、たとえば当技術分野において公知であり、一般的であるようなポリウレタンフォームパッド、たとえばReinhardtらの米国特許第5,578,362号又はKulpの米国特許第7,445,847B2号に開示されているCMP研磨パッドであることができる。
本発明の方法のCMPにしたがって有用なCMP組成物は、一つ以上のカチオン性窒素又はリン原子を含有する細長い、曲がった、又はこぶのあるシリカ粒子の砥粒を含むことができる。そのようなシリカ粒子の砥粒は、本発明の方法において非常に低い固形分で使用することができる。
適当な細長い、曲がった、又はこぶのあるシリカ粒子は、テトラエトキシシラン(TEOS)又はテトラメトキシシラン(TMOS)のような前駆体から公知のやり方で形成されたシラノール類の加水分解縮合によって懸濁重合から製造される。細長い、曲がった、又はこぶのあるシリカ粒子を製造する方法は公知であり、たとえばHiguchiらへの米国特許第8,529,787号に見ることができる。加水分解縮合は、前駆体を、水性懸濁液中、塩基性触媒、たとえばアルキルアンモニウム水酸化物、アルキルアミン類又はKOH、好ましくは水酸化テトラメチルアンモニウムの存在下で、反応させることを含み;加水分解縮合法は、一つ以上のカチオン性窒素又はリン原子を細長い、曲がった、又はこぶのあるシリカ粒子の中に組み込むことができる。好ましくは、細長い、曲がった、又はこぶのあるシリカ粒子は4以下のpHでカチオン性である。
他の適当な、一つ以上のカチオン性窒素原子を含有するシリカ粒子の砥粒は、アミノシラン類の存在下、たとえばアミノシラン類を6未満のpHでシラノール類又はその前駆体に加え、苛性のような塩基性触媒を加えることによってゾルゲルシリカ形成を実施することによって形成されるシリカ粒子であることができる。適当なアミノシラン類は、たとえば、一つ以上の第三級アミン基を含有するアミノシラン類、たとえばN,N-(ジエチルアミノメチル)トリエトキシシラン(DEAMS)又は一つ以上の第二級アミン基を含有するアミノシラン類、たとえばN-(2-アミノエチル)-3-アミノプロピルトリメトキシシラン(AEAPS)もしくはN-アミノエチルアミノエチルアミノプロピルトリメトキシシラン(DETAPS)、好ましくは、一つ以上の第三級アミン基を含有するアミノシラン類であることができる。
適当な細長い、曲がった、又はこぶのあるシリカ粒子の砥粒は、Fuso Chemical Co., Ltd., Osaka, JP(Fuso)から商品名HL-2、HL-3、HL-4、PL-2、PL-3又はBS-2及びBS-3スラリーの下で市販されている。FusoからのHL及びBSシリーズ粒子は、4以下のpHでカチオン電荷を付与する一つ以上の窒素原子を含有する。
本発明の方法に有用なCMP研磨組成物は、さらなる界面活性剤を含有することもできるが;本発明の方法に有用なCMP研磨組成物中の界面活性剤の量は、CMP研磨組成物の全固形分に基づいて1.0重量%未満に制限されるべきである。過剰な量の界面活性剤は潤滑層として作用し、CMP研磨の有効性を抑制する。
本発明の方法に有用なCMP研磨組成物は、好ましくは、実質的な量の酸化剤を含有しない。酸化剤は、それを含有する組成物に不安定性を生じさせるおそれがある。
本発明の方法にしたがってスピンオンカーボン(SOC)コーティングとして有用な適当な有機ポリマー膜は、リソグラフィー用途においてパターニング、特にSAQP(self-aligned quadruple patterning)を可能にするための犠牲層として有用である。ポリマー膜の局所的な平坦化は、流動性のような材料の性質を通して達成することができるが、より大きなスケール、たとえばウェーハ及びダイスケールの平坦性は達成しにくいことがわかっている。適当なSOCコーティングは、マルチパターニング用途のための架橋ポリ(アリーレンエーテル)膜を含むことができる。ポリ(アリーレンエーテル)膜は、450℃までの温度で熱的に安定であり、高い炭素含量及び局所形体スケールでの間隙充填のおかげで良好なエッチング選択比を提供する。本発明の方法にしたがって、そのような架橋ポリアリーレンエーテル膜は、ダイスケール及びウェーハスケールで効果的に平坦化することができる。
本発明の方法にしたがってスピンオン絶縁体(SOD)として有用な適当な有機ポリマー膜は、パッケージング用途、たとえばウェーハレベルパッキング(WLP)用途で有用であり、スタックの永久的部品としてとどまるポリマー絶縁体を用いる。好ましくは、そのようなポリマーは、CMP研磨の前に部分的に硬化され、CMP研磨ののち、完全な硬化を達成するためのさらなる加工を受ける。
本発明にしたがってSOCとして使用される得られたスピンコーティング膜は、リソグラフィー用途に使用することができる。
本発明の方法にしたがって、フォトリソグラフィー法は、(a)基材上に有機ポリマー膜を形成する工程;(b)有機ポリマー膜上にトップコート層を形成する工程;(c)トップコート層及び有機ポリマー膜をマスクに通して活性化放射線に露光する工程;及び(d)露光したトップコート層及び有機ポリマー膜を現像剤と接触させてリソグラフィーパターンを形成する工程を含む。トップコート層(b)は省略することができる。本明細書の中で使用される語「活性化放射線」とは、有機ポリマー膜を、ポジフォトレジストの場合には現像剤中に可溶性である形態又はネガフォトレジストの場合には現像剤中に不溶性である形態へと変化させる任意の放射線、たとえば紫外(UV)線である。本明細書の中で使用される語「マスク」とは、有機ポリマー膜又は有機ポリマー膜及びトップコートのうち、所望のパターンを有する部分を活性化放射線から保護するフィルタ又はパターンである。
フォトリソグラフィー用途における本発明の方法にしたがって、CMP研磨は、有機ポリマー膜の形成後、活性化放射線への有機ポリマー膜の露光後又は両方の後で実施される。
本発明のCMP研磨は従来のCMP研磨法を含む。CMP研磨は、プラテン又はテーブルを有するCMP研磨装置を提供する工程;研磨する有機ポリマー膜基材を提供する工程;研磨面を有するCMP研磨パッド、たとえばポリウレタンフォームパッドを提供する工程;CMP研磨パッドをプラテン又はテーブル上に設置する工程;CMP研磨パッドの研磨面と基材との間の界面に本発明のCMP研磨組成物を提供する工程;及びCMP研磨パッド表面と基材との間に動的接触を生じさせる工程を含み、少なくともいくらかの有機ポリマー膜材料が基材から除去される。
本発明の方法にしたがって、方法は、CMP研磨パッド表面と有機ポリマー膜基材との間に動的接触を生じさせる工程を、基材を回転させること、研磨層を有するCMP研磨パッドを回転させること、又は両方を回転させることによって実施することができるCMP研磨を含む。
本発明の方法にしたがって、方法は、CMP研磨パッドを用いてCMP研磨し、別個に又は同時に、CMP研磨パッドの研磨面をコンディショニングパッドによってコンディショニングして、研磨面が表面マクロテキスチャを有するようにする工程を含む。
好ましくは、本発明のCMP研磨において、CMP研磨パッド表面と有機ポリマー膜基材との間の動的接触の発生は、4~40kPa又は好ましくは6未満~35kPaの低いダウンフォースしか生じさせない。
有機ポリマー膜形成組成物をスピンコートするとき、所望の膜厚さを提供するために、利用される具体的なスピニング装備、組成物の粘度、スピナの速度及びスピニングに許される時間に基づいて有機ポリマー液コーティング組成物の固形分を調節することができる。
本発明にしたがってリソグラフィーに使用されるスピンコーティング組成物(SOCs)は、SOCsのコーティングを伴う工程において従来から使用されている基材に適切に塗布される。たとえば、組成物は、無機酸化物、シリコンウェーハ又はパターン付けされる一つ以上の層、たとえば一つ以上の導電層、半導体層及び絶縁層をコートされていてもよいシリコンウェーハ上に塗布されることができる。
SOCによって製造された有機ポリマー膜は、一つの熱処理工程で乾燥させ、又は少なくとも部分的に硬化させ、溶媒を実質的に除去することができる。
本発明のトップコート組成物は、当業者に公知の適当な方法、一般にはスピンコーティングによってSOC有機ポリマー膜に塗布することができ;両層をいっしょに熱処理又は硬化させることができる。次いで、トップコート組成物層を有するフォトレジスト有機ポリマー膜を、フォトレジストの光活性成分のための活性化放射線にパターン適合的に露光させる。
その後、一般には、第四級アンモニウム水酸化物溶液、たとえば水酸化テトラアルキルアンモニウム水溶液、一般には2.38重量%又は5重量%水酸化テトラメチルアンモニウム水溶液;アミン溶液、たとえばエチルアミン、n-プロピルアミン、ジエチルアミン、ジ-n-プロピルアミン、トリエチルアミン又はメチルジエチルアミン;アルコールアミン類、たとえばジエタノールアミン又はトリエタノールアミン;及び環式アミン類、たとえばピロール又はピリジンから選択される水性塩基現像剤を用いる処理により、有機ポリマー膜を現像する。一般に、現像は、当技術分野において認識されている方法にしたがって実施される。
基材上のフォトレジストコーティングの現像ののち、現像した基材の、レジストのない区域を、当技術分野において公知の方法にしたがってエッチング又はめっきすることにより、選択的に加工することもできる。そのような加工ののち、公知のストリッピング法を使用して、加工された基材からレジストを除去することもできる。
実施例:以下の実施例が本発明の様々な特徴を説明する。
以下の実施例において、別段指示されない限り、温度及び圧力の条件は周囲温度及び標準圧力である。
以下の実施例においては以下の材料を使用した。
AEAPS=N-(2-アミノエチル)-3-アミノプロピルトリメトキシシラン、98%(Gelest Inc., Morrisville, PA);DEAMS=(N,N-ジエチルアミノメチル)トリエトキシシラン、98%(Gelest Inc.);TMOS=テトラメトキシシラン;TMAH=水酸化テトラメチルアンモニウム。
実施例において使用した様々なシリカ粒子を以下の表Aに掲載する。
Figure 0007355487000001
除去速度:別段指示されない限り、Strasbaugh 6EC 200mmウェーハ研磨機又は「6EC RR」(Axus Technology Company, Chandler, AZ)を使用して、指示された基材に対する研磨から除去速度試験を実施した。Strasbaugh 6EC 200mmウェーハ研磨機は、20.7kPa(3psi)のダウンフォース、93rpmのテーブル速度、87rpmのキャリヤ速度で作動させた。指示された砥粒スラリーを200mL/minの流量で用いて指示された基材を研磨した。Dow Electronic MaterialsからのIC1010(商標)パッドを研磨に使用した。IC1010(商標)パッドは、57のショアーD硬さ及び1010溝パターンを有する厚さ2.03mm(80mil)のポリウレタンパッドである(The Dow Chemical Company, Midland, MI(Dow))。AK45 AM02BSL8031C1-PMダイアモンドパッドコンディショナ(SAESOL Diamond Ind. Co. Ltd., Asan, South Korea)を使用して、62.1kPa(9lbf)のダウンフォースでの100%インサイチューコンディショニングによって研磨パッドを20分間コンディショニングしたのち、研磨の前に、48.3kPa(7lbf)のダウンフォースを使用してさらに10分間コンディショニングした。研磨の前後に、49点スパイラルスキャンを使用するKLA-Tencor(商標)FX200計測ツール(KLA Tencor, Milpitas, CA)を使用して、エッジ除外領域3mmで膜厚さを計測することにより、除去速度を測定した。
実施例1:曲がった細長いシリカ粒子砥粒を有するCMP研磨組成物、スラリーAを試験し、結果を以下の表1に示す。以下の表1中、研磨した基材は、OPTL(商標)タイプ架橋ポリ(アリーレンエーテル)を厚さ約5000Åでスピンコート(SOC)し、350℃で1分間硬化させた直径200mmのベアシリコンウェーハ(The Dow Chemical Company, Midland, MI(Dow))であった。SOC膜を60秒間研磨した。1試行あたり一枚のウェーハを試験した。
Figure 0007355487000002
上記表1に示すように、硫酸基含有界面活性剤は、細長い曲がったシリカ粒子を低い固形分で含有するスラリーによる有機ポリマー膜除去速度を高めることが示された。さらに、砥粒シリカ粒子の0.5重量%固形分は、同砥粒シリカ粒子のより高い固形分の場合と同じくらい効果的であった。対照的に、カチオン界面活性剤は有機ポリマー膜除去速度を支援しなかった。
実施例2:酸化物及び窒化物除去速度に対するポリマー除去速度に対する硫酸基含有界面活性剤濃度の効果。試験した基材は、その上に付着されたTEOS(二酸化ケイ素)又はSiN絶縁体を有する、又は350℃/60秒でベークされたOPTL(商標)タイプ架橋ポリ(アリーレンエーテル)(Dow)の厚さ5000Åの層をスピンコートされた、直径200mmのベアシリコンウェーハであった。1試行あたり、SOCコーティング、その上に付着されたSiN層又はその上に付着されたTEOS層のいずれか一つを有する一つのウェーハを試験した。SOC膜を15秒間研磨した。結果を以下の表2に示す。
Figure 0007355487000003
上記表2に示すように、細長い、曲がった又はこぶのあるシリカ粒子砥粒(500ppmの量)と硫酸基含有界面活性剤(濃度200ppm又は固形分0.02重量%)との比2.5:1以上は研磨を損なうが;シリカ粒子の固形分0.2重量%が使用される10:1固形分重量比は、優れた除去速度ならびに酸化物及び窒化物に対するポリマーの選択比を提供する。同様に、シリカ粒子の固形分0.3重量%が使用される6:1固形分重量比は、優れた除去速度ならびに酸化物及び窒化物に対するポリマーの選択比を提供する。しかし、砥粒と界面活性剤との30:1の重量比では、シリカの固形分0.3重量%がポリマー除去速度の低下を生じさせるが、選択比は優れている。この実施例は、本発明の方法において、CMP組成物が除去速度及び酸化物又は窒化物の除去に対するポリマー除去の選択比に関して良好なチューニング性を可能にすることを実証する。
実施例3:酸化物及び窒化物除去速度に対するポリマー除去速度に対する硫酸基含有界面活性剤濃度の効果
試験した基材は、その上に付着されたTEOS(二酸化ケイ素)層を有する、又は350℃/60秒でベークされたOPTL(商標)タイプ架橋ポリ(アリーレンエーテル)(Dow)の厚さ5000ÅのSOC層をスピンコートされた、直径200mmのベアシリコンウェーハであった。SOC膜を15秒間研磨した。1試行あたり、コーティング又は付着層の各種を有する一つのウェーハを試験した。結果を以下の表3に示す。
Figure 0007355487000004
上記表3に示すように、カチオン性シリカ粒子砥粒と硫酸基含有界面活性剤との比がCMP研磨の除去速度及び選択比を制御する。すなわち、シリカ粒子砥粒濃度ごとに、有機ポリマー膜除去速度を最大化することができ、選択比をチューニングすることができる最適な界面活性剤濃度が存在する。この実施例は、本発明の方法において、カチオン性シリカ粒子を有するCMP組成物が除去速度及び一つの基材を別に基材に対して除去する選択比の妥当なチューニング性を可能にすることを実証する。
実施例4:界面活性剤鎖長の効果を示すために、細長い、曲がった又はこぶのあるシリカ粒子の砥粒を、炭素鎖長が増す様々な界面活性剤とで試験した。研磨した基材は、その上に付着されたTEOS(二酸化ケイ素)層又は窒化ケイ素層を有する、又はOPTL(商標)タイプ架橋ポリ(アリーレンエーテル)(The Dow Chemical Company, Midland, MI(Dow))の厚さ約5000Åの膜をスピンコート(SOC)され、350℃で1分間硬化された、直径200mmのベアシリコンウェーハであった。SOC膜を15秒間研磨した。1試行あたり、その上にコート又は付着された層の各種を有する一つのウェーハを試験した。結果を以下の表4に示す。
Figure 0007355487000005
上記表4に示すように、わずか少量の細長い、曲がった、又はこぶのあるシリカ粒子砥粒が良好な除去速度を生じさせ、より長い鎖長の界面活性剤が劇的に良好な結果を生じさせる。
実施例5:他の有機ポリマー膜用途
スラリーA/ラウリル硫酸アンモニウムスラリーのCMP研磨組成物。研磨した基材は、TEOS又はSiNをその上に付着された直径200mmベアシリコンウェーハ又は80%ノボラック/20%MMA SOC膜をスピンコートされ、240℃/60秒ベークされた直径200mmベアシリコンウェーハであった。SOC有機ポリマー膜を、スラリーAだけで60秒間研磨し、スラリーA/ラウリル硫酸アンモニウムで30秒間研磨した。1試行あたり一つのウェーハを試験した。
Figure 0007355487000006
上記表5に示すように、本発明の方法は、SiN、酸化物上で止めることもできるし、酸化物及び窒化物の両方を研磨することもできる、チューニング可能なCMP組成物を可能にする。

Claims (4)

  1. 半導体ウェーハ又は基材上に有機ポリマー液をスピンコートして、スピンコーティングを形成する工程;
    70~375℃の範囲の温度で少なくとも部分的にスピンコーティングを硬化させて、有機ポリマー膜を形成する工程;及び
    研磨パッド及び水性CMP研磨組成物によって前記有機ポリマー膜をケミカルメカニカルポリッシング(CMP研磨)する工程
    を含む方法であって、
    前記有機ポリマー膜の有機ポリマーが、ポリアリーレン類、ポリアリーレンエーテル類、架橋ポリアリーレン類、架橋ポリアリーレンエーテル類、又はノボラック類から選択される有機ポリマーであり、
    前記水性CMP研磨組成物が、
    全CMP研磨組成物固形分に基づいて0.1~4重量%の、細長い、曲がった、又は、こぶのあるシリカ粒子の砥粒であって、前記シリカ粒子の少なくとも一つの中に一つ以上のカチオン性窒素又はリン原子を含有する砥粒、
    全CMP研磨組成物固形分に基づいて0.01~0.1重量%の硫酸基含有界面活性剤であって、C8~C18アルキルもしくはアルケニル基をさらに有する硫酸基含有界面活性剤、及び
    pH調節剤を含み、
    前記水性CMP研磨組成物が、1.5~4.5の範囲のpHを有し、ここで、前記pHが、前記シリカ粒子の等電点(IEP)未満であり、
    前記CMP研磨組成物が実質的に酸化剤を含まず、ここで、前記酸化剤の濃度が50ppm以下である、方法。
  2. 前記有機ポリマー膜が、リソグラフィー用途に使用されるスピンオンカーボンコーティング(SOC)であり、
    方法がさらに、
    (a)前記研磨された有機ポリマー膜を、マスクを通して活性化放射線に露光する工程;及び
    (b)前記有機ポリマーを、現像剤と接触させてリソグラフィーパターンを形成する工程を含み、
    前記CMP研磨が(a)露光の前又は後のいずれかで実施される、請求項1記載の方法。
  3. 前記半導体ウェーハ又は基材がさらに、無機酸化物;無機酸化物と導電層;無機酸化物と絶縁体;又は無機酸化物と絶縁体と導電層を含む、請求項1記載の方法。
  4. 前記有機ポリマー膜が、無機酸化物及び/又は導電層を含む電子パッケージング基材上にスピンコートされているスピンオン絶縁体(SOD)である、請求項1記載の方法。
JP2018051445A 2017-03-29 2018-03-19 カチオン性粒子含有スラリー及びスピンオン炭素膜のcmpのためのその使用方法 Active JP7355487B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/472,976 US10037889B1 (en) 2017-03-29 2017-03-29 Cationic particle containing slurries and methods of using them for CMP of spin-on carbon films
US15/472,976 2017-03-29

Publications (2)

Publication Number Publication Date
JP2018170505A JP2018170505A (ja) 2018-11-01
JP7355487B2 true JP7355487B2 (ja) 2023-10-03

Family

ID=62948607

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018051445A Active JP7355487B2 (ja) 2017-03-29 2018-03-19 カチオン性粒子含有スラリー及びスピンオン炭素膜のcmpのためのその使用方法

Country Status (5)

Country Link
US (1) US10037889B1 (ja)
JP (1) JP7355487B2 (ja)
KR (1) KR102459039B1 (ja)
CN (1) CN108687649B (ja)
TW (1) TWI760462B (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200172761A1 (en) * 2018-12-04 2020-06-04 Cabot Microelectronics Corporation Composition and method for silicon nitride cmp
US10968366B2 (en) * 2018-12-04 2021-04-06 Cmc Materials, Inc. Composition and method for metal CMP
US11712777B2 (en) * 2019-06-10 2023-08-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Cationic fluoropolymer composite polishing pad
CN111808533A (zh) * 2020-07-19 2020-10-23 湖州飞鹿新能源科技有限公司 一种Topcon电池专用晶体硅抛光凝胶及其使用方法
WO2023171290A1 (ja) * 2022-03-08 2023-09-14 株式会社フジミインコーポレーテッド 研磨用組成物

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003115441A (ja) 2001-10-03 2003-04-18 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2004128475A (ja) 2002-08-02 2004-04-22 Jsr Corp 化学機械研磨用水系分散体および半導体装置の製造方法
JP2009146998A (ja) 2007-12-12 2009-07-02 Toshiba Corp 半導体装置の製造方法
JP2010028086A (ja) 2008-06-16 2010-02-04 Hitachi Chem Co Ltd Cmp研磨剤、このcmp研磨剤を用いた研磨方法
JP2010056199A (ja) 2008-08-27 2010-03-11 Fujifilm Corp 研磨用組成物及びそれを用いた研磨方法
JP2013033897A (ja) 2010-12-22 2013-02-14 Jsr Corp 化学機械研磨方法
JP2013082584A (ja) 2011-10-11 2013-05-09 Fuso Chemical Co Ltd 高純度単分散シリカ粒子及びその製造方法
WO2015200679A1 (en) 2014-06-25 2015-12-30 Cabot Microelectronics Corporation Tungsten chemical-mechanical polishing composition
JP2016008157A (ja) 2014-06-25 2016-01-18 扶桑化学工業株式会社 コアシェル型シリカ粒子を含有するコロイダルシリカの製造方法
JP2016537438A (ja) 2013-09-24 2016-12-01 キャボット マイクロエレクトロニクス コーポレイション 高分子フィルムの化学的−機械的平坦化

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05326395A (ja) * 1992-05-21 1993-12-10 Toshiba Corp 半導体装置の製造方法
US5597442A (en) 1995-10-16 1997-01-28 Taiwan Semiconductor Manufacturing Company Ltd. Chemical/mechanical planarization (CMP) endpoint method using measurement of polishing pad temperature
US5643050A (en) * 1996-05-23 1997-07-01 Industrial Technology Research Institute Chemical/mechanical polish (CMP) thickness monitor
US6153525A (en) 1997-03-13 2000-11-28 Alliedsignal Inc. Methods for chemical mechanical polish of organic polymer dielectric films
US6592776B1 (en) * 1997-07-28 2003-07-15 Cabot Microelectronics Corporation Polishing composition for metal CMP
US6723143B2 (en) 1998-06-11 2004-04-20 Honeywell International Inc. Reactive aqueous metal oxide sols as polishing slurries for low dielectric constant materials
US6358853B2 (en) 1998-09-10 2002-03-19 Intel Corporation Ceria based slurry for chemical-mechanical polishing
US6270395B1 (en) 1998-09-24 2001-08-07 Alliedsignal, Inc. Oxidizing polishing slurries for low dielectric constant materials
FR2785614B1 (fr) 1998-11-09 2001-01-26 Clariant France Sa Nouveau procede de polissage mecano-chimique selectif entre une couche d'oxyde de silicium et une couche de nitrure de silicium
US6315635B1 (en) 1999-03-31 2001-11-13 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for slurry temperature control in a polishing process
EP1071121A1 (en) * 1999-07-19 2001-01-24 International Business Machines Corporation Process for the formation of a collar oxide in a trench in a semiconductor substrate
US6375548B1 (en) * 1999-12-30 2002-04-23 Micron Technology, Inc. Chemical-mechanical polishing methods
US6736992B2 (en) 2000-04-11 2004-05-18 Honeywell International Inc. Chemical mechanical planarization of low dielectric constant materials
US6830503B1 (en) 2002-01-11 2004-12-14 Cabot Microelectronics Corporation Catalyst/oxidizer-based CMP system for organic polymer films
US20030162399A1 (en) * 2002-02-22 2003-08-28 University Of Florida Method, composition and apparatus for tunable selectivity during chemical mechanical polishing of metallic structures
TWI241626B (en) 2003-06-02 2005-10-11 Toshiba Corp Chemical mechanical polishing method of organic film and method of manufacturing semiconductor device
US7390748B2 (en) 2004-08-05 2008-06-24 International Business Machines Corporation Method of forming a polishing inhibiting layer using a slurry having an additive
US20060124592A1 (en) 2004-12-09 2006-06-15 Miller Anne E Chemical mechanical polish slurry
KR100627589B1 (ko) 2004-12-31 2006-09-25 제일모직주식회사 결함 발생률이 낮은 cmp 슬러리 조성물 및 그 제조방법
US7052373B1 (en) 2005-01-19 2006-05-30 Anji Microelectronics Co., Ltd. Systems and slurries for chemical mechanical polishing
US7294044B2 (en) 2005-04-08 2007-11-13 Ferro Corporation Slurry composition and method for polishing organic polymer-based ophthalmic substrates
JP2007088424A (ja) * 2005-08-24 2007-04-05 Jsr Corp 化学機械研磨用水系分散体、該水系分散体を調製するためのキット、化学機械研磨方法、および半導体装置の製造方法
JP2007138133A (ja) 2005-10-21 2007-06-07 Hitachi Chem Co Ltd 有機膜研磨用研磨液及びこれを用いた有機膜の研磨方法
KR100880107B1 (ko) * 2006-01-25 2009-01-21 주식회사 엘지화학 Cmp 슬러리 및 이를 이용한 반도체 웨이퍼의 연마 방법
KR20080037802A (ko) 2006-10-27 2008-05-02 삼성전자주식회사 슬러리 조성물 및 이를 이용한 연마 방법
KR100827591B1 (ko) 2006-11-27 2008-05-07 제일모직주식회사 화학적 기계적 연마용 슬러리 조성물 및 그 전구체 조성물
JP5329786B2 (ja) 2007-08-31 2013-10-30 株式会社東芝 研磨液および半導体装置の製造方法
JP2009079137A (ja) * 2007-09-26 2009-04-16 Fujifilm Corp 膜形成用組成物及び膜の製造方法
WO2010121029A2 (en) * 2009-04-15 2010-10-21 Sinmat, Inc. Cyclic self-limiting cmp removal and associated processing tool
US20100279435A1 (en) 2009-04-30 2010-11-04 Applied Materials, Inc. Temperature control of chemical mechanical polishing
US8449636B2 (en) 2010-08-09 2013-05-28 Ferro Corporation Easy rinsing polishing composition for polymer-based surfaces
CN102465945A (zh) 2010-11-12 2012-05-23 中国南车集团襄樊牵引电机有限公司 一种易拆卸定位销
TWI593791B (zh) * 2011-01-25 2017-08-01 日立化成股份有限公司 Cmp研磨液及其製造方法、複合粒子的製造方法以及基體的研磨方法
CN102744668B (zh) * 2011-04-20 2015-04-29 中芯国际集成电路制造(上海)有限公司 抛光方法以及浮栅的形成方法
CN103834305B (zh) 2012-11-22 2017-08-29 安集微电子(上海)有限公司 一种化学机械抛光液
US8961807B2 (en) * 2013-03-15 2015-02-24 Cabot Microelectronics Corporation CMP compositions with low solids content and methods related thereto
US20160068711A1 (en) 2013-04-17 2016-03-10 Samsung Sdi Co., Ltd. Organic Film CMP Slurry Composition and Polishing Method Using Same
US20150021513A1 (en) 2013-07-17 2015-01-22 Yun-jeong Kim Cmp slurry composition for polishing an organic layer and method of forming a semiconductor device using the same
US20150306731A1 (en) * 2014-04-25 2015-10-29 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad
US9589786B2 (en) 2014-04-28 2017-03-07 National Center For Advanced Packaging Co., Ltd Method for polishing a polymer surface
KR102501107B1 (ko) * 2014-06-25 2023-02-17 씨엠씨 머티리얼즈, 인코포레이티드 콜로이드성 실리카 화학적-기계적 연마 조성물
US10946494B2 (en) * 2015-03-10 2021-03-16 Showa Denko Materials Co., Ltd. Polishing agent, stock solution for polishing agent, and polishing method
US9783702B1 (en) * 2016-10-19 2017-10-10 Rohm And Haas Electronic Materials Cmp Holdings Inc. Aqueous compositions of low abrasive silica particles

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003115441A (ja) 2001-10-03 2003-04-18 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2004128475A (ja) 2002-08-02 2004-04-22 Jsr Corp 化学機械研磨用水系分散体および半導体装置の製造方法
JP2009146998A (ja) 2007-12-12 2009-07-02 Toshiba Corp 半導体装置の製造方法
JP2010028086A (ja) 2008-06-16 2010-02-04 Hitachi Chem Co Ltd Cmp研磨剤、このcmp研磨剤を用いた研磨方法
JP2010056199A (ja) 2008-08-27 2010-03-11 Fujifilm Corp 研磨用組成物及びそれを用いた研磨方法
JP2013033897A (ja) 2010-12-22 2013-02-14 Jsr Corp 化学機械研磨方法
JP2013082584A (ja) 2011-10-11 2013-05-09 Fuso Chemical Co Ltd 高純度単分散シリカ粒子及びその製造方法
JP2016537438A (ja) 2013-09-24 2016-12-01 キャボット マイクロエレクトロニクス コーポレイション 高分子フィルムの化学的−機械的平坦化
WO2015200679A1 (en) 2014-06-25 2015-12-30 Cabot Microelectronics Corporation Tungsten chemical-mechanical polishing composition
JP2016008157A (ja) 2014-06-25 2016-01-18 扶桑化学工業株式会社 コアシェル型シリカ粒子を含有するコロイダルシリカの製造方法

Also Published As

Publication number Publication date
KR20180110626A (ko) 2018-10-10
TWI760462B (zh) 2022-04-11
KR102459039B1 (ko) 2022-10-25
CN108687649B (zh) 2020-08-14
US10037889B1 (en) 2018-07-31
TW201840766A (zh) 2018-11-16
CN108687649A (zh) 2018-10-23
JP2018170505A (ja) 2018-11-01

Similar Documents

Publication Publication Date Title
JP7355487B2 (ja) カチオン性粒子含有スラリー及びスピンオン炭素膜のcmpのためのその使用方法
US7387964B2 (en) Copper polishing cleaning solution
WO2017114301A1 (zh) 金属化学机械抛光浆料
JPWO2019182063A1 (ja) 研磨液、研磨液セット及び研磨方法
JP2019029660A (ja) 調整可能な選択性を有する低砥粒cmpスラリー組成物
JP7274844B2 (ja) 浅溝分離に使用するための水性シリカスラリー及びアミンカルボン酸組成物並びにその使用方法
JP2019143119A (ja) ポリシリコン研磨用の低ディッシングシリカ粒子の水性組成物
JP7231365B2 (ja) 研磨における選択的窒化物除去のための水性アニオン性官能性シリカスラリー及びアミンカルボン酸組成物並びにその使用方法
TWI760494B (zh) 用於淺溝槽隔離的水性二氧化矽漿料組合物及其使用方法
JP7274845B2 (ja) 浅溝分離に使用するための水性低砥粒シリカスラリー及びアミンカルボン酸組成物並びにその製造方法及び使用方法
CN113004797A (zh) 一种化学机械抛光液
KR102611005B1 (ko) 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법
TW202231806A (zh) 研磨液、研磨液組及研磨方法
JP7231364B2 (ja) 研磨における選択的窒化物除去のための水性シリカスラリー及びアミンカルボン酸組成物並びにその使用方法
JP2008182181A (ja) 研磨用組成物
WO2023243611A1 (ja) Cmp研磨液及び研磨方法
TW202041665A (zh) 鈰化合物去除用洗淨液、洗淨方法及半導體晶圓之製造方法
Amanapu Chemical Mechanical Polishing of Ruthenium and Several Dielectric Films

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210305

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220405

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220613

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230523

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230822

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230829

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230921

R150 Certificate of patent or registration of utility model

Ref document number: 7355487

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150