KR102232749B1 - 기판의 홈을 절연막으로 채우는 방법 - Google Patents

기판의 홈을 절연막으로 채우는 방법 Download PDF

Info

Publication number
KR102232749B1
KR102232749B1 KR1020140060120A KR20140060120A KR102232749B1 KR 102232749 B1 KR102232749 B1 KR 102232749B1 KR 1020140060120 A KR1020140060120 A KR 1020140060120A KR 20140060120 A KR20140060120 A KR 20140060120A KR 102232749 B1 KR102232749 B1 KR 102232749B1
Authority
KR
South Korea
Prior art keywords
substrate
groove
insulating film
filling
gas
Prior art date
Application number
KR1020140060120A
Other languages
English (en)
Other versions
KR20140143694A (ko
Inventor
아키노리 나카노
신타로 우에다
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20140143694A publication Critical patent/KR20140143694A/ko
Application granted granted Critical
Publication of KR102232749B1 publication Critical patent/KR102232749B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 (i) 홈을 채우지 않고, 분자에 적어도 하나의 탄화수소 유니트를 갖는 예비 증착 가스로부터 발생된 반응성 탄화수소로 표면을 처리하기 위하여, 반응 공간에서 기판의 홈의 표면을 반응 상태의 예비 증착 가스에 노출시키는 단계; 및 (ii) 상기 단계 (i)에서 처리된 홈을 플라스마 반응으로 채우기 위하여, 상기 예비 증착 가스 외, 다른 공정 가스를 사용하여 유동성 절연막을 상기 기판의 표면 상에 증착시키는 단계;를 포함하는, 기판의 홈을 절연막으로 채우는 방법을 제공한다.

Description

기판의 홈을 절연막으로 채우는 방법{METHOD FOR FILLING RECESSES USING PRE-TREATMENT WITH HYDROCARBON-CONTAINING GAS}
본 발명은 일반적으로 반도체 집적회로용 층간 절연 기술에 관한 것으로서, 상세하게는 배선간의 거리가 좁은 경우 패턴화된 홈을 층간 절연막으로 채우는 소위 유동성 CVD 방법에 관한 것이다.
최근, 반도체 장치는 인상적인 발전을 해왔고, 고집적, 고속화, 및 고용량을 성취하였고, 이는 배선을 미세가공(micro-fabrication)할 수 있게 된 것이다. 배선의 미세가공이 진행될수록, 다층 배선 구조에서 배선의 선로 용량(line capacity)의 중가로 인하여 증가 신호 지연 및 전력 소비 증가가 문제가 된다. 이러한 문제를 해결하고 배선의 선로 용량을 중이기 위해, 그 유전상수가 낮은, 소위 낮은 k 막(low-k film)을 배선 사이의 절연막으로서 개발하였다. 또한, 배선 물질로서, 구리가 배선 물질 자체의 저항률을 감소시키고 및 신호 지연을 개선할 목적으로 주로 사용되고 있다. 낮은 k 막 및 구리를 사용하여 다층 배선을 형성하는 공지된 방법은 다마신(damascene) 방법이다.
이러한 다마신 방법에서, 다층 배선은 먼저 낮은 k 막을 형성한 다음, 리소그래픽 노출 및 에칭에 의해 홈(트렌치)를 형성하거나 홀(비아홀)을 연결하고, 마지막으로 거기에 구리를 채움으로써 완성된다. 이러한 다마신 방법은 낮은 k 막 다층 배선 기술의 분야에서 주도적인 역할을 하고 있었다. 그러나, 배선의 미세가공이 계속된다면, 배선물질로서 사용되는 구리는 배선의 폭이 너무 좁아지기 때문에 저항률이 커질 것이고, 그 결과 그리는 그의 장점을 잃게 된다. 구리가 낮은 k 막에서 용이하게 확산되기 때문에, 배선 물질로서 사용되게 된다면, 상기 확산을 중지하기 위한 것과 같은 추가의 복잡한 단계가 취하여 질 것이 요구된다. 따라서, 구리 대신, 초기부터 금속 물질로 사용되었던 텅스텐이 주의를 다시 끌기 시작하였는 데, 이는 텅스텐이 복잡한 단계를 요구하지 않기 때문이며, 따라서, 배선 단계를 간소화하고 제조 가격을 낮추는 것이 가능하다.
텅스텐을 배선물질로서 사용함으로써, 구리에 비하여 에칭하는 것이 상대적으로 용이하기 때문에, 금속 배선은 다마신 방법을 사용하지 않고 먼저 형성될 수 있고, 및 다음 층간 절연막이 상기 형성된 금속 배선 사이에 형성될 수 있다. 그러나, 통상적인 CVD 방법으로는, 배선 사이의 공간이 극도로 좁기 때문에, 상기 공간을 낮은 k 막으로 채우기가 어렵고, 상기 낮은 k 막에서 보이드 등이 형성된다. 따라서, 이 문제를 해결하기 위해, 유동성 CVD 방법이 개발되었다.
실제 장치-배선에 있어서, 배선 간격이 넓은 어떤 장소가 있고, 배선 간격이 좁은 다른 장소가 있으며, 또한 상기 양쪽이 혼합된 장소가 있다. 이러한 분균일로 인해, 유동성 CVD 방법에 의해 모든 홈이 채워져서 평평한 표면을 제공할 때까지 모든 장소를 채우는 도전과제가 남는다. 채우는 것은 배선의 위에서부터의 높이에 따라 두꺼운 막을 단순히 증착함에 따라 이뤄질 수 있지만, 그것이 너무 두껍다면, 불필요하거나 과잉 막을 제거하여 증착된 막의 표면을 평평하게 하는 에칭이 필요하다. 또한, 상기 막이 너무 두꺼우면, 막 균열과 같은 문제가 발생한다.
통상, 채움(filling) 특성을 개선하기 위해, 예를 들어, 미국 특허 제8,187,951호 및 제7,629,227호에서 보고된 바와 같이, 촉매를 사용하는 방법이 공지되어 있다. 그러나, 이러한 방법으로는, 촉매 자체가 증발될 수 없고 물질 내에 남게 되어, 이러한 유동성 CVD 성막 방법은 채움 특성을 유의하게 개선시키지 않는다. 또한, 성막 공정 중에 절연막 형성 물질, 알코올, 물 등을 동시에 유동하게 하는 방법이, 예를 들어, 미국 특허 제7,915,139호, 제7,582,555호, 제7,888,233호에 개시된 바와 같이 공지되어 있다. 그러나, 이러한 방법으로는, 성막 공정 후 막에 형성된 보이드 발생, 및 금속 부식을 야기하고 불완전 배선을 야기하는 극히 많은 양의 실라놀이 그 물질 내 함유되는 것과 같은 문제가 발생한다.
일반적인 전처리로서, He 및 Ar과 같은 불활성 가스의 플라즈마를 사용하는 플라즈마 처리, 및 수소 플라즈마를 사용하는 환원 처리가 공지되어 있으나, 이러한 방법들은 막 표면의 평탄도를 개선시키지 않았다.
상기 및 본 명세서 다른 곳에서, 관련 분야에 연관된 문제와 해법의 임의의 논의는 오로지 본 발명에 맥락을 제공할 목적으로 본 명세서에 포함되며, 본 발명이 만들어질 당시에 상기 임의 또는 모든 논의가 공지된 것을 인정하는 것으로 간주되지 않는다.
본 발명은 (i) 홈을 채우지 않고, 분자에 적어도 하나의 탄화수소 유니트를 갖는 예비 증착 가스로부터 발생된 반응성 탄화수소로 표면을 처리하기 위하여, 반응 공간에서 기판의 홈의 표면을 반응 상태의 예비 증착 가스에 노출시키는 단계; 및 (ii) 상기 단계 (i)에서 처리된 홈을 플라스마 반응으로 채우기 위하여, 상기 예비 증착 가스 외, 다른 공정 가스를 사용하여 유동성 절연막을 상기 기판의 표면 상에 증착시키는 단계;를 포함하는, 기판의 홈을 절연막으로 채우는 방법을 제공한다.
이때, 상기 단계 (i)에서 처리된 홈의 표면은 소수성인 것에도 그 특징이 있다.
게다가, 상기 홈의 소수성 표면은 80°넘는 물접촉각을 갖는 것에도 그 특징이 있다.
뿐만 아니라, 상기 단계 (i)은 1.5 nm 미만의 두께를 갖는 막이 상기 표면 상에 형성될 때까지 계속되고, 그 이후에 중단되는 것에도 그 특징이 있다.
또한, 상기 탄화수소 유니트는 포화 탄화수소인 것에도 그 특징이 있다.
여기서, 상기 예비 증착 가스는 탄화수소인 것에도 그 특징이 있다.
그리고, 상기 예비 증착 가스는 Si-N 결합을 갖는 화합물인 것에도 그 특징이 있다.
더불어, 상기 단계 (i) 중에, 어떠한 산화성 가스나 할라이드 가스가 상기 반응 공간으로 도입되지 않는 것에도 그 특징이 있다.
이와 함께, 상기 단계 (i)에서 사용된 모든 가스는 상기 예비 증착 가스 단독 또는 상기 예비 증착 가스 및 불활성 가스의 혼합물로 구성되는 것에도 그 특징이 있다.
나아가, 상기 단계 (i)에서, 상기 예비 증착 가스는 상기 예비 증착 가스가 도입된 상기 반응 공간으로 RF 전력을 인가함으로써 여기되는 것에도 그 특징이 있다.
이때, 상기 RF 전력은 상기 기판의 단위 면적당 0.35 W/cm2 이하의 전력으로 인가되는 것에도 그 특징이 있다.
게다가, 상기 단계 (i)은 30 초 이상 300 초 이하의 기간 동안 수행되는 것에도 그 특징이 있다.
뿐만 아니라, 상기 단계 (ii)는 상기 기판의 온도로서 50℃ 이하의 온도에서 수행되는 것에도 그 특징이 있다.
또한, 상기 단계 (i)과 단계 (ii)는 연속적으로 수행되는 것에도 그 특징이 있다.
그리고, 상기 단계 (i) 이전의 홈의 표면은 친수성인 것에도 그 특징이 있다.
더불어, 상기 홈의 표면은 실리콘, GaAS, 또는 수정 유리로 구성되는 것에도 그 특징이 있다.
이와 함께, 상기 표면은 배선물질로 더 구성되는 것에도 그 특징이 있다.
나아가, 상기 유동성 절연막은 낮은 k막(low-k film)인 것에도 그 특징이 있다.
또한, 상기 유동성 절연막은 산화 실리콘으로 구성되는 것에도 그 특징이 있다.
아울러, 상기 단계 (ii)는 플라즈마-촉진 CVD(plasma enhanced CVD)에 의해 수행되는 것에도 그 특징이 있다.
본 발명의 이러한 및 다른 특징들은 본 발명을 제한하지 않고 예증할 의도를 가진 바람직한 구체예의 도면을 참조함으로써 설명될 것이다. 상기 도면은 예시적 목적상 매우 간편화되어 있고, 필수적으로 축적화 된 것이 아니다.
도 1은 본 발명의 특정 구체예에서 사용가능한 플라즈마 CVD 장치의 개략도이다.
도 2는 비교예에 따른 기판의 단면을 나타내는 SEM(주사 전자 현미경) 사진이다.
도 3은 다른 비교예에 따른 기판의 단면을 나타내는 SEM(주사 전자 현미경) 사진이다.
도 4는 본 발명의 구체예에 따른 기판의 단면을 나타내는SEM(주사 전자 현미경) 사진이다.
도 5는 상이한 플라즈마들을 사용한 예비 증착 공정시 Si 기판의 접촉각(°)과 수행시간(초) 간의 관계를 나타내는 그래프이다.
도 6은 본 발명의 구체예에 따라 헥산 플라즈마를 사용한 예비 증착 공정시 예비 증착 코팅의 두께(nm)과 수행시간(초) 사이의 관계를 나타내는 그래프이다.
도 7은 본 발명의 구체예에 따라 헥산 플라즈마를 사용하는 예비 증착 공정시, 유동성 막의 성장율(nm/분) 및 수행시간(초) 사이의 관계를 나타내는 그래프이다.
본 발명의 특정 구체예에서, 선로 공간이 작을 때라도 또는 선로 공간에 관계없이, 및 홈이 낮을 때라도, 기판의 홈을 유동성 절연막으로 높은 평탄도로 채우는 유동성 CVD 기술이 제공된다. 특정 구체예는, 증착 단계 전에, 탄화수소 가스, 또는 적어도 하나의 탄화수소 유니트(unit)를 가진 실라잔 화합물과 같은, 그 분자에서 적어도 하나의 탄화수수 유니트를 가진 가스일 수 있는 예비-증착 가스 또는 전구체를 사용하여, 기판의 홈의 표면을 소수성 처리하도록 수행되는 예비-증착 단계를 제공한다. 처리될 파인 홈의 표면들은 홈의 정부 표면, 옆면 및 바닥 표면, 및 상기 홈의 바닥에 놓여 있는 배선 부품의 상부와 측면 표면을 포함한다. 상기 예비 증착 가스는 열, UV 광, IR 광, 또는 플라즈마와 같은 임의의 적절한 에너지를 상기 가스에 적용함으로써 반응성 또는 여기(excite)될 수 있고, 또는 상기 예비-증착 가스가 표면상의 말단 기와 매우 반응성이라면, 상기 예비 증착 가스는 진공하에서 상기 표면 위에 단순히 흘려만 주어도 반응성이 될 수 있다. 특정 구체예에서, 상기 예비 증착 단계는, 그 장소, 즉 반응기에서 수행될 수 있고, 직후에 동일한 반응기에서 증착 단계가 수행된다. 특정 구체예에서, 상기 처리된 표면의 소수성은 실온에서, 처리된 표면의 물접촉각(water contact angle)이, 예를 들어, 80약 80°이상으로 증가될 수 있는 그러한 것이다. 특정 구체예에서, 상기 예비 증착 단계에 의해, 홈을 메운 후 기판의 결과된 표면의 평탄도(홈에서의 최저 두께 대 홈의 높이와의 비율)는, 예를 들어, 약 20%(상기 예비 증착 단계없이) 내지 약 75% 개선될 수 있다. 또한, 특정 구체예에서, 상기 예비 증착 단계는 유동성 막의 유형에 관계없이, 임의의 적절한 유동성 막에 적용될 수 있다. 또한, 특정 구체예에서, 상기 예비 증착 단계는 상기 유동성 막으로부터 전환된 결과된 절연막의 특성에 영향을 끼치지 않는다. 전술한 바와 같이, 상기 예비 증착 단계는 놀라운 효과를 나타낼 수 있고, 및 채워진 홈의 평탄한 표면을 형성하는 매우 효과적인 방법이다.
본 발명의 관점과 관련 분야보다 우위에 있는 장점을 요약하기 위해, 본 발명의 특정 목적과 장점들이 본 명세서에서 서술된다. 물론, 그러한 목적이나 장점들 모두가 전부 필수적으로 본 발명의 특정 구체예에 따라 성취될 수 있는 것이 아님을 이해하여야 할 것이다. 따라서, 예를 들어, 당해 분야의 숙련자들은 본 발명이, 본 명세서에서 교시되거나 제안될 수 있는 다른 목적이나 장점들을 반드시 성취하지 않고, 본 명세서에서 교시된 바와 같이, 일 장점 또는 일군의 장점들을 성취하거나 최적화하는 방식으로 구체화되거나 수행될 수 있다는 것을 인지하게 될 것이다.
본 발명의 다른 관점, 특징, 및 장점들은 하기 상세한 설명으로부터 명확하게 될 것이다.
본 명세서에서, "가스"는 증기화된 고체 및/또는 액체를 포함할 수 있고, 및 단일 가스나 가스 혼합물로 구성될 수 있다. 단일 개체를 나타내는 단어는 일 종이나 다중 종을 포함하는 속을 지칭한다. 본 명세서에서, 샤워 헤드를 통해 반응 챔버로 도입된 예비 증착 가스는, 탄화수소-함유 전구체, 및 희소 가스와 같은 볼활성 가스, 또는 탄화수소-함유 가스로만으로 구성, 본질적으로 구성되거나, 또는 이루어질 수 있다. 상기 전구체 및 첨가 가스를 혼합 가스로서 또는 분리적으로 반응 공간으로 도입할 수 있다. 상기 전구체는 희소 가스와 같은 운반 가스를 사용하여 도입될 수 있다. 상기 예비 증착 가스외 다른 가스, 즉 샤워 헤드를 통하지 않고 도입된 가스를, 예를 들어, 반응 공간을 밀봉하는 데 사용할 수 있고, 이는 희소 가스와 같은 밀봉 가스를 포함한다. 특정 구체예에서, "유동성 막"은 홈에 증착되면서 중력에 의해 하방으로 유동할 수 있는 비-정각 막을 지칭한다. 특정 구체예에서, "막"은 실질적으로 핀홀없이 표면을 따라 연속적으로 연장되어 전체 목표 또는 관심의 표면을 덮는 층, 또는 단순히 목표 또는 관심 표면을 덮는 층을 지칭한다. 특정 구체예에서, "층"은 표면 상에 형성된 특정 두께를 가진 구조물 또는 막의 동의어이거나, 비필름성 구조물을 지칭한다. 막 또는 층은 특정 특성을 지닌 구별된 단일 막 또는 층, 또는 다중 막이나 층으로 구성될 수 있고, 인접 막이나 층 사이의 계면은 명확하거나 명확하지 않을 수 있고, 및 물리적, 화학적 및/또는 임의의 다른 특성, 형성 공정이나 시퀀스, 및/또는 인접 필름이나 층의 기능이나 목적에 기반하여 설정될 수 있다. 또한, 본 명세서에서, 변수의 임의의 두 개 숫자는 그 변수의 가동적 범위를 구성하는 데 그 가동적 범위는 통상적인 작업에 기준하여 결정될 수 있기 때문이며, 제시된 임의의 범위는 그 종말점을 포함 또는 배제할 수 있다. 또한, 변수의 임의의 수치들은 "약"으로 지시되는 또는 되지 않는 것에 상관없이 정밀한 값 또는 대략적인 값을 지칭할 수 있고, 및 등가치를 포함할 수 있으며, 및 특정 구체예에서, 평균, 중간, 대표, 대다수의 값을 지칭할 수 있다.
본 명세서에서 조건 및/또는 구조가 명시되지 않은 경우, 당해 분야의 숙련자는 본 명세서의 내용에 비추어, 통상적인 실험의 문제로서 그러한 조건 및/또는 구조를 용이하게 제공할 수 있다.
모든 개시된 구체예에서, 구체예에 사용된 임의의 요소는, 의도된 목적을 위해, 본 명세서에서 명백히, 필수적으로 또는 내재적으로 개시된 것을 위시한, 그와 동등한 임의의 요소와 대체될 수 있다. 또한, 본 발명은 장치 및 방법에 동일하게 적용될 수 있다.
본 명세서에서, 임의의 정의된 의미는 특정 구체예에서 보통의 및 통상적인 의미를 필수적으로 배제하지 않는다.
전술한 바와 같이, 본 발명의 특정 구체예는 유동성 CVD 기술을 제공하여 기판의 홈을 유동성 절연막으로 높은 평탄도로 메운다. 특정 구체예에서, 기판의 홈을 절연막으로 채우는 방법은: (i) 반응 공간에서 기판의 홈의 표면을, 그 분자에 적어도 하나의 탄화수소 유니트를 가지는, 반응 상태의 예비 증착 가스에 노출시켜, 상기 홈을 채우지 않고, 상기 예비 증착 가스로부터 발생되는 반응성 탄화수소-함유 종으로 상기 표면들을 처리하는 단계; 및 (ii) 상기 예비 증착 가스외, 다른 공정 가스를 사용하여 유동성 절연막을 상기 기판의 표면 상에 증착하여 단계 (i)에서 처리된 홈을 플라스마 반응으로 채우는 단계를 포함한다. 본 발명에서, "홈"은 유전 물질로 채워 질 기판상에 형성된 임의의 홈을 지칭하는 것으로서, 트렌치, 비아홀, 배선들 사이에 형성된 홈, 및 기판의 표면 상에 형성된 다른 그루브 및 패턴화된 홈을 포함하나 이에 한정되지 않는다.
전형적으로, 단계 (i)에서 처리된 홈의 표면은 소수성이다. 특정 구체예에서, 상기 홈의 소수성 표면은 약 80°를 넘는, 예를 들어, 적어도 약 85° 약 90° 또는 약 95°의 물접촉각을 실온에서 갖는다. 소수성 표면으로 인하여, 그 위에 증착된 유동성 물질은 중력에 의하여 소수성 표면을 따라서 용이하게 움직일 수 있고, 따라서, 상기 홈의 상부 표면 상에서의 유동성 물질의 축적을 저해하고 및 상기 홈 방향으로의 유동을 촉진한다.
특정 구체예에서, 단계 (i)은 약 1.5 nm 미만의 두께를 가지는 막이 상기 표면 상에 형성될 때까지 계속하며, 이 후 중지된다. 상기 막은 홈과 그 속에 배치된 배선 부품들의 표면을 덮고 및 코팅으로서 작용하며, 이는 "예비 증착 코팅"으로 지칭될 수 있다. 특정 구체예에서, 상기 예비 증착 코팅의 두께는, 홈의 크기 등에 따라서, 적어도 약 0.5 nm, 예를 들어, 약 1.0 nm 내지 약 3 nm의 범위일 수 있다(상기 예비 증착 단계의 기간이 상당히 연장될 경우, 상기 두께는 약 3 nm에 달할 수 있다). 실라잔 화합물이 예비 증착 가스로서 사용되는 특정 구체예에서, 상기 실라잔 화합물은 표면 상에 있는 -OH 기와 반응성이기 때문에, 상기 실라잔 화합물(여기 상태에 있지 않는)을 진공 하(약 -10℃ 내지 약 50℃의 온도에서) 상기 표면 상으로 유동시킴으로써, -CH3 유니트가 -OH 기를 대체하게 되고 표면에 부착되게 되고 이렇게 됨으로써 상기 표면을 소수성으로 만든다. 전술한 특정 구체예에서, 상기 코팅의 두께는 약 0.5 nm 미만이거나, 상기 코팅은 균일하게 막을 형성할 수 없지만, -CH3 말단에 의해 구성될 수 있다.
특정 구체예에서, 상기 예비 증착 가스에 포함된 탄화수소 유니트 (CxHy; x 및 y는 정수이고, x는 1 내지 10, 또는 2 내지 6일 수 있다) -CH2, -CH3, -C2H4, -C2H5, -C3H6, 및 -C3H7으로 구성되는 군으로부터 선택되며, 바람직하게는 상기 탄화수소 유니트는 C-C 결합을 가진다. 특정 구체예에서, 상기 탄화수소 유니트는 -(CH2)5CH3와 같은 지방족 또는 방향족 직쇄 또는 분지 포화 탄화수소 기, 또는 -C2H 또는 -C6H5와 같이, 지방족 또는 방향족 직쇄나 분지 포화 탄화수소 기이다. 상기 탄화수소 유니트를 포함하는 물질은 35 내지 220℃의 비등점을 가질 수 있고, 상기 물질이 반응 챔버로 도입되기전 액체라면, 상기 물질은 상기 반응 챔버 전에서 증기화된 다음 상기 반응 챔버로 도입된다. 특정 구체예에서, 상기 예비 증착 가스는 실리콘을 함유하지 않는 탄화수소 가스이다. 예를 들어, 직쇄 또는 분지 지방족 탄화수소 또는 방향족 탄화수소들을 사용할 수 있다. 대안적으로, 상기 예비 증착 가스는 Si-N 결합을 가진 화합물이고, 이는 아미노실란 및 실라잔 화합물을 포함한다. 바람직하게는, 상기 예비 증착 가스는 플라즈마 또는 다른 에너지에 의해 여기시 -CH3 기를 발생할 수 있는 가스이고, 상기 -CH3 기는 효과적으로 상기 표면들을 소수성으로 만들 수 있다.
[0028] 상기 예비 증착가스는 메탄, 에탄, 프로판, 에틸렌, 아세틸렌, 프로필렌, 메틸아세틸렌, 시클로프로판, n-부탄, 이소부탄, 1-부텐, 시스-2-부텐, 트란스-2-부텐, 이소부텐, 에틸아세틸렌, 1, 3-부타디엔, n-펜탄, 이소펜탄, 네오펜탄, 시클로펜탄, n-헥산, 시클로헥산, 2-메틸펜탄, 3-메틸펜탄, 2,2-디메틸부탄, 2,3-디메틸부탄, n-헵탄, 2-메틸헥산, 3-메틸헥산, 2,2-디메틸펜탄, 2,3-디메틸펜탄, 2,4-디메틸펜탄, 3,3-디메틸펜탄, 3-에틸펜탄, 2,2,3-트리메틸부탄, n-옥탄, 3,4-디메틸헥산, 2-메틸헵탄, 3-메틸헵탄, 4-메틸헵탄, 3-에틸헥산, 2,2-디메틸헥산, 2,3-디메틸헥산, 2,4-디메틸헥산, 2,5-디메틸헥산, 3,3-디메틸헥산, 3,4-디메틸헥산, 2-메틸-3-에틸펜탄, 3-메틸-3-에틸펜탄, 2,2,3-트리메틸펜탄, 2,2,4-트리메틸펜탄, 2,2,3,3-테트라메틸부탄, n-데칸, 시클로데칸, 헥사데칸, n-도데칸, 시클로도데칸, 에틸시클로도데칸, 벤젠, 톨루엔, 에틸벤젠, 1,3-디메틸벤젠, 1,3,5-트리메틸벤젠, 메틸아미노실란, 디메틸아미노실란, 메틸(메틸아미노)실란, N-(트리메틸실릴)디메틸아민, 트리스(디메틸아미노)실란, 디이소프로필메틸(메틸아미노)실란, 메틸비스(디메틸아미노)실란, 메틸트리스(디메틸아미노)실란, 및 헥사메틸디실라잔로 구성되는 군으로부터 선택된 하나 이상의 화합물로 구성될 수 있다.
특정 구체예에서, 단계 (i) 동안, 어떠한 산화성 가스(oxidizing gas) 또는 할라이드 가스(halide gas)가 상기 반응 공간으로 도입되지 않는다. 즉, 홈과 그 속에 배치된 배선 부품들의 표면을 효과적으로 소수성으로 만들기 위해, 상기 예비 증착 가스는 O2, CO, CO2, NO, NO2, N2O, Cl2, HF, NF3, ClF3, CCl4, CF4, C2F6, 및 C3F8와 같은 산화성 가스 또는 할라이드 가스와 같이 사용되지 않는다.
특정 구체예에서, 단계 (i)에서 사용되는 가스 모두는 상기 예비 증착 가스 단독 또는 상기 예비 증착 가스 및 불활성 가스의 혼합물로 구성된다. 상기 불활성 가스는 안정성을 위해 사용될 수 있고, He, Ar, Xe, Kr, 및/또는 Ne와 같은 희소 가스, 및/또는 N2 및/또는 H2와 같은 다른 불활성 가스로부터 선택될 수 있다. 상기 예비 증착 가스의 유동 속도는 약 10 sccm 내지 약 10,000 sccm, 전형적으로 약 10 sccm 내지 약 5,000 sccm의 범위에 있을 수 있다. 상기 희소 가스의 유동 속도는 약 10 sccm 내지 약 10,000 sccm, 전형적으로 약 10 sccm 내지 약 5000 sccm의 범위일 수 있다. 다른 불활성 가스의 유동 속도는 약 10 sccm 내지 약 10,000 sccm, 전형적으로는 약 10 sccm 내지 약 5000 sccm의 범위일 수 있다.
특정 구체예에서, 단계 (i)에서, 상기 예비 증착 가스는 상기 예비 증착 가스가 도입된 반응 공간에 RF 전력을 인가함으로써 여기된다. 특정 구체예에서, 상기 RF 전력은 기판의 면적당, 약 0.55 W/cm2 이하, 바람직하게는 약 0.35 W/cm2 이하의 전력으로 인가된다. 통상, 상기 예비 증착 단계를 수행하는 데 필요한 최저 RF 전력은 약 0.07 W/cm2(약 50 W, 300-mm 웨이퍼의 경우)일 수 있다. RF 전력이 너무 높은 경우, 상기 표면을 소수성으로 만들기 위한 발생된 -CH3 기들이 파괴될 수 있어, 소수성 변화를 방해하게 되고, 나아가, 아크와 같은 비정상적 방전이 발생할 수 있다. RF 전력의 진동수는 400 KHz 내지 60 MHz의 범위에 있을 수 있다. 특정 구체예에서, RF 전력 적용을 위한 온도와 압력은 각각, 약 -10℃ 내지 약 50℃, 바람직하게는 약 0℃ 내지 약 30℃, 및 약 100 Pa 내지 약 1200 Pa, 바람직하게는 약 500 Pa 내지 약 1200 Pa일 수 있다. 특정 구체예에서, 원격 플라즈마 유니트를 사용하여 여기된 예비 증착 가스를 발생시키고 이를 반응 챔버로 도입할 수 있다.
대안적으로, UV 광 조사 및 가열을 사용하여 반응 챔버에서 상기 예비 증착 가스를 여기시킬 수 있다. UV 광 조사의 경우, 약 126 nm 내지 약 308 nm의 파장과 약 50 W/cm 내지 약 200 W/cm의 램프 파워를 가진 엑시머 UV 광, 및 약 250 nm 내지 약 600 nm의 파장과 약 50 W/cm 내지 200 W/cm의 램프 파워를 가진 고압 수은 UV 광을 약 0℃ 내지 약 300℃의 온도에서 사용할 수 있다. 가열의 경우(UV 광 조사 또는 다른 에너지 적용없이), 온도를 약 0℃ 내지 약 400℃에서 조절할 수 있다.
특정 구체예에서, 단계 (i)은 상기 예비 증착 가스를 여기하는 데 사용되는 에너지의 유형, 상기 예비 증착 가스의 유형 등에 따라서, 약 10 초 이상 약 10 분 이하, 바람직하게는 약 30 초 이상 300 초 이하의 기간 동안 지속된다.
통상, 단계 (i) 전의 상기 홈의 표면은 친수성이다. 단계 (i) 전의 홈의 표면은 약 30°미만 또는 약 20°미만의 물접촉각을 가질 수 있다. 특정 구체예에서, 상기 홈의 표면은 실리콘, GaAS, 또는 수정 유리로 구성된다. 특정 구체예에서, 상기 표면들은 텅스텐, 알루미늄 및/또는 구리로 만들어 질 수 있는 배선물질로 더 구성될 수 있고, 이러한 배선물질들의 표면 또한 상기 예비 증착 단계에 의해 소수성으로 될 수 있다.
특정 구체예에서, 단계 (ii)는, 상기 유동성 절연 막의 유동성 특성에 따라, 기질의 온도로서, 약 50℃ 이하의 온도(예, -10℃내지 50℃)에서 수행된다. 즉, 상기 증착 단계 (단계 (ii))의 온도는 유동성 절연막을 홈에서 유동성을 유지하기 위해 조절될 수 있다. 단계 (i) 및 (ii)를 연속적으로 수행할 때, 예비 증착 단계 (단계 (i))의 온도는 효과적인 운행을 위해 증착 단계 (단계 (ii))의 온도와 실질적으로 같을 수 있다. 상기에서, "연속적으로"는 다음 단계로서, 그 직후에, 진공을 깨지 않고, 시간상으로 중단없이, 처리 조건의 변화없이, 또는 특정 구체예에서, 두 개 구조물 사이의 구별되는 물리적 또는 화학적 경계없이를 지칭한다. 특정 구체예에서, 단계 (i) 및 단계 (ii)는 상이한 온도에서 수행될 수 있고(예를 들어, 이러한 단계들은 상이한 챔버에서 수행된다), 여기서 단계 (i)의 온도는 단계 (ii)의 온도보다 실질적으로 높은 데 단계 (ii)에 대하여 요구되는 유동성이 단계 (i)에서는 요구되지 않기 때문이다.
특정 구체예에서, 상기 유동성 절연막은 산화 실리콘에 의해서 구성되는 것과 같은 낮은 k 막이다. 그러한 막은 예를 들어, 알콕사이드 및 알킬아민 물질을 사용하여 형성될 수 있다. 특정 구체예에서, 단계 (ii)는 플라즈마 촉진 CVD로 수행된다.
또한, 상기 예비 증착 단계는 절연막의 성장율을 적어도 약 5%만큼 증가시킬 수 있다. 그러한 증가에 대한 이유는 알려져 있지 않지만, 증가는 유의하다.
본 발명의 특정 구체예에서 사용될 수 있는 장치가 하기 설명된다. 이러한 장치는 상기 예비 증착 단계 및 상기 증착 단계 양 쪽에 사용될 수 있고, 이러한 두 가지 단계들을 연속적으로 수행할 수 있다.
도 1은 본 발명의 특정 구체예에서 사용될 수 있는 플라즈마 CVD 장치의 개략도이다. 상기 플라즈마 CVD 장치는 반응 챔버(1), 가스 흡입구(6), 코일일 수 있는 내재된 온도 조절기가 구비된 냉각 서스셉터(3)(하부 전극으로 작용)로서, 그 속에 냉매나 가열매가 채널에서 유동하여 상기 서스셉터(3)의 온도를 조절하는, 서스셉터(3) 및 위에 놓여있는 반도체 기판(5)를 포함한다. 샤워 헤드(2)(상부 전극으로 작용)를 상기 가스 흡입구 바로 아래에 위치시킬 수 있다. 상기 샤워 헤드(2)는 그 바닥 면에서 많은 소 개구부를 가지고, 이로부터 반응 가스를 상기 반도체 기판(5)에 주입할 수 있다. 상기 반응 챔버(1)의 바닥에, 압력 조절 밸브(9)가 구비된 배출구(8)이 있다. 이러한 배출구(8)은 외부 진공 펌프(미도시)와 연결되어 상기 반응 챔버(1)의 내부가 진공될 수 있다. 상기 서스셉터(3)을 샤워 헤드(2)와 평행하고 대면하게 위치시킨다. 상기 서스셉터(3)은 그 위에 반도체 기판(5)을 붙잡고 있고 이를 상기 온도 조절기를 사용하여 가열 또는 냉각시킨다. 상기 샤워 헤드(2)는 상기 반응 챔버(1)과 절연되어 있고 외부 고주파 전력원(4)과 연결되어 있다. 대안적으로, 상기 서스셉터(3)가 전력원(4)과 연결될 수 있다. 따라서, 상기 샤워 헤드(2) 및 서스셉터(3)은 각자 고주파 전극으로서 작용할 수 있고 반도체 기판(5)의 표면에 인접하여 플라즈마 반응장을 생성할 수 있다.
상기 가스들은 가스 흡입구(6) 전에 혼합되어 공정 가스(예를 들어, 절연막용 물질 가스 및 불활성 가스)를 구성할 수 있거나, 상기 가스들 각각 또는 일부를 샤워 헤드(2)에 분리적으로 도입할 수 있다. 예비 증착 가스(예, CxHy 전구체)는 가스 흡입구(6)를 통해 또한 샤워 헤드(2)로 도입될 수 있다. 샤워 헤드(2) 및 반도체 기판(5), 둘 다는 미리 진공된 반응 챔버(1) 내부에 위치하고 있는 데, 그 사이의 공간은 단일 주파수 또는 혼합 주파수(예, 400 kHz 내지 60 MHz)를 가진 RF 전력으로 채워지고, 상기 공간은 플라즈마 장으로 작용한다. 상기 서스셉터(3)는 반도체 기판(5)을 상기 온조 조절기로 연속적으로 가열 또는 냉각하고, 상기 기판(5)을 바람직하게는 -50℃ 내지 50℃인 소정의 온도에서 유지한다. 샤워 헤드 (2)의 미세 개구부를 통해 공급된 공정 가스는 소정의 시간 동안 반도체 기판 (5)의 표면 근처에서 상기 플라즈마 장에 남아 있는다.
기판의 패턴화된 표면을 예비 증착 가스로 처리할 때, 상기 반응 챔버 내부의 가스는 배출구(8)을 통해 배출되고, 물질 가스나, 물질 가스와 불활성 가스의 혼합물로 대체되어 상기 기판의 처리된 표면 상에 절연막을 증착한다.
서스셉터(3)의 온도는 히터 및/또는 냉각 도관 (미도시)에 의해 조절될 수 있다. 이러한 냉각 서스셉터는 세라믹으로 만들 수 있고, 금속 플레이트의 저부 및 축부분에서 냉각 도관이 구비되어 물과 같은 냉매가 순환될 수 있다.
숙련자는 상기 장치가 본 명세서에서 설명된 예비 증착 단계 및 증착 단계를 수행하도록 프로그램되거나 다르게는 기획된 하나 이상의 제어기(들)(미도시)을 포함한다는 것을 이해할 것이다. 상기 조절기(들)는, 숙련자들에 의해 이해될 것인 것 같이, 다양한 전력원, 냉각/가열 시스템, 펌프, 로봇공학, 및 가스 유동 제어기 또는 반응기 밸브와 연결되어 있다.
상기 구체예들은 바람직한 구체예에 대하여 설명될 것이다. 하지만, 본 발명은 상기 바람직한 구체예로 제한되지 않는다.
[ 실시예 ]
도 1에서 설명된 플라즈마 CVD 장치를 하기 실시예에 사용하였다. 서스셉터(3)의 온도를 주어진 온도에서 설정한 후, 패턴화된 홈(트렌치)을 가지는 Si 기판(5)(f300mm)을 상기 서스셉터(3)에 적재하였다. 다음, 가스를 가스 흡입구(6) 및 샤워 헤드(2)를 통해 반응 챔버(1)로 도입하였다. 액체 물질의 경우, 가스 흡입구(6) 전의 증발기(미도시)에 의해 증발되었다. 다음, 반응 챔버(1) 내부 압력을 압력 조절 밸브(9)를 사용하여 주어진 압력에서 조절하였다. 발생기(4)로부터의 RF 전력(13.56 MHz)을 주어진 전력에서 샤워 헤드(2)와 어스된 서스셉터(3) 사이로 인가하여 상기 가스를 사용하여 플라즈마를 발생시키고 및 상기 기판(5)의 표면을 소정의 시간 동안 플라즈마에 노출시키고, 이렇게 함으로써, 상기 증착 단계 전에, 예비 증착 단계로서 상기 기판(5)의 표면을 플라즈마로 처리한다. 연속적으로, 유동성 절연막용 물질 가스를 사용하는 증착 단계를, RF 전력을 사용하여 개시하고, 이로써 상기 기판의 표면 상에 형성된 홈을 상기 유동성 절연막으로 채운다. 53 sccm(전형적으로 16 sccm 내지 105 sccm)의 디에톡시메틸실란, 250 sccm(전형적으로 250 sccm 내지 500 sccm)의 He, 및 105 sccm(전형적으로 5 sccm 내지 200 sccm)의 O2를 공급하고, 100 W(전형적으로 50 W 내지 300 W)의 RF 전력을 상기 예비 증착 단계와 동일한 온도에서 500 Pa(전형적으로 200 Pa 내지 700 Pa)의 압력하에서 150 초간 인가함으로써, 상기 유동성 절연막(탄소-도프된 산화실리콘)을 상기 기판의 패턴화된 표면 상에 증착하였다. 이 후 표면의 채움 비율과 편타도를 기판의 단면의 SEM 사진을 사용하여 결정하였다.
비교 실시예 1
상기 예비 증착 단계를 하기 조건하에서 수행하였다:
[표 1]
Figure 112014047079309-pat00001
상기 증착 단계를 상기 예비 증착 단계 후에 연속적으로 실시하여 낮은 k 막을 증착하였다. 그 결과, 상기 홈은, 도 2에서 나타난 바와 같이, 낮은 k 막으로 채워졌고, 채움 비율(Filling ratio)과 평탄도(Flatness)를 측정하였다. 채움 비율은 비율 b/a로 정의되고, 여기서 a는 서로 100-nm 떨어진 측벽 간에 증착된 필름의 두께이고, b는 서로 5-?m 떨어진 측벽 간에 증착된 필름의 두께이다. 상기 평탄도는 c/d의 비율로 정의되고 여기서 c는 1-㎛ 떨어진 측벽 간에 증착된 필름의 두께이고, d는 홈의 높이(310 nm)이다. 도 2에 도시된 바와 같이, He 플라즈마를 상기 예비 증착 단계에 사용할 때, 비록 좁은 홈이 거의 완전히 채워지지만, 넓은 홈은 채워지지 않고(채움 비율은 31%로 측정된다), 및 평탄도를 나타내는 중간 홈 또한 홈의 높이에 비하여 유의하게 채워지지 않는다(평탄도는 25%로 측정).
비교 실시예 2
상기 예비 증착 단계를 하기 조건하에서 수행하였다:
[표 2]
Figure 112014047079309-pat00002
상기 증착 단계를 상기 예비 증착 단계 후에 연속적으로 실시하여 낮은 k 막을 증착하였다. 그 결과, 상기 홈은, 도 3에서 나타난 바와 같이, 낮은 k 막으로 채워졌고, 채움 비율(Filling ratio)과 평탄도(Flatness)를 측정하였다. 도 3에서 나타난 바와 같이, H2 플라즈마를 상기 예비 증착 단계에 사용할 때, 비록 좁은 홈이 거의 완전히 채워지지만, 넓은 홈은 채워지지 않고(채움 비율은 26%로 측정된다), 및 평탄도를 나타내는 중간 홈 또한 홈의 높이에 비하여 유의하게 채워지지 않는다(평탄도는 20%로 측정).
실시예 1
상기 예비 증착 단계를 하기 조건하에서 수행하였다:
[표 3]
Figure 112014047079309-pat00003
상기 증착 단계를 상기 예비 증착 단계 후에 연속적으로 실시하여 낮은 k 막을 증착하였다. 그 결과, 상기 홈은, 도 4에서 나타난 바와 같이, 낮은 k 막으로 채워졌고, 채움 비율(Filling ratio)과 평탄도(Flatness)를 측정하였다. 도 4에서 나타난 바와 같이, 헥산 플라즈마를 상기 예비 증착 단계에 사용할 때, 좁은 홈이 거의 완전히 채워졌고, 넓은 홈도 유의하게 채워졌으며(채움 비율은 62%로 측정된다), 및 평탄도를 나타내는 중간 홈 또한 홈의 높이에 비하여 유의하게 채워졌다(평탄도는 75%로 측정).
또한, 상기 절연막의 유전상수를 측정하였고, 이는 2.83이었고, 이는 상기 예비 증착 단계 없이 증착된 증착막의 유전상수인 2.80과 동등하였으며, 이로써 상기 예비 증착 단계는 상기 막의 특성에 실질적으로 영향을 끼치지 못한다는 것을 의미한다.
실시예 2
상기 예비 증착 단계의 시간을 제외하고는 실시예 1과 동일한 조건 하에서, 기판의 패턴화된 홈을 유동성 절연막으로 메웠다. 도 7은 유동성 절연막의 성장속도(Growth rate; nm/min)와 예비 증착 단계(헥산 플라즈마를 사용한)의 예비 처리 시간(Hexane Pre-treatment time; 초) 사이의 관계를 나타낸 그래프이다. 도 7에서 나타난 바와 같이, 상기 유동성 절연 막의 성장 속도는 약 5% 향상되었다.
참조 실시예 1
Si 기판을 상기 비교 실시예 1과 2 및 실시예 1에서 설명된 예비 증착 단계용 조건 하 상기 반응 챔버에서 소정의 시간 동안 주어진 플라즈마에 노출시켰다. 노출된 표면의 물접촉각을 측정하였다. 도 5는 Si 기판의 접촉각(Contact angle; °) 및 예비 증착 단계의 처리 시간(Treatment time; 초) 사이의 관계를 나타낸 그래프이다.
도 5로부터 나타난 바와 같이, 상기 표면을 헥산 플라즈마에 노출시킴으로써, 상기 표면의 접촉각을 극적으로 증가시켰다, 예, 상기 표면을 노출 후 10초 에서도, 매우 소수성이 되었다(접촉각은 80.4°였다). 표면의 접촉각은 추가 10 초간 노출을 지속시킴으로써(총 20초) 더 증가되어, 95.4°의 접촉각에 도달하였다. 반면, He 플라즈마 또는 H2 플라즈마에 노출된 표면의 접촉각은 노출 연장에도 불구하고 유의하게 증가되지 않았다.
참조 실시예 2
Si 기판을 상기 실시예 1에서 설명된 예비 증착 단계용 조건 하 상기 반응 챔버에서 소정의 시간 동안 헥산 플라즈마에 노출시켰다. 결과된 예비 증착 코팅의 두께를 측정하였다. 도 6은 예비증착 코팅의 두께(Thickness; nm) 및 예비 증착 단계의 예비 처리 시간(Hexane plasma Pre-treatment time; 초) 사이의 관계를 나타낸 그래프이다.
도 6으로부터 나타난 바와 같이, 상기 예비 증착 코팅의 두께는 시간에 따라 증가하였고, 예비 증착 단계가 60초간 지속될 때, 1.51 nm에 달하였다. 그러나, 도 5에 나타난 바와 같이, 예비 증착 단계가 20초간 지속될 때, 접촉각이 95.4°에 도달하였고, 따라서, 약 1.0 nm의 두께를 가지는 예비 증착 코팅은 상기 표면을 효과적으로 소수성화하여 상기 기판의 패턴화된 표면의 평탄도를 개선시키기에 충분한 것으로 예상된다.
본 발명의 사상을 벗어나지 않고 많은 및 다양한 개질이 만들어 질 수 있음이 당해분야의 숙련자에게 이해될 것이다. 따라서, 본 발명의 형식은 오로지 설명하기 위한 것이고, 본 발명의 범위를 제한하는 것으로 의도되지 않는다는 것이 명백히 이해될 것이다.

Claims (20)

  1. (i) 홈을 채우지 않고, 분자에 적어도 하나의 탄화수소 유니트를 갖는 예비 증착 가스로부터 발생된 반응성 탄화수소로 표면을 처리하기 위하여, 반응 공간에서 기판의 홈의 표면을 반응 상태의 예비 증착 가스에 노출시키는 단계로서, 상기 예비 증착 가스는 C 및 H 를 포함하나, 메탄, 에탄, 프로판, 에틸렌, 아세틸렌 및 헥사메틸디실라잔은 배제한 탄화수소인, 상기 예비 증착 가스에 노출시키는 단계; 및
    (ii) 상기 단계 (i)에서 처리된 홈을 플라스마 반응으로 채우기 위하여, 상기 예비 증착 가스 외, 다른 공정 가스를 사용하여 유동성 절연막을 상기 기판의 표면 상에 증착시키는 단계;를 포함하는, 기판의 홈을 절연막으로 채우는 방법.
  2. 제 1항에 있어서,
    상기 단계 (i)에서 처리된 홈의 표면은 소수성인, 기판의 홈을 절연막으로 채우는 방법.
  3. 제 2항에 있어서,
    상기 홈의 소수성 표면은 80° 넘는 물접촉각을 갖는, 기판의 홈을 절연막으로 채우는 방법.
  4. 제 1항에 있어서,
    상기 단계 (i)은 1.5 nm 미만의 두께를 갖는 막이 상기 표면 상에 형성될 때까지 계속되고, 그 이후에 중단되는, 기판의 홈을 절연막으로 채우는 방법.
  5. 제 1항에 있어서,
    상기 탄화수소 유니트는 포화 탄화수소인, 기판의 홈을 절연막으로 채우는 방법.
  6. 삭제
  7. 제 5항에 있어서,
    상기 예비 증착 가스는 Si-N 결합을 갖는 화합물인, 기판의 홈을 절연막으로 채우는 방법.
  8. 제 1항에 있어서,
    상기 단계 (i) 중에, 어떠한 산화성 가스나 할라이드 가스가 상기 반응 공간으로 도입되지 않는, 기판의 홈을 절연막으로 채우는 방법.
  9. 제 1항에 있어서,
    상기 단계 (i)에서 사용된 모든 가스는 상기 예비 증착 가스 단독 또는 상기 예비 증착 가스 및 불활성 가스의 혼합물로 구성되는, 기판의 홈을 절연막으로 채우는 방법.
  10. 제 1항에 있어서,
    상기 단계 (i)에서, 상기 예비 증착 가스는 상기 예비 증착 가스가 도입된 상기 반응 공간으로 RF 전력을 인가함으로써 여기되는, 기판의 홈을 절연막으로 채우는 방법.
  11. 제 10항에 있어서,
    상기 RF 전력은 상기 기판의 단위 면적당 0.35 W/cm2 이하의 전력으로 인가되는, 기판의 홈을 절연막으로 채우는 방법.
  12. 제 1항에 있어서,
    상기 단계 (i)은 30 초 이상 300 초 이하의 기간 동안 수행되는, 기판의 홈을 절연막으로 채우는 방법.
  13. 제 1항에 있어서,
    상기 단계 (ii)는 상기 기판의 온도로서 50℃ 이하의 온도에서 수행되는, 기판의 홈을 절연막으로 채우는 방법.
  14. 제 1항에 있어서,
    상기 단계 (i)과 단계 (ii)는 연속적으로 수행되는, 기판의 홈을 절연막으로 채우는 방법.
  15. 제 1항에 있어서,
    상기 단계 (i) 이전의 홈의 표면은 친수성인, 기판의 홈을 절연막으로 채우는 방법.
  16. 제 15항에 있어서,
    상기 홈의 표면은 실리콘, GaAS, 또는 수정 유리로 구성되는, 기판의 홈을 절연막으로 채우는 방법.
  17. 제 15항에 있어서,
    상기 표면은 배선물질로 더 구성되는, 기판의 홈을 절연막으로 채우는 방법.
  18. 제 1항에 있어서,
    상기 유동성 절연막은 낮은 k막(low-k film)인, 기판의 홈을 절연막으로 채우는 방법.
  19. 제 1항에 있어서,
    상기 유동성 절연막은 산화 실리콘으로 구성되는, 기판의 홈을 절연막으로 채우는 방법.
  20. 제 1항에 있어서,
    상기 단계 (ii)는 플라즈마-촉진 CVD(plasma enhanced CVD)에 의해 수행되는, 기판의 홈을 절연막으로 채우는 방법.
KR1020140060120A 2013-06-07 2014-05-20 기판의 홈을 절연막으로 채우는 방법 KR102232749B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/912,666 2013-06-07
US13/912,666 US9117657B2 (en) 2013-06-07 2013-06-07 Method for filling recesses using pre-treatment with hydrocarbon-containing gas

Publications (2)

Publication Number Publication Date
KR20140143694A KR20140143694A (ko) 2014-12-17
KR102232749B1 true KR102232749B1 (ko) 2021-03-26

Family

ID=52005802

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140060120A KR102232749B1 (ko) 2013-06-07 2014-05-20 기판의 홈을 절연막으로 채우는 방법

Country Status (3)

Country Link
US (1) US9117657B2 (ko)
KR (1) KR102232749B1 (ko)
TW (1) TWI618142B (ko)

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102527897B1 (ko) * 2015-10-23 2023-04-28 어플라이드 머티어리얼스, 인코포레이티드 표면 포이즈닝 처리에 의한 바텀 업 갭-충전
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10985009B2 (en) 2018-04-27 2021-04-20 Applied Materials, Inc. Methods to deposit flowable (gap-fill) carbon containing films using various plasma sources
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3208376B2 (ja) * 1998-05-20 2001-09-10 株式会社半導体プロセス研究所 成膜方法及び半導体装置の製造方法
KR100492906B1 (ko) * 2000-10-04 2005-06-02 주식회사 하이닉스반도체 반도체소자의 층간절연막 형성 방법
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
CN101222983B (zh) * 2005-07-09 2012-09-05 康邦权 用于在常压等离子体中疏水和超疏水处理的表面涂覆方法
US7629277B2 (en) 2005-11-23 2009-12-08 Honeywell International Inc. Frag shield
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7718553B2 (en) * 2006-09-21 2010-05-18 Asm Japan K.K. Method for forming insulation film having high density
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
JP2010067810A (ja) * 2008-09-11 2010-03-25 Shin-Etsu Chemical Co Ltd Si含有膜の成膜方法、絶縁膜、並びに半導体デバイス

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Revista Brasileira de Aplicacoes de Vacuo, 25(1) (2006): 45-53, S. Nogueira, et al.

Also Published As

Publication number Publication date
TW201507031A (zh) 2015-02-16
US9117657B2 (en) 2015-08-25
US20140363983A1 (en) 2014-12-11
TWI618142B (zh) 2018-03-11
KR20140143694A (ko) 2014-12-17

Similar Documents

Publication Publication Date Title
KR102232749B1 (ko) 기판의 홈을 절연막으로 채우는 방법
TWI817004B (zh) 用於重組非晶碳聚合物膜之方法
US10755922B2 (en) Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US9659791B2 (en) Metal removal with reduced surface roughness
TWI520212B (zh) 選擇性氮化鈦蝕刻
KR100978704B1 (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
US7510982B1 (en) Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US9159606B1 (en) Metal air gap
US9190290B2 (en) Halogen-free gas-phase silicon etch
WO2019142055A2 (en) Method for depositing a gap-fill layer by plasma-assisted deposition
US8361906B2 (en) Ultra high selectivity ashable hard mask film
JP2020007636A (ja) パルスプラズマアシスト堆積によりギャップ充填層としてシリコンを含まない炭素含有膜を堆積する方法
JP4066332B2 (ja) シリコンカーバイド膜の製造方法
TWI425593B (zh) 整合氣隙之方法
WO2018098205A1 (en) Methods for depositing flowable carbon films using hot wire chemical vapor deposition
US20080153311A1 (en) Method for depositing an amorphous carbon film with improved density and step coverage
JP2004282064A (ja) 高周波電力を使ったプラズマ反応により半導体基板上に低比誘電率膜を形成するための方法
KR20070096770A (ko) 플라즈마 화학기상증착을 이용한 카본 폴리머 필름 형성방법
KR101959183B1 (ko) 마이크로파 플라즈마를 사용한 유전체 막의 증착 방법
JP2009071301A (ja) プラズマcvd装置を用いて炭素重合体膜を形成する方法
TWI812757B (zh) 形成熱穩定有機矽聚合物膜之方法
JP4117768B2 (ja) 半導体基板上のシロキサン重合体膜及びその製造方法
JP4651076B2 (ja) 半導体基板上の絶縁膜の形成方法
JP2002353209A (ja) 半導体装置用の低誘電率絶縁膜を形成する方法
JP2003297821A (ja) 半導体基板上のシロキサン重合体膜及びその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant