KR101922731B1 - 포토리소그래피용 조성물 및 반사방지 코팅 - Google Patents

포토리소그래피용 조성물 및 반사방지 코팅 Download PDF

Info

Publication number
KR101922731B1
KR101922731B1 KR1020120104390A KR20120104390A KR101922731B1 KR 101922731 B1 KR101922731 B1 KR 101922731B1 KR 1020120104390 A KR1020120104390 A KR 1020120104390A KR 20120104390 A KR20120104390 A KR 20120104390A KR 101922731 B1 KR101922731 B1 KR 101922731B1
Authority
KR
South Korea
Prior art keywords
alkyl
composition
group
independently selected
compound
Prior art date
Application number
KR1020120104390A
Other languages
English (en)
Other versions
KR20130031797A (ko
Inventor
유안퀴아오 라오
로버트 엘 오거
세실리아 더블유 키애리
야스민 엔 스리바스타바
크리스토퍼 피 설리반
Original Assignee
다우 글로벌 테크놀로지스 엘엘씨
롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 다우 글로벌 테크놀로지스 엘엘씨, 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 filed Critical 다우 글로벌 테크놀로지스 엘엘씨
Publication of KR20130031797A publication Critical patent/KR20130031797A/ko
Application granted granted Critical
Publication of KR101922731B1 publication Critical patent/KR101922731B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F275/00Macromolecular compounds obtained by polymerising monomers on to polymers of monomers containing phosphorus, selenium, tellurium or a metal as defined in group C08F30/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F30/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal
    • C08F30/04Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal containing a metal
    • C08F30/08Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal containing a metal containing silicon
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/06Preparatory processes
    • C08G77/08Preparatory processes characterised by the catalysts used
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/54Silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0751Silicon-containing compounds used as adhesion-promoting additives or as means to improve adhesion
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/20Polysiloxanes containing silicon bound to unsaturated aliphatic groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/80Siloxanes having aromatic substituents, e.g. phenyl side groups

Landscapes

  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Engineering & Computer Science (AREA)
  • Materials For Photolithography (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Coating Of Shaped Articles Made Of Macromolecular Substances (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Paints Or Removers (AREA)
  • Silicon Polymers (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

본 발명은 하기 A 및 B:
A) 하기 구조 단위 1을 포함하는 중합체:
<구조 단위 1>
Figure 112012076238676-pat00037

(상기 식에서, L은 CX-CYZ이고, 여기서 X, Y 및 Z는 각각 독립적으로 수소, 알킬 또는 치환된 알킬로부터 선택되고;
M은 알킬렌, 아릴렌, 치환된 알킬렌, 치환된 아릴렌 또는 C(O)O-W-이고, 여기서 W는 알킬렌 또는 치환된 알킬렌이고;
R', R" 및 R"'은 각각 독립적으로 O, N, S 또는 Si 원자 중 하나 이상을 포함하는 방향족 탄화수소, 지방족 탄화수소 또는 치환된 탄화수소로부터 선택되되, 단 R', R" 및 R"' 중 적어도 하나는 알콕실, 아릴옥실, 히드록실, 할라이드, 카르복실 또는 카르보네이트로부터 선택되고;
p는 1 내지 10,000의 정수이되;
단, 중합체는 다면체 올리고머 실세스퀴옥산 (POSS) 구조를 포함하지 않음); 및
B) 각각 본원에 기재된 바와 같은 화합물 F1, 화합물 F2, 화합물 F3 및/또는 화합물 F4 중 적어도 하나를 포함하는 제1 조성물로부터 형성된 중합체
를 적어도 포함하는 조성물을 제공한다.

Description

포토리소그래피용 조성물 및 반사방지 코팅 {COMPOSITIONS AND ANTIREFLECTIVE COATINGS FOR PHOTOLITHOGRAPHY}
본 출원은, 전문이 본원에 참고로 포함되는 2011년 9월 21일에 출원된 미국 가출원 번호 61/537,097의 이점을 청구한다.
본 발명은 조성물, 및 특히 마이크로전자 용품에 사용하기 위한 반사방지 코팅 조성물 (예를 들어, "BARC")에 관한 것이다. 마이크로전자 산업에서, 포토레지스트 중합체에 대한 개선된 접착성을 갖는 조성물에 대한 끊임없는 요구가 존재한다.
국제 공보 번호 WO 2005/056682에는 규소 중합체 및 유기 중합체를 함유하는 에멀젼 조성물이 개시되어 있다. 유기 중합체는 하나 이상의 에틸렌계 불포화 유기 단량체, 예컨대 아크릴레이트 에스테르, 메틸아크릴레이트, 플루오린화 아크릴레이트, 플루오린화 메타크릴레이트, 아크릴산, 메타크릴산, 알릴 메타크릴레이트, 디메틸아미노에틸 메타크릴레이트, 비닐 할라이드, 비닐 에스테르, 비닐 방향족 화합물, 모노카르복실산의 비닐 에스테르 또는 비닐 피롤리돈의 자유 라디칼 중합에 의해 형성된다.
국제 공보 번호 WO 2009/095521에는 실세스퀴옥산 분자 당 다양한 (1 내지 12) 수의 중합성 이중 결합을 갖는 단량체를 사용하여 실세스퀴옥산을 공중합체로 혼입시키는 것이 개시되어 있다. 선형 가교 공중합체는 우수한 광학 특성 및 높은 수준의 광안정성을 갖는 것으로 개시되어 있다.
일본 특허 참고문헌 JP 2004-309560에는 트리알킬실릴 구조 또는 트리알콕시실릴 구조를 갖는 중합체 및 가교제를 함유하는, 리소그래피용 반사방지 필름이 개시되어 있다.
일본 특허 참고문헌 JP 04-214385에는 감광성 물질을 위한 충전재용 광 차폐성 필름이 개시되어 있다. 필름은 (A) "2.0 내지 3.0 g/10분"의 용융 유량 및 "0.910 내지 0.920 g/㎤"의 밀도를 갖는 직쇄 저 밀도 PE 55 내지 65 중량부; (B) "0.03 내지 0.05 g/10분"의 용융 유량 및 "0.940 내지 0.956 g/㎤"의 밀도를 갖는 고 밀도 PE 35 내지 45 중량부; 및 (C) 카본 블랙 2 내지 10 중량부로 이루어진 블렌드를 포함한다.
일본 특허 참고문헌 JP 2004-354547에는 우수한 광학 특성, 내열성 및 성형성을 갖는 것으로 개시된 물질이 개시되어 있다. 물질은 "사다리-유형" 폴리실세스퀴옥산을 포함한다.
미국 특허 7855043에는 (A-1) 산 촉매의 존재 하에 가수분해성 규소 화합물의 가수분해 축합에 의해 얻어진 규소-함유 화합물, (A-2) 염기성 촉매의 존재 하에 가수분해성 규소 화합물의 가수분해 축합에 의해 얻어진 규소-함유 화합물, (B) 리튬, 나트륨, 칼륨, 루비듐 또는 세슘의 히드록시드 또는 유기 산 염, 또는 술포늄, 아이오도늄 또는 암모늄 화합물, (C) 유기 산, 및 (D) 유기 용매를 포함하는 열 경화성 조성물로부터 형성된 규소-함유 필름이 개시되어 있다. 규소-함유 필름은 오버레잉 포토레지스트 필름의 효과적인 패터닝을 가능하게 하는 것으로 개시되어 있다.
미국 특허 7875417에는 (A-1) 산 촉매의 존재 하에 가수분해성 규소 화합물의 가수분해 축합을 통해 얻어진 규소-함유 화합물, (A-2) 염기 촉매의 존재 하에 가수분해성 규소 화합물의 가수분해 축합을 통해 얻어진 규소-함유 화합물, (B) Li, Na, K, Rb 또는 Ce의 히드록시드 또는 유기 산 염, 또는 술포늄, 아이오도늄 또는 암모늄 화합물, (C) 유기 산, (D) 시클릭 에테르-치환된 알콜, 및 (E) 유기 용매를 포함하는 열 경화성 조성물로부터 형성된 규소-함유 필름이 개시되어 있다. 규소-함유 필름은 기판 상 효과적인 패턴 형성, 포토레지스트 패턴의 효과적인 전사 및 기판의 정확한 처리를 보장하는 것으로 개시되어 있다.
미국 특허 7868407에는 적어도 유기 필름, 유기 필름 상 반사방지 실리콘 수지 필름, 및 반사방지 실리콘 수지 필름 상 포토레지스트 필름을 포함하는 기판이 개시되어 있다. 반사방지 실리콘 수지 필름은 하부 실리콘 수지 필름, 및 하부 실리콘 수지 필름보다 낮은 규소 함량을 갖는 상부 실리콘 수지 필름을 포함한다.
반사방지 필름 및/또는 다른 전자 용품을 위한 추가의 조성물은 다음의 참고 문헌: 미국 특허 번호 5621034, 6268457, 6824879, 7385021, 7417104, 7485690 및 7655377; 미국 공보 번호 2004/0253461, 2005/0277756, 2005/0277755, 2005/0277058, 2005/0274692, 2005/0148380, 2007/0238300, 2007/0298349, 2007/0185298, 2009/0148789, 2010/0086872, 2010/0285407 및 미국 공보 2010/0210765; EP 1845132A2; EP 1614151B1; WO 2007/148223; WO 2009/088600; 및 문헌 [Rao et al., Molecular Composites Comprising TiO2 and Their Optical Properties, Macromolecules, 2008, 41, 4838-4844]에 개시되어 있다.
논의된 바와 같이, 포토레지스트 중합체에 대한 개선된 접착성을 갖는, 반사방지 층 조성물로서 사용하기 위한 조성물에 대한 요구가 여전히 존재한다. 또한, 스핀 코팅 방법을 사용하여 반사방지 층으로 형성될 수 있는 비용-효율적 조성물에 대한 요구가 존재한다. 이러한 요구 및 다른 요구가 하기 본 발명에 의해 충족되었다.
본 발명은 하기 A 및 B:
A) 하기 구조 단위 1을 포함하는 중합체:
<구조 단위 1>
Figure 112012076238676-pat00001
(상기 식에서, L은 CX-CYZ이고, 여기서 X, Y 및 Z는 각각 독립적으로 수소, 알킬 또는 치환된 알킬로부터 선택되고;
M은 알킬렌, 아릴렌, 치환된 알킬렌, 치환된 아릴렌 또는 C(O)O-W-이고, 여기서 W는 알킬렌 또는 치환된 알킬렌이고;
R', R" 및 R"'은 각각 독립적으로 O, N, S 또는 Si 원자 중 하나 이상을 포함하는 방향족 탄화수소, 지방족 탄화수소 또는 치환된 탄화수소로부터 선택되되, 단 R', R" 및 R"' 중 적어도 하나는 알콕실, 아릴옥실, 히드록실, 할라이드, 카르복실 또는 카르보네이트로부터 선택되고;
p는 1 내지 10,000의 정수이되;
단, 중합체는 다면체 올리고머 실세스퀴옥산 (POSS) 구조를 포함하지 않음); 및
B) a) 하기 화학식 1로부터 선택된 화합물 F1:
<화학식 1>
Figure 112012076238676-pat00002
(상기 식에서, Ra는 1개 이상의 다중 결합을 포함하되, 단 Ra가 1개 초과의 다중 결합을 포함할 경우, 이러한 다중 결합은 공액 배위가 아니고; R1, R2 및 R3은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨);
b) 하기 화학식 2로부터 선택된 화합물 F2:
<화학식 2>
Figure 112012076238676-pat00003
(상기 식에서, Rb는 H, 또는 알킬, 알킬렌 또는 알킬리덴을 포함하는 포화 기로부터 선택되고; R4, R5 및 R6은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨);
c) 하기 화학식 3으로부터 선택된 화합물 F3:
<화학식 3>
Figure 112012076238676-pat00004
(상기 식에서, Rc는 1개 초과의 다중 결합을 포함하고, 이러한 다중 결합은 공액 배위이고; R7, R8 및 R9는 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨); 및/또는
d) 하기 화학식 4로부터 선택된 화합물 F4:
<화학식 4>
Figure 112012076238676-pat00005
(상기 식에서, R10, R11, R12 및 R13은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨)
중 적어도 하나를 포함하는 제1 조성물로부터 형성된 중합체
를 적어도 포함하는 조성물을 제공한다.
본 발명에 따르면, 본 발명의 조성물은 포토레지스트 중합체와 강한 상호작용을 형성하며, 규소 웨이퍼 상 코팅, 예를 들어 이중-층 또는 삼중-층 코팅의 형성에서 접착성 촉진 조성물로서 사용될 수 있다.
도 1은 규소 웨이퍼 상 삼중-층 필름 구조의 개략도를 도시한다.
도 2는 완전한 패턴 붕괴를 예시하는, 리소그래피 공정 후 웨이퍼 표면의 "하향식" SEM 영상을 도시한다.
도 3은 패턴 붕괴 마진(pattern collapse margin)을 예시하는, 리소그래피 공정 후 웨이퍼 표면의 "하향식" SEM 영상이다.
상기 논의된 바와 같이, 본 발명은 하기 A 및 B:
A) 하기 구조 단위 1을 포함하는 중합체:
<구조 단위 1>
Figure 112012076238676-pat00006
(상기 식에서, L은 CX-CYZ이고, 여기서 X, Y 및 Z는 각각 독립적으로 수소, 알킬 또는 치환된 알킬로부터 선택되고;
M은 알킬렌, 아릴렌, 치환된 알킬렌, 치환된 아릴렌 또는 C(O)O-W-이고, 여기서 W는 알킬렌 또는 치환된 알킬렌이고;
R', R" 및 R"'은 각각 독립적으로 O, N, S 또는 Si 원자 중 하나 이상을 포함하는 방향족 탄화수소, 지방족 탄화수소 또는 치환된 탄화수소로부터 선택되되, 단 R', R" 및 R"' 중 적어도 하나는 알콕실, 아릴옥실, 히드록실, 할라이드, 카르복실 또는 카르보네이트로부터 선택되고;
p는 1 내지 10,000의 정수이되;
단, 중합체는 다면체 올리고머 실세스퀴옥산 (POSS) 구조를 포함하지 않음); 및
B) a) 하기 화학식 1로부터 선택된 화합물 F1:
<화학식 1>
Figure 112012076238676-pat00007
(상기 식에서, Ra는 1개 이상의 다중 결합을 포함하되, 단 Ra가 1개 초과의 다중 결합을 포함할 경우, 이러한 다중 결합은 공액 배위가 아니고; R1, R2 및 R3은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨);
b) 하기 화학식 2로부터 선택된 화합물 F2:
<화학식 2>
Figure 112012076238676-pat00008
(상기 식에서, Rb는 H, 또는 알킬, 알킬렌 또는 알킬리덴을 포함하는 포화 기로부터 선택되고; R4, R5 및 R6은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨);
c) 하기 화학식 3으로부터 선택된 화합물 F3:
<화학식 3>
Figure 112012076238676-pat00009
(상기 식에서, Rc는 1개 초과의 다중 결합을 포함하고, 이러한 다중 결합은 공액 배위이고; R7, R8 및 R9는 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨); 및/또는
d) 하기 화학식 4로부터 선택된 화합물 F4:
<화학식 4>
Figure 112012076238676-pat00010
(상기 식에서, R10, R11, R12 및 R13은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨)
중 적어도 하나를 포함하는 제1 조성물로부터 형성된 중합체
를 적어도 포함하는 조성물을 제공한다.
본 발명의 조성물은 본원에 기재된 바와 같은 2개 이상의 실시양태의 조합을 포함할 수 있다.
한 실시양태에서, 성분 B의 중합체는 a), b), c) 및 d)를 포함하는 제1 조성물로부터 형성된다.
한 실시양태에서, 성분 B의 중합체는 다음을 포함하는 제1 조성물로부터 형성된다: a), b) 및 c); 또는 a), c) 및 d); 또는 b), c) 및 d).
한 실시양태에서, 성분 B의 중합체는 다음을 포함하는 제1 조성물로부터 형성된다: a) 및 b); 또는 a) 및 c); 또는 a) 및 d); 또는 b) 및 c); 또는 b) 및 d); 또는 c) 및 d).
한 실시양태에서, 성분 B의 중합체는 다음을 포함하는 제1 조성물로부터 형성된다: a); 또는 b); 또는 c); 또는 d).
한 실시양태에서, 구조 단위 1에서, X, Y 및 Z는 각각 독립적으로 수소 또는 C1-C10 알킬 기 또는 C1-C6 알킬 기 또는 C1-C3 알킬 기로부터 선택된다.
한 실시양태에서, 구조 단위 1에서, M은 C1 내지 C10 알킬렌, C1 내지 C10 아릴렌 또는 C(O)O-W-이고, W는 C1 내지 C10 알킬렌 기이다.
한 실시양태에서, 구조 단위 1에서, R', R" 및 R"'은 각각 독립적으로 C1 내지 C10 지방족 탄화수소, C1 내지 C10 방향족 탄화수소, OH, OR, OC(O)R 또는 OC(O)OR (여기서, R은 C1 내지 C10 지방족 탄화수소 또는 C1 내지 C10 방향족 탄화수소임)로부터 선택되되, 단 R', R" 및 R"' 중 적어도 하나는 OH, OR, OC(O)R 또는 OC(O)OR이다.
한 실시양태에서, 구조 단위 1에서, X, Y 및 Z는 각각 독립적으로 수소 또는 C1 내지 C10 알킬 기로부터 선택되고;
M은 C1 내지 C10 알킬렌, C1 내지 C10 아릴렌 또는 C(O)O-W-이고, W는 C1 내지 C10 알킬렌 기이고;
R', R" 및 R"'은 각각 독립적으로 C1 내지 C10 지방족 탄화수소, C1 내지 C10 방향족 탄화수소, OH, OR, OC(O)R 또는 OC(O)OR (여기서, R은 C1 내지 C10 지방족 탄화수소 또는 C1 내지 C10 방향족 탄화수소임)로부터 선택되되, 단 R', R" 및 R"' 중 적어도 하나는 OH, OR, OC(O)R 또는 OC(O)OR이고;
p는 10 내지 1000의 정수이다.
한 실시양태에서, 구조 단위 1에서, X, Y 및 Z는 각각 독립적으로 수소 또는 메틸 기로부터 선택되고;
M은 페닐렌 또는 C(O)O-W-이고, W는 C1 내지 C4 알킬렌 기이고;
R', R" 및 R"'은 각각 독립적으로 OH, OR, OC(O)R 또는 OC(O)OR (여기서, R은 메틸, 에틸, n-프로필, 2-프로필, n-부틸, 이소부틸 또는 2-부틸임)로부터 선택되고;
p는 10 내지 500의 정수이다.
한 실시양태에서, 구조 단위 1은 하기 구조를 갖는다:
Figure 112012076238676-pat00011
상기 식에서, T는 H 또는 메틸이고; R은 메틸 또는 에틸이고; n은 1 내지 3의 정수이고; p는 10 내지 500의 정수이다.
한 실시양태에서, 구조 단위 1은 중합된 "3-아크릴옥시프로필트리메톡시-실란" 단위이다.
한 실시양태에서, 조성물 A의 중합체는 폴리(3-아크릴옥시프로필트리메톡시-실란)이다.
한 실시양태에서, 성분 A의 중합체는 약 10,000 내지 약 100,000의 Mw를 갖는다.
성분 A의 중합체 (중합체 A)는 본원에 기재된 바와 같은 2개 이상의 실시양태의 조합을 포함할 수 있다.
한 실시양태에서, 제1 조성물은 F1, F2, F3 및 F4를 포함하고, 화합물 F1, F2, F3 및 F4의 합한 중량을 기준으로 5 중량% 이상의 Si, 또는 10 중량% 이상의 Si, 또는 15 중량% 이상의 Si를 포함한다.
한 실시양태에서, 제1 조성물은 F1, F2, F3 및 F4를 포함하고, 화합물 F2 및 화합물 F4의 몰량의 합은 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 40 mol% 이상이다.
한 실시양태에서, 제1 조성물은 F1, F2, F3 및 F4를 포함하고, 화합물 F4는 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 10 mol% 초과의 양으로 존재한다.
한 실시양태에서, 제1 조성물은 F1, F2, F3 및 F4를 포함하고, F1/F4의 몰비는 1/20 내지 1/1, 또는 1/15 내지 1/1, 또는 1/10 내지 1/1이다.
한 실시양태에서, 제1 조성물은 F1, F2, F3 및 F4를 포함하고, F1은 5 내지 50 중량%, 또는 10 내지 30 중량% 범위이고; F2는 5 내지 50 중량%, 또는 10 내지 40 중량% 범위이고; F3은 2 내지 20 중량%, 또는 2 내지 10 중량% 범위이고; F4는 20 내지 80 중량%, 또는 30 내지 80 중량% 범위이다. 각각의 중량 백분율은 제1 조성물의 중량을 기준으로 한다.
한 실시양태에서, 제1 조성물의 경우, 화합물 F1은 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 10 내지 90 mol%, 또한 15 내지 90 mol%, 또한 20 내지 90 mol%, 및 또한 25 내지 90 mol%의 양으로 존재한다.
한 실시양태에서, 제1 조성물의 경우, 화합물 F1은 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 10 mol% 초과, 또한 12 mol% 초과의 양으로 존재한다.
한 실시양태에서, 제1 조성물의 경우, 화합물 F4는 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 10 내지 65 mol%, 또한 10 내지 60 mol%, 또한 10 내지 55 mol%, 및 또한 10 내지 50 mol%의 양으로 존재한다.
한 실시양태에서, 제1 조성물의 경우, 화합물 F4는 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 65 mol% 미만, 또한 60 mol% 미만의 양으로 존재한다.
제1 조성물은 본원에 기재된 바와 같은 2개 이상의 실시양태의 조합을 포함할 수 있다.
본 발명의 조성물은 본원에 기재된 바와 같은 2개 이상의 실시양태의 조합을 포함할 수 있다.
또한, 본 발명은 본 발명의 조성물로부터 형성된 하나 이상의 성분을 포함하는 물품을 제공한다.
또한, 본 발명은 본 발명의 조성물로부터 형성된 하나 이상의 층을 포함하는 필름을 제공한다.
한 실시양태에서, 필름은 중합체를 포함하는 제2 조성물로부터 형성된 제2 층을 더 포함한다.
또한, 본 발명은 2개 이상의 층을 포함하는 필름을 제공하며, 여기서 1개 이상의 층은 본 발명의 조성물로부터 형성된 반사방지 층이다. 추가 실시양태에서, 다른 층은 포토레지스트 층이다.
본 발명의 물품은 본원에 기재된 바와 같은 2개 이상의 실시양태의 조합을 포함할 수 있다.
본 발명의 필름은 본원에 기재된 바와 같은 2개 이상의 실시양태의 조합을 포함할 수 있다.
또한, 본 발명은
기판을 제공하는 단계;
기판 상에 하나 이상의 중합체를 포함하는 하부층을 형성하는 단계;
하부층 상에 본 발명의 조성물을 적용하는 단계; 및
조성물을 경화시켜 코팅을 형성하는 단계
를 적어도 포함하는, 기판 상에 코팅을 형성하는 방법을 제공한다.
추가 실시양태에서, 방법은 코팅 상에 하나 이상의 포토레지스트 중합체를 포함하는 하나 이상의 조성물을 적용하는 단계를 포함한다.
한 실시양태에서, 조성물의 다중층이 하부층 상에 적용된다.
한 실시양태에서, 코팅은 반사방지 층이다.
또한, 본 발명은
기판을 제공하는 단계;
기판의 적어도 일부분 또는 상기 기판 상에 적용된 하나 이상의 중간 층 상에 본 발명의 조성물을 코팅으로서 적용하는 단계; 및
조성물을 경화시켜 코팅을 형성하는 단계
를 적어도 포함하는, 기판 상에 코팅을 형성하는 방법을 제공한다.
추가 실시양태에서, 방법은 코팅 상에 하나 이상의 포토레지스트 중합체를 포함하는 하나 이상의 조성물을 적용하는 단계를 포함한다.
한 실시양태에서, 조성물의 다중층이 기판의 적어도 일부분 또는 상기 기판 상에 적용된 하나 이상의 중간 층 상에 적용된다.
한 실시양태에서, 코팅은 반사방지 층이다.
본 발명의 방법은 본원에 기재된 바와 같은 2개 이상의 실시양태의 조합을 포함할 수 있다.
본 발명자들은, 본 발명의 조성물이 포토레지스트 중합체와 강한 상호작용을 형성한다는 것을 발견하였다. 또한, 본 발명자들은, 본 발명의 조성물이 규소 웨이퍼 상 코팅, 예를 들어 이중-층 또는 삼중-층 코팅의 형성에서 접착성 촉진 조성물로서 사용될 수 있다는 것을 발견하였다.
성분 A의 중합체
성분 A의 중합체는 하기 구조 단위 1을 포함한다:
<구조 단위 1>
Figure 112012076238676-pat00012
상기 식에서, L은 CX-CYZ이고, 여기서 X, Y 및 Z는 각각 독립적으로 수소, 알킬 또는 치환된 알킬로부터 선택되고;
M은 알킬렌, 아릴렌, 치환된 알킬렌, 치환된 아릴렌 또는 C(O)O-W-이고, 여기서 W는 알킬렌 또는 치환된 알킬렌이고;
R', R" 및 R"'은 각각 독립적으로 O, N, S 또는 Si 원자 중 하나 이상을 포함하는 방향족 탄화수소, 지방족 탄화수소 또는 치환된 탄화수소로부터 선택되되, 단 R', R" 및 R"' 중 적어도 하나는 알콕실, 아릴옥실, 히드록실, 할라이드, 카르복실 또는 카르보네이트로부터 선택되고;
p는 1 내지 10,000의 정수이되;
단, 중합체는 다면체 올리고머 실세스퀴옥산 (POSS) 구조를 포함하지 않는다.
다면체 올리고머 실세스퀴옥산 (POSS) 구조는 하기 2가지 상이한 사진으로부터 보여진다. POSS 구조에서, R 기는 알킬, 치환된 알킬, 아릴 또는 치환된 아릴일 수 있다. POSS 구조는 "R" 기 중 하나를 통하여 중합체에 연결될 수 있다. 그러나, 성분 A의 중합체는 POSS 구조를 포함하지 않는다.
Figure 112012076238676-pat00013
한 실시양태에서, 성분 A의 중합체는 단독중합체이다.
한 실시양태에서, 성분 A의 중합체는 혼성중합체이다. 추가 실시양태에서, 혼성중합체는 아크릴레이트 (예를 들어, 아크릴레이트 또는 메타크릴레이트), 비닐 (예를 들어, 스티렌, 파라-히드록시스티렌), 시클릭 락톤 또는 이들의 조합으로부터 선택된 하나 이상의 공단량체를 중합된 형태로 포함한다. 추가 실시양태에서, 공단량체는 혼성중합체 중 중합된 단량체의 총 몰을 기준으로 2 내지 15 mol%, 또는 5 내지 10 mol%의 양으로 존재한다.
한 실시양태에서, 성분 A의 중합체는 공중합체이다. 추가 실시양태에서, 공중합체는 아크릴레이트 (예를 들어, 아크릴레이트 또는 메타크릴레이트), 비닐 (예를 들어, 스티렌, 파라-히드록시스티렌) 또는 시클릭 락톤으로부터 선택된 하나 이상의 공단량체를 중합된 형태로 포함한다. 추가 실시양태에서, 공단량체는 공중합체 중 중합된 단량체의 총 몰을 기준으로 2 내지 15 mol%, 또는 5 내지 10 mol%의 양으로 존재한다.
한 실시양태에서, 성분 A의 중합체는 하기 구조 단위를 중합된 형태로 포함하는 단독중합체이다:
Figure 112012076238676-pat00014
한 실시양태에서, 성분 A의 중합체는 하기 구조 단위를 중합된 형태로 포함하는 혼성중합체이다:
Figure 112012076238676-pat00015
추가 실시양태에서, 혼성중합체는 아크릴레이트 (예를 들어, 아크릴레이트 또는 메타크릴레이트), 비닐 (예를 들어, 스티렌, 파라-히드록시스티렌), 시클릭 락톤 또는 이들의 조합으로부터 선택된 하나 이상의 공단량체를 중합된 형태로 포함한다. 추가 실시양태에서, 공단량체는 혼성중합체 중 중합된 단량체의 총 몰을 기준으로 2 내지 15 mol%, 또는 5 내지 10 mol%의 양으로 존재한다.
한 실시양태에서, 성분 A의 중합체는 하기 구조 단위를 중합된 형태로 포함하는 공중합체이다:
Figure 112012076238676-pat00016
추가 실시양태에서, 공중합체는 아크릴레이트 (예를 들어, 아크릴레이트 또는 메타크릴레이트), 비닐 (예를 들어, 스티렌, 파라-히드록시스티렌) 또는 시클릭 락톤으로부터 선택된 하나 이상의 공단량체를 중합된 형태로 포함한다. 추가 실시양태에서, 공단량체는 공중합체 중 중합된 단량체의 총 몰을 기준으로 2 내지 15 mol%, 또는 5 내지 10 mol%의 양으로 존재한다.
성분 A의 중합체는 본원에 기재된 바와 같은 2개 이상의 실시양태의 조합을 포함할 수 있다.
화합물 F1 내지 F4
화합물 F1, F2, F3 및 F4가 하기에 기재된다.
a) 화합물 F1은 하기 화학식 1로부터 선택된다:
<화학식 1>
Figure 112012076238676-pat00017
상기 식에서, Ra는 C=C, C≡C, C=O, C=N 및 C≡N을 포함하는 1개 이상의 다중 결합을 포함하되, 단 Ra가 1개 초과의 다중 결합을 포함할 경우, 이러한 다중 결합은 공액 배위가 아니고; R1, R2 및 R3은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 알킬이다.
한 실시양태에서, Ra는 알케닐 기, 알키닐 기, 이미드, 니트릴, 케톤, 에스테르, 아미드 또는 카르보네이트 중 하나 이상을 포함하며, 그것은 2 내지 10개의 탄소 원자를 포함하고; R1, R2 및 R3은 각각 독립적으로 OH, OR 또는 OC(O)R (여기서, R은 C1-C10 알킬 또는 C1-C10 치환된 알킬임)로부터 선택된다.
한 실시양태에서, Ra는 알케닐 기, 알키닐 기, 이미드, 니트릴, 케톤, 에스테르, 아미드 또는 카르보네이트 중 하나 이상을 포함하며, 그것은 2 내지 10개의 탄소 원자를 포함하고; R1, R2 및 R3은 각각 독립적으로 OH, OR 또는 OC(O)R (여기서, R은 C1-C10 알킬임)로부터 선택된다.
한 실시양태에서, Ra는 비닐, 알릴, 프로페닐, 부테닐, 아세톡실, 시아노에틸, 아세토에틸 또는 아세트아미도프로필로부터 선택되고; R1, R2 및 R3은 각각 OR (여기서, 각각의 R은 독립적으로 메틸, 에틸, n-프로필, 2-프로필, n-부틸, 이소부틸 또는 2-부틸로부터 선택됨)이다.
한 실시양태에서, 화합물 F1은 비닐트리메톡시실란 또는 비닐트리에톡시실란으로부터 선택된다.
Figure 112012076238676-pat00018
b) 화합물 F2는 하기 화학식 2로부터 선택된다:
<화학식 2>
Figure 112012076238676-pat00019
상기 식에서, Rb는 H, 또는 알킬, 알킬렌 또는 알킬리덴을 포함하는 포화 기로부터 선택되고; R4, R5 및 R6은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 알킬이다.
한 실시양태에서, Rb는 치환된 C1-C10 시클릭 알킬, 치환된 비-시클릭 알킬, 치환된 C1-C10 시클릭 알킬렌, 치환된 C1-C10 비-시클릭 알킬렌, 치환된 C1-C10 시클릭 알킬리덴, 치환된 C1-C10 비-시클릭 알킬리덴을 포함하는 포화 기, 또는 H; 또는 비치환된 C1-C10 시클릭 알킬, 비치환된 C1-C10 비-시클릭 알킬, 비치환된 C1-C10 시클릭 알킬렌, 비치환된 C1-C10 비-시클릭 알킬렌, 비치환된 C1-C10 시클릭 알킬리덴 또는 비치환된 C1-C10 비-시클릭 알킬리덴이고;
R4, R5 및 R6은 각각 독립적으로 OH, OR 또는 OC(O)R (여기서, R은 C1-C10 알킬 또는 C1-C10 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 C1-C10 알킬이다.
한 실시양태에서, Rb는 비치환된 C1-C10 시클릭 알킬, 비치환된 C1-C10 비-시클릭 알킬, 비치환된 C1-C10 시클릭 알킬렌, 비치환된 C1-C10 비-시클릭 알킬렌, 비치환된 C1-C10 시클릭 알킬리덴, 비치환된 C1-C10 비-시클릭 알킬리덴을 포함하는 포화 기, 또는 H이고;
R4, R5 및 R6은 각각 독립적으로 OH, OR 또는 OC(O)R (여기서, R은 C1-C10 알킬 또는 C1-C10 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 C1-C10 알킬이다.
한 실시양태에서, Rb는 메틸, 에틸, n-프로필, 2-프로필, n-부틸, 이소부틸 또는 2-부틸로부터 선택되고; R4, R5 및 R6은 각각 OR (여기서, 각각의 R은 독립적으로 메틸, 에틸, n-프로필, 2-프로필, n-부틸, 이소부틸 또는 2-부틸로부터 선택됨)이다.
한 실시양태에서, 화합물 F2는 메틸트리메톡시실란 또는 메틸트리에톡시실란으로부터 선택된다.
Figure 112012076238676-pat00020
c) 화합물 F3은 하기 화학식 3으로부터 선택된다:
<화학식 3>
Figure 112012076238676-pat00021
상기 식에서, Rc는 C=C, C≡C, C=O, C=N 및 C≡N을 포함하는 1개 초과의 다중 결합을 포함하고, 이러한 다중 결합은 공액 배위이고; R7, R8 및 R9는 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 알킬이다.
한 실시양태에서, Rc는 아릴 또는 치환된 아릴, 공액 디엔 또는 공액 트리엔, 공액 디케톤, 공액 케토-에스테르, α,β-불포화 에스테르, α,β-불포화 케톤, 알켄과 함께 니트릴, 케톤과 함께 니트릴, 에스테르와 함께 니트릴, 알켄과 함께 알킨, 케톤과 함께 알킨, 또는 에스테르와 함께 알킨을 포함하고;
R7, R8 및 R9는 각각 독립적으로 OH, OR 또는 OC(O)R (여기서, R은 C1-C10 알킬 또는 C1-C10 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 C1-C10 알킬이다.
한 실시양태에서, Rc는 페닐 기, 나프틸 기, 안트라센 기, 페난트렌 기, 플루오렌 기, 피리딘 기, 퀴놀린 기, 이미다졸 기, 벤조이미다졸 기, 인돌 기, 카르바졸 기, 푸란 기, 벤조푸란 기, 디벤조푸란 기, 아크릴옥실 기, 아크릴아미도 기, 메타크릴옥실 기 또는 메타크릴아미도 기를 포함하고;
R7, R8 및 R9는 각각 OR (여기서, 각각의 R은 독립적으로 메틸, 에틸, n-프로필, 2-프로필, n-부틸, 이소부틸 또는 2-부틸로부터 선택됨)이다.
한 실시양태에서, 화합물 F3은 페닐트리메톡시실란 또는 페닐트리에톡시실란으로부터 선택된다.
Figure 112012076238676-pat00022
d) 화합물 F4는 하기 화학식 4로부터 선택된다:
<화학식 4>
Figure 112012076238676-pat00023
상기 식에서, R10, R11, R12 및 R13은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 알킬이다.
한 실시양태에서, R10, R11, R12 및 R13은 각각 독립적으로 OH, OR 또는 OC(O)R (여기서, R은 C1-C10 알킬 또는 C1-C10 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 C1-C10 알킬이다.
한 실시양태에서, R10, R11, R12 및 R13은 OR (여기서, 각각의 R은 독립적으로 메틸, 에틸, n-프로필, 2-프로필, n-부틸, 이소부틸 또는 2-부틸로부터 선택됨)이다.
한 실시양태에서, 화합물 F4는 테트라메틸 오르토실리케이트 또는 테트라에틸 오르토실리케이트로부터 선택된다:
Figure 112012076238676-pat00024
삼중-층 코팅
삼중-층 코팅, 예를 들어 삼중-층 레지스트는 전형적으로 (a) 기판 상 경화성 하부층 조성물; (b) 경화성 조성물 상에 적용된 하드마스크 조성물 (예를 들어, 본원에 기재된 본 발명의 조성물로부터 형성된 하드마스크 층); 및 (c) 하드마스크 조성물 상에 적용된 포토레지스트 조성물 층을 포함한다. 기판은 적합하게는 포토레지스트와 관련된 공정에 사용되는 임의의 기판이다. 예를 들어, 기판은 규소, 이산화규소 또는 알루미늄-알루미늄 옥시드 마이크로전자 웨이퍼일 수 있다. 또한, 비화갈륨, 탄화규소, 세라믹, 석영 또는 구리 기판이 사용될 수 있다. 또한, 액정 디스플레이 또는 다른 플랫 패널 디스플레이 용품을 위한 기판, 예를 들어 유리 기판, 인듐 주석 옥시드 코팅된 기판 등이 적합하게 사용된다. 광학 및 광-전자 장치 (예를 들어, 도파관)용 기판이 또한 사용될 수 있다. 코팅 조성물 및 리소그래피 공정은, 각각 본원에 참고로 포함되는 미국 공보 2007/0238052 및 미국 공보 2009/0148789에 기재되어 있다.
다양한 포토레지스트가 본 발명의 코팅 조성물과 조합하여 (즉, 오버코팅됨) 사용될 수 있다. 바람직한 포토레지스트는 화학-증폭형 레지스트, 특히 하나 이상의 광산 발생제 화합물, 및 광발생 산의 존재 하에 탈블록화 또는 분해 반응을 겪는 단위, 예컨대 광산-불안정성 에스테르, 아세탈, 케탈 또는 에테르 단위를 함유하는 수지 성분을 함유하는 포지티브-작용 또는 네거티브-작용 포토레지스트를 포함한다.
또한, 네거티브-작용 포토레지스트는 본 발명의 코팅 조성물, 예컨대 활성화 방사선에 대한 노출 시 가교 (즉, 경화 또는 경질화)되는 레지스트와 함께 사용될 수 있다. 본 발명의 코팅 조성물과 함께 사용하기에 바람직한 포토레지스트는 비교적 짧은 파장의 방사선, 예를 들어 300 nm 미만, 또는 260 nm 미만, 예컨대 약 248 nm의 파장을 갖는 방사선, 또는 약 200 nm 미만, 예컨대 193 nm의 파장을 갖는 방사선으로 영상화될 수 있다.
적합한 포토레지스트는 영상-유효량의 광산 발생제 화합물 및 하나 이상의 수지를 함유한다. 적합한 수지는 비제한적으로 i) 산-불안정성 기를 함유하는 페놀 수지 (예를 들어, 미국 특허 6,042,997 및 5,492,793을 참조함); ii) 비닐페놀, 히드록실 또는 카르복실 고리 치환기를 함유하지 않는 임의로 치환된 비닐페닐 (예를 들어, 스티렌), 및 알킬 아크릴레이트, 예컨대 상기 중합체 i), 예컨대 미국 특허 6,042,997에 기재된 중합체와 함께 기재된 탈블록화 기의 중합된 단위를 함유하는 중합체; 및 iii) 광산과 반응할 아세탈 또는 케탈 모이어티를 포함하는 반복 단위, 및 임의로 방향족 반복 단위, 예컨대 페닐 또는 페놀 기를 함유하는 중합체 (이러한 중합체는 미국 특허 5,929,176 및 6,090,526에 기재되어 있음)를 포함한다.
추가의 수지는 페닐 또는 다른 방향족 기를 실질적으로 또는 완전히 함유하지 않고, 200 nm 이하의 파장, 예컨대 193 nm에서 영상화하기에 특히 적합한 화학 증폭형 레지스트를 제공할 수 있는 수지를 포함한다. 이러한 부류의 바람직한 수지는 i) 비-방향족 시클릭 올레핀의 중합된 단위 (엔도시클릭 이중 결합), 예컨대 임의로 치환된 노르보르넨을 함유하는 중합체, 예컨대 미국 특허 5,843,624 및 6,048,664에 기재된 중합체; ii) 알킬 아크릴레이트 단위, 예컨대 t-부틸 아크릴레이트, t-부틸 메타크릴레이트, 메틸아다만틸 아크릴레이트, 메틸아다만틸 메타크릴레이트 및 다른 비-시클릭 알킬 및 지환족 아크릴레이트를 함유하는 중합체 (이러한 중합체는 미국 특허 6,057,083; 유럽 공개 출원 EP01008913A1 및 EP00930542A1에 기재되어 있음); 및 iii) 중합된 무수물 단위, 특히 유럽 공개 출원 EP01008913A1 및 미국 특허 6,048,662에 개시된 바와 같은 중합된 말레산 무수물 및/또는 이타콘산 무수물 단위를 함유하는 중합체를 포함한다.
다른 수지는 헤테로원자, 특히 산소 및/또는 황을 함유하는 반복 단위 (무수물이 아니며, 즉 단위는 카르보닐 고리 원자를 함유하지 않음)를 함유하는 수지를 포함하고, 바람직하게는 임의의 방향족 단위를 실질적으로 또는 완전히 함유하지 않는다. 바람직하게는, 헤테로지환족 단위는 수지 주쇄에 융합되고, 추가로 수지가, 말레산 무수물 또는 이타콘산 무수물의 중합에 의해 제공된 바와 같은, 노르보르넨 기 및/또는 무수물 단위의 중합에 의해 제공된 바와 같은 융합된 탄소 지환족 단위를 포함하는 경우가 바람직하다. 또한, 플루오린 치환을 함유하는 수지 (플루오로중합체)는, 예를 들어 테트라플루오로에틸렌, 플루오린화 방향족 기, 예컨대 플루오로스티렌 화합물 등의 중합에 의해 제공될 수 있다.
정의
본원에서 사용된 용어 "조성물"은 조성물을 구성하는 물질의 혼합물, 뿐만 아니라 조성물의 물질로부터 형성된 반응 생성물 및 분해 생성물을 포함한다.
본원에서 사용된 용어 "중합체"는 동일한 또는 상이한 유형의 단량체를 중합함으로써 제조된 중합 화합물을 지칭한다. 따라서, 일반적인 용어 중합체는 용어 단독중합체 (단지 1가지 유형의 단량체로부터 제조된 중합체를 지칭하는데 사용되며, 미량의 불순물이 중합체 구조 내로 혼입될 수 있는 것으로 이해됨), 및 이하에 정의된 바와 같은 용어 혼성중합체를 포함한다.
본원에서 사용된 용어 "혼성중합체"는 2가지 이상의 상이한 유형의 단량체의 중합에 의해 제조된 중합체를 지칭한다. 일반적인 용어 혼성중합체는 공중합체 (2가지 상이한 단량체로부터 제조된 중합체를 지칭하기 위하여 사용됨), 및 2가지 초과의 상이한 유형의 단량체로부터 제조된 중합체를 포함한다.
본원에서 사용된 용어 "다중 결합"은 이중 결합 또는 삼중 결합을 지칭할 수 있다.
본원에서 사용된 용어 "공액 배위"는 화합물에 존재하는 다중 결합의 배위를 지칭하며, 여기서 2개의 다중 결합은 1개의 단일 결합에 의해 분리되어 교호 패턴 (예를 들어, "이중 결합 - 단일 결합 - 이중 결합" 또는 "삼중 결합 - 단일 결합 - 이중 결합" 또는 "이중 결합 - 단일 결합 - 삼중 결합")을 형성한다. 공액 배위에서, 다중 결합은 독립적으로 이중 결합 또는 삼중 결합일 수 있다. 1개 초과의 교호 패턴이 결합의 공액 배위를 갖는 화합물에 존재할 수 있다. 공액 결합을 갖는 화합물의 예는 벤젠, 1,4-부타디엔, 푸란, 아크릴로니트릴 및 아크릴산이다.
Figure 112012076238676-pat00025
본원에서 사용된 용어 "아민-함유 화합물"은 1개 이상, 바람직하게는 1개의 아민 기 (예를 들어, 1급, 2급 또는 3급 아민 (NH2 또는 NH 또는 N))를 함유하는 유기 화합물을 지칭한다.
본원에서 사용된 용어 "할라이드-함유 화합물"은 1개 이상, 바람직하게는 1개의 할라이드 기 (예를 들어, Cl, Br, F, 바람직하게는 Cl)를 함유하는 유기 화합물을 지칭한다.
용어 "포함하는", "비롯한", "갖는" 및 그의 파생어는, 임의의 추가의 성분, 단계 또는 절차가 구체적으로 개시되어 있는지의 여부와 상관없이 이들의 존재를 배제하도록 의도되지 않는다. 임의의 의심을 피하기 위해, 용어 "포함하는"의 사용을 통해 청구된 모든 조성물은 달리 언급되지 않는 한, 임의의 추가의 첨가제, 보조제 또는 화합물 (중합체 또는 다른 것)을 포함할 수 있다. 반면, 용어 "~을 주성분으로 포함하는"은 임의의 후속되는 인용 범위로부터 실시에 필수적이지 않은 것을 제외한 임의의 다른 성분, 단계 또는 절차를 배제시킨다. 용어 "~으로 이루어진"은 구체적으로 기술되거나 열거되지 않은 임의의 성분, 단계 또는 절차를 배제시킨다.
시험 방법
GPC
중합체의 분자량은, 크기 배제 크로마토그래피 (SEC)로도 칭해지는 겔 투과 크로마토그래피 (GPC)에 의해 측정되었다. 기기에는 적절한 보정 표준물을 기준으로 약 500 g/mol 내지 약 1,000,000 g/mol 범위의 분자량을 측정하기에 적합한 칼럼 세트가 장착되었다. 연속적으로 설치된, 톰슨 인스트루먼트 캄파니(Thomson Instrument Co.)로부터 이용가능한, 직경 8 mm x 길이 300 mm의 3개의 칼럼 세트, 쇼덱스(SHODEX) KF-801, KF-802.5 및 KF-803이 특히 효과적이었다. 이동상은 테트라히드로푸란이고, 1.2 mL/분의 속도로 펌핑되었다. 또한, 기기에는 굴절률 검출기가 장착되었다. 보정은 중합체 A에 대해 폴리(메틸 메타크릴레이트) 표준물 및 중합체 B에 대해 폴리스티렌 표준물을 사용하여 수행되었다. 2가지 유형의 표준물은 모두 독일 마인츠 소재의 폴리머 스탠다즈 서비스 게엠베하(Polymer Standards Service GmbH)로부터 이용가능하였다. Mn, Mw 및 MWD는 애질런트 테크놀로지즈, 인크.(Agilent Technologies, Inc.)로부터 이용가능한 켐스테이션(ChemStation) 소프트웨어를 위한 "GPC-애드온(addon)"을 사용하여 계산되었다.
SEM
하향식 및 단면 영상 모두를 히타치(Hitachi) CG 4000 SEM (히타치 하이 테크놀로지즈 아메리카, 인크(Hitachi High Technologies America, Inc)) 상에서 측정하였다. 하향식 측정은 전체 영상화된 웨이퍼 상에서 수행되었다. 단면은, 웨이퍼를 관심 특징부를 통해 분할하고, 웨이퍼 조각을 금 또는 이리듐의 박층으로 스퍼터-코팅함으로써 얻어졌다.
실험
본 발명에서 사용된 물질은 상업적 공급원으로부터 얻었으며, 받은 그대로 사용되었다. 원료의 약어 및 공급원은:
VTMS: 비닐트리메톡시실란 (시그마 알드리치(Sigma Aldrich), 다우 코닝(Dow Corning))
MTMS: 메틸트리메톡시실란 (시그마 알드리치, 다우 코닝)
PTMS: 페닐트리메톡시실란 (시그마 알드리치, 다우 코닝)
TEOS: 테트라에틸 오르토실리케이트 (시그마 알드리치, 다우 코닝)
APTMS: 3-(아크릴옥시)프로필트리메톡시실란 (시그마 알드리치, 다우 코닝)
OTDA: 9-(4-옥사트리시클로[5.2.1.0 2,6]데칸-3-온) 아크릴레이트 (하기 참조),
Figure 112012076238676-pat00026
HADA: 3-히드록시아다만탄-1-일 메타크릴레이트 (하기 참조),
Figure 112012076238676-pat00027
THEIC: 알드리치로부터의 트리스[2-(아크릴로일옥시)에틸]이소시아누레이트 (하기 참조),
Figure 112012076238676-pat00028
PGMEA: 프로필렌 글리콜 모노메틸 에테르 아세테이트 (다우아놀(DOWANOL) PMA, 더 다우 케미칼 캄파니(The Dow Chemical Company)),
BTEAC: 벤질트리에틸암모늄 클로라이드 (시그마 알드리치)이며,
3 N 수성 아세트산 용액을 실험실에서 제조하였다. 빙초산은 JT 베이커(JT Baker)에 의해 공급되었다.
중합체 1, APTMS의 단독중합체 (중합체 A)의 합성
먼저, APTMS 30 g을 PGMEA 30 g에 첨가하여 단량체 용액을 형성하였다. 별도로, 개시제, V601 (웨코 케미칼(Waco Chemical)) 1.5 g을 PGMEA 6 g에 첨가하여 개시제 용액을 형성하였다. 그 다음, 개시제 용액의 2/3를 반응 플라스크에 충전시키고, 10분 동안 탈기시켰다. 조 온도를 80℃로 설정하였다. 단량체 용액을 1시간에 걸쳐 반응 플라스크에 (펌프 또는 첨가 깔때기를 사용하여) 천천히 첨가하였다. 단량체의 첨가가 완료된 후, 반응물을 80℃에서 3시간 동안 유지시켰다. 이어서, 나머지 개시제 용액을 천천히 첨가하고, 추가의 1시간 동안 계속 반응시켰다. 반응 말엽에, PGMEA "21 g"을 반응 플라스크에 첨가하였으며, 이것을 실온으로 냉각시켜 "중합체 1 졸" (중합체 A 졸)을 형성하였다. 분자량을 통상적인 GPC에 의해 측정하였으며, Mn은 6139 g/mol이고, Mw는 21180 g/mol이고, MWD는 폴리(메틸 메타크릴레이트) 표준물을 기준으로 3.45이었다.
중합체 2, APTMS/OTDA/HADA (80/10/10)의 공중합체 (중합체 A)의 합성
먼저, APTMS 48.5 g, OTDA 5.75 g 및 HADA 단량체 5.75 g을 PGMEA 72.25 g에 첨가하여 단량체 용액을 형성하였다. 별도로, 개시제, V601 (웨코 케미칼) 3 g을 PGMEA 15 g에 첨가하여, 개시제 용액을 형성하였다. 그 다음, 개시제 용액의 2/3를 반응 플라스크에 충전시키고, 10분 동안 탈기시켰다. 조 온도를 80℃로 설정하였다. 단량체 용액을 1시간에 걸쳐 반응 플라스크에 (펌프 또는 첨가 깔때기를 사용하여) 천천히 첨가하였다. 단량체의 첨가가 완료된 후, 반응물을 80℃에서 3시간 동안 유지시켰다. 이어서, 나머지 개시제 용액을 천천히 첨가하고, 추가의 1시간 동안 계속 반응시켰다. 반응 말엽에, PGMEA 67.75 g을 반응 플라스크에 첨가하고, 이것을 실온으로 냉각시켜 "중합체 2 졸"을 형성하였다. 분자량을 통상적인 GPC에 의해 측정하였다. 분자량을 통상적인 GPC에 의해 측정하였으며, Mn은 7099 g/mol이고, Mw는 30283 g/mol이고, MWD는 4.27이었다.
중합체 3, APTMS/THEIC (90/10)의 공중합체 (중합체 A)의 합성
먼저, APTMS 33.31 g 및 THEIC 6.69 g을 시클로헥사논 85.33 g에 첨가하여 단량체 용액을 형성하였다. 별도로, 개시제, V601 (웨코 케미칼) 2 g을 시클로헥사논 10 g에 첨가하여 개시제 용액을 형성하였다. 그 다음, 개시제 용액의 2/3를 반응 플라스크에 충전시키고, 10분 동안 탈기시켰다. 조 온도를 80℃로 설정하였다. 단량체 용액을 1시간에 걸쳐 반응 플라스크에 (펌프 또는 첨가 깔때기를 사용하여) 천천히 첨가하였다. 단량체의 첨가가 완료된 후, 반응물을 80℃에서 3시간 동안 유지시켰다. 이어서, 나머지 개시제 용액을 천천히 첨가하고, 추가의 1시간 동안 계속 반응시켰다. 반응 말엽에, 시클로헥사논 34.67 g을 반응 플라스크에 첨가하고, 이것을 실온으로 냉각시켜 "중합체 3 졸"을 형성하였다. 분자량을 통상적인 GPC에 의해 측정하였으며, Mn은 9887 g/mol이고, Mw는 43986 g/mol이고, MWD는 4.45이었다.
중합체 B의 합성
반응을 단형 증류 칼럼 및 리시빙 플라스크 및 교반 막대가 장착된 250-ml 3-목 둥근 바닥 플라스크에서 수행하였다. 반응 온도를 오메가(OMEGA) 공학용 온도 기록기 DP470을 사용하여 모니터링하였다. 오일 조를 사용하여 열을 적용하였다. 메틸트리메톡시실란 (17.49 g), 페닐트리메톡시실란 (8.82 g), 비닐트리메톡시실란 (10.96 g) 및 테트라에틸 오르토실리케이트 (51.36 g)를 칭량하고, PGMEA 82 g에서 예비혼합하였다. 아세트산 (3 N, 34.21 g)을 플라스크에 첨가하고, 교반을 시작하였다. 단량체 용액을 시린지 펌프를 사용하여 반응 플라스크에 천천히 첨가하였다. 단량체를 첨가하기 시작한 지 1시간 째에 오일 조 온도를 100℃로 설정하였다. 반응을 3시간 동안 100℃에서 유지시킨 후, 조 온도를 110℃로 증가시키고, 반응 온도가 90℃에 도달할 때까지 유지시키고, 이 때 가열 조를 제거하였으며, 중합체 용액을 냉각시켰다. PGMEA의 제2 분취액을 첨가하여 중합체 용액을 대략 20 중량%의 고체로 조정하였다. 중합체 용액을 145℃ 하에 오븐에서 1시간 동안 가열하여 중합체 용액의 고체 함량을 측정하였다.
코팅 용액의 형성: 조성물
대표적인 절차
중합체 2 졸 (중합체 A)을 PGMEA에서 1 중량%의 고체로 희석하였다. 이후에, 중합체 B 졸 및 중합체 A 졸을 표 1에 따라 혼합하여 상이한 코팅 용액을 형성하였다. 하기는, 달리 명시되지 않는 한, 코팅 용액을 제조하기 위하여 사용된 일반적인 절차였다. 전체 고체에 대하여 1 중량%의 말론산을 코팅 용액에 첨가하고; 전체 고체에 대하여 0.1 중량%의 BTEAC를 코팅 용액에 첨가하고; 마지막으로, 제제를 2 중량%의 총 고체로 균형을 맞추기 위하여 PGMEA를 첨가하였다. 상업용 유기 BARC 제품, AR26N (더 다우 케미칼로부터 이용가능함)을 개질시키지 않고 비교 용액 코팅으로서 사용하였다.
<표 1>
Figure 112012076238676-pat00029
단일 층 코팅 (본 발명의 조성물)의 형성
클린-룸 환경 (약 72℉, 약 50%RH, 클래스 100)에서, 웨이퍼넷 인크.(WaferNet Inc.)로부터의 언프라임드(unprimed) "200 mm" 직경 규소 웨이퍼를 기판으로 사용하였다. Si 웨이퍼 상에, 조성물 (말론산, BTEAC 및 PGMEA를 추가하여 표 1에 기재된 바와 같음)을 손으로 분배시키고, 35 nm의 공칭 필름 두께 (테르마-웨이브(THERMA-WAVE) 분광 타원계 상에서 측정됨)로 도쿄 일렉트론(Tokyo Electron) (TEL) ACT-8 코트 트랙 상에서 스핀 코팅하였다. 코팅을 240℃에서 60초 동안 소프트-베이킹시켜 SiARC 코팅을 형성하였다.
광학 특성 (193 nm에서 n, k) 및 필름 두께의 측정
단일 층 코팅의 광학 특성 및 두께를 울람(WOOLAM) VUV-VASE VU-302 타원계 (울람, NE)를 사용하여 측정하였다. 분극 데이터를 170 nm 내지 900 nm의 파장 범위에 걸쳐 3개의 각도에서 수집하였다. 데이터는 코팅의 두께 및 193 nm에서의 굴절률 (n, k)을 얻기 위하여 자동적으로 생성되었으며, 여기서 n은 복합 굴절률의 실수 부분이고, k는 복합 굴절률의 허수 부분이다. 결과를 표 2에 나타내었다.
수 접촉각의 측정
상기 논의된 바와 같은 단일 층 코팅을 코팅한 지 1시간 이내에 "받은 그대로" 분석하였다. 다타피직스 인스트루먼츠 게엠베하(DATAPHYSICS Instruments GmBH) 모델 OCA20 고니오미터를 모든 접촉각 측정에 대해 사용하였다. 탈이온수를 시험 액으로 사용하였다. 1 마이크로리터의 방울을 각각의 접촉각 측정에 사용하였다. 방울이 단일 층 코팅의 표면 상에 분배된 후, 고니오미터 바늘을 철수시켜 침착된 방울 뒤에 남겨두었다. 방울의 움직임을 고니오미터 카메라를 사용하여 3 프레임/초의 최소 속도로 (각각의 측정에 대해) 최소 10초 동안 기록하였다. 바늘이 영상 영역으로부터 완전히 제거되고, 방울 움직임이 존재하지 않을 때, 제1 방울 영상을 사용하여 접촉각을 측정하였다. 접촉각은 OCA 소프트웨어의 원형 모델을 사용하여 평가되었다. 각각의 방울을 대략 0.5 내지 0.75 cm로 이격시키고, 단계의 선형 이동을 사용하여 단일 층 코팅을 가로질러 최소 3회의 측정을 수행하였다 (단일 층 코팅 당 3개의 방울). 접촉각 측정에 대한 전형적인 표준 편차는 전형적으로 0.2도이지만, 바람직하게는 0.1도 미만이었다. 결과를 표 2에 나타내었다 (CA는 "접촉각"을 지칭함).
내용매성의 측정
하기 절차를 사용하여 내용매성을 평가하였다. 먼저, 단일 층 필름의 초기 필름 두께를 테르마-웨이브 상에서 측정하였다. 이어서, 도쿄 일렉트론 (TEL) ACT-8 코트 트랙을 사용하여 코팅을 적용하였다. PGMEA를 웨이퍼 상에 분배하고, 90초 동안 유지시켰다. 그 후, 웨이퍼를 3000 rpm에서 30초 동안 스핀 건조시키고, 110℃/60초로 베이킹시켰다. 건조된 코팅의 두께를 상기 논의된 바와 같이 테르마-웨이브 상에서 측정하였다. 내용매성을 두께의 변화율%로 특성화하였다.
ArF 네거티브 톤 현상 (NTD) 포토레지스트의 형성
적합한 네거티브 톤 현상 포토레지스트를 하기 혼합물로부터 형성하였다:
프로필렌 글리콜 메틸 에테르 아세테이트 28.95 g,
시클로헥사논 19.30 g,
히드록시부티레이트 메틸 에스테르 48.25 g,
각각 25/25/40/10의 몰비 및 22,000의 중량 분자량을 갖는, (2,2-디메틸-1,3-디옥솔란-4-일)메틸 메타크릴레이트, 5-(2,2-디메틸-1,3-디옥솔란-4-일)-2,2-디메틸테트라히드로푸로[2,3-d][1,3]디옥솔-6-일 메타크릴레이트, 메틸-아다만틸 메타크릴레이트/5-옥소-4-옥사-트리시클로논-2-일옥시카르보닐메틸 메타크릴레이트, 히드록시아다만틸 아크릴레이트의 공중합체 2.89 g,
트리페닐술포늄 1,1,2,2-테트라플루오로-4-((4-(13-메틸-3,7,12-트리옥소헥사데카히드로-1H-시클로펜타[a]페난트렌-17-일)펜타노일)옥시)부탄-1-술포네이트 0.49 g,
10,000의 중량 평균 분자량을 갖는 n-부틸 메타크릴레이트의 중합체 0.07 g,
도데실디에탄올아민 0.05 g 및
폴리폭스(PolyFox) 656 플루오린화 표면 균전제 0.01 g.
이중 층 코팅의 형성
먼저, 조성물 (표 1 참조, 말론산, BTEAC 및 PGMEA를 추가함)의 단일 코팅을 단일 층 코팅의 형성 섹션에 기재된 바와 같이 코팅하였다. ArF PTD 포토레지스트를 스핀 코팅에 의해 단일 층 코팅 상에 적용하고, 코팅된 웨이퍼를 100℃에서 60초 동안 소프트 베이킹시켜 100 nm의 포토레지스트 필름 두께를 얻었다 (테르마-웨이브 분광 타원계 상에서 측정됨).
이중 층 코팅의 접착 평가
이중 층 코팅을 형성한 후, 접착 시험을 수행하였다. 접착 시험은 ASTM D3359를 참고로 테이프 떼기를 사용하였다. 웨이퍼 조각을 먼저 2-헵타논 용액에 10분 동안 침지시키고, 탈이온수로 세정하고, 질소로 블로운 건조시켰다. 스카치 테이프 (쓰리엠(3M), MN) 조각을 ArF 포토레지스트 코팅 상에 확실하게 밀착시켰다. 부착된 부분의 길이는 1 인치이고, 남아있는 연결 자유 부분은 1 인치 이상이었다. 테이프의 자유 부분을 2개의 손가락으로 잡고, 코팅 표면에 대해 약 270°의 각도로 신속하게 뒤로 떼었다. 테이프를 뗀 후, 남아있는 코팅을 육안으로 검사하고, 테이프로 옮겨간 코팅의 영역을 코팅에 부착된 테이프의 표면적의 백분율로서 평가하였다. "0% 박리"는 우수한 접착성을 나타내는 한편, "100% 박리"는 접착 실패를 나타내었다. 결과를 표 2에 나타내었다.
패턴 리소그래피를 위한 코팅은 우수한 내부층 접착성을 갖는 것이 요구된다. 접착성이 약할 경우, 리소그래피 동안 층간박리가 일어날 수 있거나, 또는 접착력이 여러가지 유형의 힘, 예컨대 잔여력, 열 응력, 용매 팽윤 응력 및 모세관력보다 작을 경우 패턴 붕괴가 일어날 수 있다.
삼중 층 코팅의 형성
본 발명의 층을 삼중 층 구조에 사용하여 리소그래피 성능을 시험하였다. 삼중 층의 일반적인 구조는 도 1에 도시되어 있다.
하부층의 형성
유기 폴리(메타크릴레이트)-기재 하부층 코팅 조성물 (AR26N, 더 다우 케미칼 캄파니로부터 이용가능함)을 스핀 코팅에 의해 규소 웨이퍼에 적용하고, 코팅된 웨이퍼를 240℃에서 60초 동안 베이킹시켜 135 nm의 필름 두께를 얻었다.
하부층 상 본 발명의 층 (본 발명의 조성물)의 형성
표 1에 기재된 각각의 본 발명의 코팅 조성물 (말론산, BTEAC 및 PGMEA가 추가됨)을 스핀 코팅에 의해 이전 단계로부터의 하부층-코팅된 웨이퍼에 적용하고, 240℃에서 60초 동안 베이킹시켜 35 nm의 필름 두께 (본 발명의 층의 두께)를 얻었다.
삼중 층의 형성
ArF 포토레지스트 (상기 기재된 바와 같은 NTD)를 스핀 코팅에 의해 이전 단계로부터의 코팅된 웨이퍼에 적용하고, 코팅된 웨이퍼를 100℃에서 60초 동안 소프트 베이킹시켜 100 nm의 포토레지스트 필름 두께를 얻었다.
리소그래피 패턴의 형성
리소그래피 처리
형성된 삼중 층 코팅을 하기 단계에 따라 처리하였다:
1) 노출: 적용된 포토레지스트 층을 ASML 1900i를 사용하여 패턴화 193 nm 방사선에 노출시키고;
2) 후-노출 베이크: 120℃/60초;
3) 현상: 적합한 유기 용매 (예컨대, 다우 케미칼 캄파니로부터의 OSD1000)를 사용하여 잠상을 현상하였다.
삼중 층 코팅의 리소그래피 성능 평가
포토레지스트 성능의 한 측면은 광학 속도이다. 참고용 물질에 대한 광학 속도 변화는, ASML 기기 (모델 PAS5500/1100)를 사용하여 콘트라스트 곡선을 진행시킴으로써 측정되었다. 상기 논의된 바와 같은 각각의 삼중-층 코팅에 방사선 수준 (ArF 레이저, 193 nm)을 증가시켰다. 콘트라스트 곡선은 "1 mJ/㎠"의 투입량에서 시작하고, "0.1 mJ/㎠"의 증분으로 "10.9 mJ/㎠"의 최종 투입량으로 증가시켰다. 코팅된 웨이퍼의 하부의 왼쪽 모서리에서 시작하는 꾸불꾸불한 패턴으로 진행되는 총 100회의 노출이 존재하였다. 노출 후에, 삼중-층을 OSD1000으로 현상하였다. 레지스트의 필름 두께를 테르마-웨이브 옵티프로브(OPTIPROBE)에 의해 측정하였다. 레지스트 필름의 50% 초과가 남아있는 투입량을 E100으로 표시하였다. E100의 하한은 더 빠른 광학 속도에 상응하였다. 이 연구에서, 참고용 반사방지 코팅, 즉 유기 반사방지 코팅, AR 26N (다우 케미칼 캄파니)이 사용되었다. 참고용 물질의 E100을 측정하였다. 상대적인 광학 속도 변화는 다음과 같이 정의되었다: (E100,r-E100,s)/E100,r*100 (여기서, E100,r은 AR26N에 대한 E100이고, E100,s는 본 발명의 코팅의 것임). 본 발명의 반사방지 하드마스크 (본 발명의 코팅)는 참고용 물질과 비교하여 유사한 광학 속도 (또는 더 작은 광학 속도 변화)를 갖는 것이 바람직하다. 결과를 표 2에 나타내었다.
포토레지스트 성능의 또 다른 측면은 패턴 붕괴 마진이다. 매우 작은 선-공간 패턴의 "중단" 또는 붕괴를 방지 또는 감소시키면서, 이러한 작은 선-공간 패턴을 얻는 것이 바람직하다. 포토레지스트에 충분한 접착성을 제공하지 않는 하드마스크 조성물은 도 2의 "하향식 SEM 영상"에 나타난 바와 같이 패턴 붕괴를 초래할 수 있다. 도 3은 정량적인 의미의 "패턴 붕괴 마진"을 예시한다. 이러한 도면에서의 숫자는 "80 nm 피치 패턴"의 트렌치의 폭이다. 패턴 붕괴 마진이 높을수록, 고정 선의 폭은 더 좁아지므로, 형성될 수 있는 최소 치수에 있어서 리소그래피 성능이 더 우수해진다. 결과를 표 2에 나타내었다 (PCM은 "패턴 붕괴 마진"을 지칭함).
상기 논의된 바와 같이, 표 2에는 본 발명 및 비교 실시예의 여러가지 특성이 요약되어 있다. 표 2에 따르면, 6개의 본 발명의 실시예 모두 우수한 접착성 (10% 미만의 제거율)을 가졌다. 비교 실시예에서, 단지 중합체 B만을 포함하는 비교 실시예 1은 50% 초과의 제거율을 가졌으며, 이것은 SiARC와 포토레지스트 사이의 불량한 접착성을 시사한다. 표 2는, 본 발명의 실시예가 비교 실시예보다 더 우수한 리소그래피 성능을 갖는다는 것을 나타내었다. 실시예 1은 비교 실시예 1 및 3보다 높은 패턴 붕괴 마진 (PCM)을 가졌다. 또한, 표 2는, 본 발명의 실시예가 더 우수한 내용매성을 갖는다는 것을 나타내었다. 실시예 2, 5 및 6은 용매에 노출된 후에 낮은 두께 변화를 나타낸 반면, 비교 실시예 2는 14%의 두께 변화 (또는 14%의 팽윤)를 나타내었으며, 이것은 리소그래피 실패를 초래하였다. 또한, 이러한 본 발명의 실시예는 목적하는 광학 속도를 가졌다. 참고용 물질의 20% 이내의 광학 속도를 갖는 것이 바람직하다. 실시예 7은 참고용 물질보다 39% 더 빠른 광학 속도를 가졌으며, 이것은 이러한 샘플의 처리 범위를 좁힐 수 있다. 표 2를 참조한다.
본 발명이 상기 실시예에서 상당히 상세하게 기재되었지만, 이러한 상세한 설명은 예시의 목적을 위한 것이고, 하기 특허청구범위에 기재된 바와 같은 본 발명에 대한 제한으로 해석되어서는 안된다.
<표 2>
Figure 112012076238676-pat00030

Claims (15)

  1. 하기 A 및 B:
    A) 하기 구조 단위 1을 포함하는 중합체:
    <구조 단위 1>
    Figure 112018036852120-pat00031

    (상기 식에서, L은 CX-CYZ이고, 여기서 X, Y 및 Z는 각각 독립적으로 수소, 알킬 또는 치환된 알킬로부터 선택되고;
    M은 알킬렌, 아릴렌, 치환된 알킬렌, 치환된 아릴렌 또는 C(O)O-W-이고, 여기서 W는 알킬렌 또는 치환된 알킬렌이고;
    R', R" 및 R"'은 각각 독립적으로 O, N, S 또는 Si 원자 중 하나 이상을 포함하는 방향족 탄화수소, 지방족 탄화수소 또는 치환된 탄화수소로부터 선택되되, 단 R', R" 및 R"' 중 적어도 하나는 알콕실, 아릴옥실, 히드록실, 할라이드, 카르복실 또는 카르보네이트로부터 선택되고;
    p는 1 내지 10,000의 정수이되;
    단, 중합체는 다면체 올리고머 실세스퀴옥산 (POSS) 구조를 포함하지 않음); 및
    B) a) 하기 화학식 1로부터 선택된 화합물 F1:
    <화학식 1>
    Figure 112018036852120-pat00032

    (상기 식에서, Ra는 1개 이상의 다중 결합을 포함하되, 단 Ra가 1개 초과의 다중 결합을 포함할 경우, 이러한 다중 결합은 공액 배위가 아니고; R1, R2 및 R3은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨);
    b) 하기 화학식 2로부터 선택된 화합물 F2:
    <화학식 2>
    Figure 112018036852120-pat00033

    (상기 식에서, Rb는 H, 또는 알킬, 알킬렌 또는 알킬리덴을 포함하는 포화 기로부터 선택되고; R4, R5 및 R6은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨);
    c) 하기 화학식 3으로부터 선택된 화합물 F3:
    <화학식 3>
    Figure 112018036852120-pat00034

    (상기 식에서, Rc는 1개 초과의 다중 결합을 포함하고, 이러한 다중 결합은 공액 배위이고; R7, R8 및 R9는 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨); 및
    d) 하기 화학식 4로부터 선택된 화합물 F4:
    <화학식 4>
    Figure 112018036852120-pat00035

    (상기 식에서, R10, R11, R12 및 R13은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨)
    를 포함하고, F1/F4의 몰비가 1/20 내지 1/1인 제1 조성물로부터 형성된 중합체
    를 적어도 포함하는 조성물.
  2. 제1항에 있어서, 구조 단위 1에서, X, Y 및 Z가 각각 독립적으로 수소 또는 C1-C10 알킬 기로부터 선택되는 것인 조성물.
  3. 제1항에 있어서, 구조 단위 1에서, M이 C1 내지 C10 알킬렌, C6 내지 C10 아릴렌 또는 C(O)O-W-이고, W가 C1 내지 C10 알킬렌 기인 조성물.
  4. 제1항에 있어서, 구조 단위 1에서, R', R" 및 R"'이 각각 독립적으로 C1 내지 C10 지방족 탄화수소, C6 내지 C10 방향족 탄화수소, OH, OR, OC(O)R 또는 OC(O)OR (여기서, R은 C1 내지 C10 지방족 탄화수소 또는 C6 내지 C10 방향족 탄화수소임)로부터 선택되되, 단 R', R" 및 R"' 중 적어도 하나가 OH, OR, OC(O)R 또는 OC(O)OR인 조성물.
  5. 제1항에 있어서, 구조 단위 1에서, X, Y 및 Z가 각각 독립적으로 수소 또는 C1 내지 C10 알킬 기로부터 선택되고;
    M이 C1 내지 C10 알킬렌, C6 내지 C10 아릴렌 또는 C(O)O-W-이고, W가 C1 내지 C10 알킬렌 기이고;
    R', R", 및 R"'이 각각 독립적으로 C1 내지 C10 지방족 탄화수소, C6 내지 C10 방향족 탄화수소, OH, OR, OC(O)R 또는 OC(O)OR (여기서, R은 C1 내지 C10 지방족 탄화수소 또는 C6 내지 C10 방향족 탄화수소임)로부터 선택되되, 단 R', R" 및 R"' 중 적어도 하나는 OH, OR, OC(O)R 또는 OC(O)OR이고;
    p가 10 내지 1000의 정수인 조성물.
  6. 제1항에 있어서, 구조 단위 1에서, X, Y 및 Z가 각각 독립적으로 수소 또는 메틸 기로부터 선택되고;
    M이 페닐렌 또는 C(O)O-W-이고, W가 C1 내지 C4 알킬렌 기이고;
    R', R" 및 R"'이 각각 독립적으로 OH, OR, OC(O)R 또는 OC(O)OR (여기서, R은 메틸, 에틸, n-프로필, 2-프로필, n-부틸, 이소부틸 또는 2-부틸임)로부터 선택되고;
    p가 10 내지 500의 정수인 조성물.
  7. 제1항에 있어서, 구조 단위 1이 하기 구조를 갖는 것인 조성물.
    Figure 112018036852120-pat00036

    상기 식에서, T는 H 또는 메틸이고; R은 메틸 또는 에틸이고; n은 1 내지 3의 정수이고; p는 10 내지 500의 정수이다.
  8. 제1항에 있어서, 구조 단위 1이 폴리(3-아크릴옥시프로필트리메톡시실란)인 조성물.
  9. 제1항에 있어서, 성분 A의 중합체가 10,000 내지 100,000의 Mw를 갖는 것인 조성물.
  10. 제1항에 있어서, 제1 조성물이 화합물 F1, F2, F3 및 F4의 합한 중량을 기준으로 5 중량% 이상의 Si를 포함하는 것인 조성물.
  11. 제1항에 있어서, 화합물 F2 및 화합물 F4의 몰량의 합이 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 40 mol% 이상인 조성물.
  12. 제1항에 있어서, 화합물 F4가 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 10 mol% 초과의 양으로 존재하는 것인 조성물.
  13. 제1항 내지 제12항 중 어느 한 항의 조성물로부터 형성된 하나 이상의 성분을 포함하는 물품.
  14. 제1항 내지 제12항 중 어느 한 항의 조성물로부터 형성된 하나 이상의 층을 포함하는 필름.
  15. 기판을 제공하는 단계,
    기판 상에 하나 이상의 중합체를 포함하는 하부층을 형성하는 단계,
    하부층 상에 제1항 내지 제12항 중 어느 한 항의 조성물을 적용하는 단계, 및
    조성물을 경화시켜 코팅을 형성하는 단계
    를 적어도 포함하는, 기판 상에 코팅을 형성하는 방법.
KR1020120104390A 2011-09-21 2012-09-20 포토리소그래피용 조성물 및 반사방지 코팅 KR101922731B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161537097P 2011-09-21 2011-09-21
US61/537,097 2011-09-21

Publications (2)

Publication Number Publication Date
KR20130031797A KR20130031797A (ko) 2013-03-29
KR101922731B1 true KR101922731B1 (ko) 2018-11-27

Family

ID=47178468

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120104390A KR101922731B1 (ko) 2011-09-21 2012-09-20 포토리소그래피용 조성물 및 반사방지 코팅

Country Status (6)

Country Link
US (1) US9366964B2 (ko)
EP (1) EP2573619A1 (ko)
JP (1) JP6138440B2 (ko)
KR (1) KR101922731B1 (ko)
CN (1) CN103113827B (ko)
TW (1) TWI564336B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104262630B (zh) * 2014-09-16 2017-06-23 上海应用技术学院 一种低黏度自交联型led封装胶树脂及其制备方法
US10838303B2 (en) 2015-01-30 2020-11-17 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition for lithography containing hydrolyzable silane having carbonate skeleton
US11506979B2 (en) * 2016-12-14 2022-11-22 Rohm And Haas Electronic Materials Llc Method using silicon-containing underlayers
US20180164685A1 (en) * 2016-12-14 2018-06-14 Rohm And Haas Electronic Materials Llc Method using silicon-containing underlayers

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5399601A (en) 1993-06-03 1995-03-21 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Alkoxysilyl group-containing acrylic copolymer with alkoxysilicon compound
JP2001261972A (ja) * 2000-03-16 2001-09-26 Ube Nitto Kasei Co Ltd 有機−無機複合傾斜材料及びその用途

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2525846A1 (fr) 1982-04-22 1983-10-28 Boga Sa Procede et appareil de selection des points de connexion d'un repartiteur telephonique
EP0100891A1 (de) 1982-08-17 1984-02-22 Contraves Ag Verfahren und Vorrichtung zur Korrektur von Koinzidenzfehlern beim Zählen von Teilchen zweier Sorten
JPH04214385A (ja) 1990-12-10 1992-08-05 Max Co Ltd インスタントレタリングシートの製造方法
JPH0726099A (ja) * 1992-02-21 1995-01-27 Daihachi Chem Ind Co Ltd 車両ホイール用被覆組成物
DE69322946T2 (de) 1992-11-03 1999-08-12 Ibm Photolackzusammensetzung
JPH0797499A (ja) * 1993-09-28 1995-04-11 Hoya Corp レンズ用無機・有機ハイブリッド材料
JP3502495B2 (ja) * 1996-01-11 2004-03-02 株式会社日本触媒 有機質−無機質複合体粒子、その製造法および用途
DE19515540A1 (de) 1995-04-27 1996-10-31 Wacker Chemie Gmbh Stabilisierung von reaktiven Organopolysiloxanharzen
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US5861231A (en) 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US6090526A (en) 1996-09-13 2000-07-18 Shipley Company, L.L.C. Polymers and photoresist compositions
KR100220951B1 (ko) 1996-12-20 1999-09-15 김영환 비닐 4-테트라히드로피라닐옥시벤잘-비닐 4-히드록시벤잘-비닐 테트라히드로피라닐에테르-비닐 아세테이트 공중합체, 비닐 4-테트라히드로피라닐옥시벤잘-비닐 테트라히드로피라닐에테르-비닐 아세테이트 공중합체 및 그들의 제조방법
JPH10273623A (ja) * 1997-03-28 1998-10-13 Jsr Corp コーティング用組成物および硬化体
US6057083A (en) 1997-11-04 2000-05-02 Shipley Company, L.L.C. Polymers and photoresist compositions
US6048662A (en) 1998-12-15 2000-04-11 Bruhnke; John D. Antireflective coatings comprising poly(oxyalkylene) colorants
JP3080088B2 (ja) * 1999-02-01 2000-08-21 ミノルタ株式会社 電子写真感光体
US6048664A (en) 1999-03-12 2000-04-11 Lucent Technologies, Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US7976389B2 (en) 2000-09-29 2011-07-12 Igt Method and apparatus for gaming machines with a tournament play bonus feature
EP1478682A4 (en) 2001-11-15 2005-06-15 Honeywell Int Inc ANTIREFLECTIVE LAYERS FOR PHOTOLITHOGRAPHY AND METHODS OF PREPARATION THEREOF
KR20040066124A (ko) * 2001-11-15 2004-07-23 허니웰 인터내셔널 인코포레이티드 포토리소그라피용 스핀온 반사 방지 피막
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
JP4214385B2 (ja) 2003-04-02 2009-01-28 日産化学工業株式会社 シリコン原子を側鎖に有するポリマーを含む反射防止膜形成組成物
JP2004354547A (ja) 2003-05-28 2004-12-16 Kri Inc 光学素子および光学素子形成用の有機無機複合材料
US7303785B2 (en) 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
WO2005002528A2 (en) 2003-06-30 2005-01-13 Greystone Medical Group, Inc. Method for treating diabetes
US7767747B2 (en) 2003-11-26 2010-08-03 Dow Corning Corporation Silicone polymer and organic polymer containing alloy and/or hybrid emulsion compositions
JP4367636B2 (ja) 2004-06-10 2009-11-18 信越化学工業株式会社 犠牲膜形成用組成物、パターン形成方法、犠牲膜及びその除去方法
JP4491283B2 (ja) 2004-06-10 2010-06-30 信越化学工業株式会社 反射防止膜形成用組成物を用いたパターン形成方法
JP4379596B2 (ja) 2004-06-10 2009-12-09 信越化学工業株式会社 犠牲膜形成用組成物、パターン形成方法、犠牲膜及びその除去方法
JP4553113B2 (ja) 2004-06-10 2010-09-29 信越化学工業株式会社 多孔質膜形成用組成物、パターン形成方法、及び多孔質犠性膜
JP2006242974A (ja) 2005-02-28 2006-09-14 Sony Corp 反射防止膜及び露光方法
JP4563927B2 (ja) 2005-12-02 2010-10-20 信越化学工業株式会社 基板及びその製造方法、並びにそれを用いたパターン形成方法
DE602007000498D1 (de) 2006-04-11 2009-03-12 Shinetsu Chemical Co Siliziumhaltige, folienbildende Zusammensetzung, siliziumhaltige Folie, siliziumhaltiges, folientragendes Substrat und Strukturierungsverfahren
JP5112733B2 (ja) 2006-04-11 2013-01-09 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. フォトリソグラフィ用コーティング組成物
US7855043B2 (en) 2006-06-16 2010-12-21 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
US20070298349A1 (en) 2006-06-22 2007-12-27 Ruzhi Zhang Antireflective Coating Compositions Comprising Siloxane Polymer
US7875417B2 (en) 2007-07-04 2011-01-25 Shin-Etsu Chemical Co., Ltd. Silicone-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
KR20100082844A (ko) 2007-10-01 2010-07-20 닛산 가가쿠 고교 가부시키 가이샤 레지스트 하층막 형성 조성물, 이를 사용한 반도체장치의 제조방법 및 레지스트 하층막 형성 조성물용 첨가제
EP2071400A1 (en) 2007-11-12 2009-06-17 Rohm and Haas Electronic Materials LLC Coating compositions for use with an overcoated photoresist
CN101910255B (zh) 2008-01-08 2013-07-10 道康宁东丽株式会社 倍半硅氧烷树脂
ES2324806B1 (es) 2008-01-29 2010-05-31 Consejo Superior De Investigaciones Cientificas Copolimeros sililados con grupos silsesquioxano, su preparacion y utilizacion como matrices laser de alta fotoestabilidad.
JP5015891B2 (ja) 2008-10-02 2012-08-29 信越化学工業株式会社 金属酸化物含有膜形成用組成物、金属酸化物含有膜形成基板及びパターン形成方法
JP5038354B2 (ja) 2009-05-11 2012-10-03 信越化学工業株式会社 ケイ素含有反射防止膜形成用組成物、ケイ素含有反射防止膜形成基板及びパターン形成方法
WO2011087767A1 (en) 2009-12-22 2011-07-21 Dow Corning Corporation Silicone resin-organic emulsions
JP5165156B2 (ja) * 2011-03-18 2013-03-21 関西ペイント株式会社 耐候性塗料組成物、及び耐候性塗膜を有する塗装物品の形成方法
JP5453361B2 (ja) 2011-08-17 2014-03-26 信越化学工業株式会社 ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法
US9011591B2 (en) 2011-09-21 2015-04-21 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
US9068086B2 (en) 2011-12-21 2015-06-30 Dow Global Technologies Llc Compositions for antireflective coatings

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5399601A (en) 1993-06-03 1995-03-21 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Alkoxysilyl group-containing acrylic copolymer with alkoxysilicon compound
JP2001261972A (ja) * 2000-03-16 2001-09-26 Ube Nitto Kasei Co Ltd 有機−無機複合傾斜材料及びその用途

Also Published As

Publication number Publication date
US20130071561A1 (en) 2013-03-21
EP2573619A1 (en) 2013-03-27
JP6138440B2 (ja) 2017-05-31
TW201317291A (zh) 2013-05-01
CN103113827B (zh) 2017-03-01
CN103113827A (zh) 2013-05-22
JP2013067799A (ja) 2013-04-18
KR20130031797A (ko) 2013-03-29
US9366964B2 (en) 2016-06-14
TWI564336B (zh) 2017-01-01

Similar Documents

Publication Publication Date Title
KR102066468B1 (ko) 포토리소그래피용 조성물 및 반사방지 코팅
JP5021984B2 (ja) 反射防止ハードマスク組成物
JP6012600B2 (ja) フォトレジストパターン上にコーティングするための組成物
US9068086B2 (en) Compositions for antireflective coatings
JP5247936B2 (ja) 反転パターン形成方法及び材料
KR101979612B1 (ko) 염기-반응성 성분을 포함하는 조성물 및 포토리소그래피 공정
CN107966879A (zh) 含有添加剂的含硅极紫外抗蚀剂下层膜形成用组合物
TW201224042A (en) Sulfonamide-containing topcoat and photoresist additive compositions and methods of use
KR101922731B1 (ko) 포토리소그래피용 조성물 및 반사방지 코팅
JP5941559B2 (ja) 低誘電性光画像形成性組成物及びそれから製造した電子デバイス
KR101957746B1 (ko) 디바이스 제조에 사용하기 위한 광-패턴화가능하고 현상가능한 실세스퀴옥산 수지
JP2018066011A (ja) 反射防止被膜用の組成物
TW201602722A (zh) 光敏聚合物樹脂,含光敏聚合物樹脂之光敏樹脂組合物,以及由其製成之層

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant