KR102066468B1 - 포토리소그래피용 조성물 및 반사방지 코팅 - Google Patents

포토리소그래피용 조성물 및 반사방지 코팅 Download PDF

Info

Publication number
KR102066468B1
KR102066468B1 KR1020120104391A KR20120104391A KR102066468B1 KR 102066468 B1 KR102066468 B1 KR 102066468B1 KR 1020120104391 A KR1020120104391 A KR 1020120104391A KR 20120104391 A KR20120104391 A KR 20120104391A KR 102066468 B1 KR102066468 B1 KR 102066468B1
Authority
KR
South Korea
Prior art keywords
composition
compound
alkyl
mol
formula
Prior art date
Application number
KR1020120104391A
Other languages
English (en)
Other versions
KR20130031798A (ko
Inventor
유안퀴아오 라오
로버트 엘 오거
존 디. 위버
폴 제이 포파
록산느 엠 젠킨스
크리스토퍼 피 설리반
제시카 피 에반스
세실리아 더블유 키애리
야스민 엔 스리바스타바
주니어 제프리 엘 펜톤
Original Assignee
다우 글로벌 테크놀로지스 엘엘씨
롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 다우 글로벌 테크놀로지스 엘엘씨, 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 filed Critical 다우 글로벌 테크놀로지스 엘엘씨
Publication of KR20130031798A publication Critical patent/KR20130031798A/ko
Application granted granted Critical
Publication of KR102066468B1 publication Critical patent/KR102066468B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/002Processes for applying liquids or other fluent materials the substrate being rotated
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • G02B1/111Anti-reflection coatings using layers comprising organic materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Metallurgy (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Silicon Polymers (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Surface Treatment Of Optical Elements (AREA)
  • Paints Or Removers (AREA)

Abstract

본 발명은
A) 하기 화학식 1로부터 선택된 화합물 F1:
<화학식 1>
Figure 112012076241085-pat00036

(상기 식에서, Ra는 1개 이상의 다중 결합을 포함하되, 단 Ra가 1개 초과의 다중 결합을 포함할 경우, 이러한 다중 결합은 공액 배위가 아니고; R1, R2 및 R3은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨); 및
B) 하기 화학식 2로부터 선택된 화합물 F2:
<화학식 2>
Figure 112012076241085-pat00037

(상기 식에서, Rb는 H, 또는 알킬, 알킬렌 또는 알킬리덴을 포함하는 포화 기로부터 선택되고; R4, R5 및 R6은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨); 및
C) 화학식 3으로부터 선택된 화합물 F3:
<화학식 3>
Figure 112012076241085-pat00038

(상기 식에서, Rc는 1개 초과의 다중 결합을 포함하고, 이러한 다중 결합은 공액 배위이고; R7, R8 및 R9는 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨); 및
D) 화학식 4로부터 선택된 화합물 F4:
<화학식 4>
Figure 112012076241085-pat00039

(상기 식에서, R10, R11, R12 및 R13은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨)
를 적어도 포함하는 제1 조성물을 제공한다.

Description

포토리소그래피용 조성물 및 반사방지 코팅 {COMPOSITIONS AND ANTIREFLECTIVE COATINGS FOR PHOTOLITHOGRAPHY}
본 출원은 본원에 참고로 포함되는 2011년 9월 21일에 출원된 미국 가출원 번호 61/537,098의 이점을 청구한다.
본 발명은 조성물, 및 특히 마이크로전자 용품에 사용하기 위한 하부 반사방지 코팅 조성물 (또는 "BARC")에 관한 것이다. 마이크로전자 산업에서, 보다 소형이고 보다 명확한 리소그래피 패턴을 갖는 마이크로칩에 대한 끊임없는 요구가 존재한다. 오늘날 이러한 개발이 직면한 문제는, 포토레지스트 층과 기판의 계면에서의 반사로 인한 현상된 포토레지스트 프로파일의 열화, 및 더 짧은 노출 파장을 수용하고, 충분한 에칭 저항을 갖는 얇은 레지스트 층에 대한 필요를 포함한다. 반사방지 코팅을 사용하여 상기 문제를 처리할 수 있다. 반사방지 코팅을 제조하는 한 방법은 화학 증착 (CVD)을 통한 것이며, 이것은 비용이 많이 드는 방법이다. 리소그래피 처리를 단순화하고, 반사방지 층의 고 비용 진공 코팅을 방지하는 것에 대한 요구가 존재한다. 따라서, 레지스트와 관련하여 높은 에칭 선택성을 갖고, 스핀 코팅 방법에 의해 형성될 수 있는 반사방지 코팅용 조성물에 대한 요구가 존재한다.
미국 공보 번호 2009/0148789에는, Si 원자(들)로부터 이격되어 있는 발색단 모이어티, 예컨대 페닐을 함유하는 규소-함유 유기 코팅 조성물, 특히 반사방지 코팅 조성물이 개시되어 있다. 또한, 이 공보에는, 용매 성분 중 하나 이상의 용매가 히드록시 기를 포함하는, 액체 (유기 용매) 조성물로서 제제화된 규소-함유 하부층 조성물이 개시되어 있다.
미국 공보 번호 2007/0185298에는 전자 장치의 제조에서 하나 이상의 층을 형성하기 위하여 사용되는 경화성 오르가노실리케이트 조성물이 개시되어 있다. 이러한 조성물은 (a) 규소 원자에 결합된 에틸렌 불포화를 함유하는 하나 이상의 기를 갖는 알콕시 또는 아실옥시 실란; (b) 규소 원자에 결합된 방향족 고리를 함유하는 하나 이상의 기를 갖는 알콕시 또는 아실옥시 실란; (c) 잠재성 산 촉매; 및 (d) 임의로, 규소 원자에 결합된 하나 이상의 C1-C6 알킬 기를 갖는 알콕시 또는 아실옥시 실란을 포함한다.
미국 특허 5,621,034에는 (A) 규소 원자에 결합된 히드록실 및/또는 알콕시 기를 갖는 오르가노폴리실록산 수지; 및 (B) (B1) 2개 이상의 카르복실 기를 갖는 지방족 폴리카르복실산 및 (B2) 2개 이상의 카르복실 기를 갖는 지방족 폴리카르복실산의 카르복실산 무수물 중에서 선택된 안정화제를 포함하는 저장-안정성 조성물이 개시되어 있다.
국제 공보 번호 WO2009/088600에는 반사방지 코팅에 유용한 실세스퀴옥산 수지가 개시되어 있으며, 여기서 실세스퀴옥산 수지는 화학식 (PhSiO(3-x)/2(OR')x)m(HSiO(3-x)/2(OR')x)n(MeSiO(3-x)/2(OR')x)o(RSiO(3-x)/2(OR')X)p(R2SiO(3- x)/2OR')x)q를 갖는다. 이러한 화학식에서, Ph는 페닐 기이고; Me는 메틸 기이고; R은 황-함유 유기 관능기로부터 선택되고; R'은 수소 원자 또는 1 내지 4개의 탄소 원자를 갖는 탄화수소 기이고; R2는 에스테르 기, 폴리에테르 기 및 폴리에틸렌 옥시드 기로부터 선택되고; x는 0, 1 또는 2의 값을 갖고; m은 0.01 내지 0.97의 값을 갖고; n은 0.01 내지 0.97의 값을 갖고; o는 0.01 내지 0.97의 값을 갖고; p는 0.01 내지 0.97의 값을 갖고; q는 0 내지 0.96의 값을 갖고; m + n + o + p + q는 약 1이다.
미국 특허 7,417,104에는 (A) 화학식 1: R1 n-Si-R2 4-n을 갖는 가수분해성 실란의 가수분해 축합에 의해 얻어진 중합체를 포함하는 다공성 필름-형성 조성물이 개시되어 있다. 이러한 화학식에서, R1은 1가 유기 기 또는 수소이고, R2는 가수분해성 기 또는 히드록실 기 (n은 0 내지 3의 정수임), 그의 가수분해물 또는 그의 부분 축합물이되, 단 하나 이상의 규소 화합물은 R1로서 유기 가교성 기를 갖는다.
미국 공보 번호 2010/0086872에는 리소그래피에 사용된 다중층 레지스트 공정에서 형성된, 금속 산화물-함유 필름 형성용 "열경화성 금속 산화물-함유 필름-형성 조성물"이 개시되어 있다. "열경화성 금속 산화물-함유 필름-형성 조성물"은 (A) 가수분해성 규소 화합물 및 가수분해성 금속 화합물의 가수분해 축합에 의해 얻어진 금속 산화물-함유 화합물; (B) 열 가교 촉진제; (C) 1 내지 30개의 탄소 원자를 갖는 1가, 2가 또는 그 이상의 유기 산; (D) 3가 이상의 알콜; 및 (E) 유기 용매를 적어도 포함한다.
미국 특허 6,268,457에는 스핀-온-글라스 물질로 혼입된 하나 이상의 유기 염료를 포함하는 깊은 자외선 포토리소그래피용 반사방지 코팅 물질이 개시되어 있다. 적합한 염료는 파장 범위에 걸쳐 포토리소그래피에 사용될 수 있는 대략 260 nm 미만, 예컨대 248 nm 및 193 nm의 파장을 강하게 흡수한다. 염색된 스핀-온-글라스 물질의 제조 방법은 스핀-온-글라스 물질의 합성 동안 하나 이상의 유기 염료를 알콕시실란 반응물과 조합하는 것을 포함한다.
미국 공보 번호 2005/0277058에는 유기 용매, 가교제, 및 1가지 초과의 유형의 규소 화합물을 가수분해 및 축합시켜 얻어진 광 흡수성 기, 가교성 기 및 비-가교성 기를 포함하는 중합체를 포함하는 반사방지 필름-형성 조성물이 개시되어 있다.
미국 공보 2010/0210765에는 레지스트 하부층 필름 형성용 조성물이 개시되어 있다. 레지스트 하부층 필름-형성 조성물은 주쇄에 규소 원자를 갖는 중합체; 폴리시클릭 구조의 화합물; 및 유기 용매를 포함한다. 폴리시클릭 구조의 화합물은 치환기로서 2개 이상의 카르복실 기를 갖고; 2개의 카르복실 기는 폴리시클릭 구조를 형성하는 서로 인접한 2개의 탄소 원자에 개별적으로 결합되어 있고; 2개의 카르복실 기를 모두 엔도 배위 또는 엑소 배위를 갖거나, 또는 시스 배위를 갖는다. 주쇄에 규소 원자를 갖는 중합체는 알콕시실란의 혼합물로부터 형성될 수 있다.
반사방지 필름 및/또는 다른 전자 용품을 위한 추가의 조성물이 다음의 문헌: 미국 특허 7303785, 7736837, 5100503; 미국 공보 번호 2005/0031964 및 2009/0148789에 개시되어 있다.
그러나, 당업계의 통상적인 규소-함유 BARC 조성물은, 작은 임계 치수 패터닝 (< 100 nm)을 위한 알맞은 광학 특성 및 리소그래피 성능에 미치지 못한다. 또한, 몇가지 통상적인 조성물은 값비싼 및/또는 불안정한 성분을 함유한다. 예를 들어, 몇가지 조성물은 "Si-H 함유" 화합물을 함유하는데, 이는 전형적으로 자유 라디칼 및 히드록실-함유 화합물, 예컨대 알콜 및 물과 반응성이다. 몇가지 조성물은 유기 염료를 함유하는데, 이는 이러한 조성물의 제조와 관련된 비용을 증가시킨다. 몇가지 조성물은 값비싼 POSS (다면체 올리고머 실세스퀴옥산 ((RSiO1.5)8)을 함유한다. 몇가지 조성물은 스컴과 같은 패터닝 결함을 초래할 수 있는 에폭시 실세스퀴옥산을 함유한다.
따라서, 보다 소형이고 보다 명확한 리소그래피 패턴을 형성하기 위하여 사용될 수 있는, 반사방지 층 조성물로서 사용하기 위한 조성물에 대한 요구가 여전히 남아있다. 또한, 레지스트 층의 에칭과 관련하여 높은 에칭 선택성을 갖는 조성물에 대한 요구가 존재한다. 또한, 스핀 코팅 방법을 사용하여 반사방지 층으로 형성될 수 있는 비용-효율적 조성물에 대한 요구가 존재한다. 이러한 요구 및 다른 요구가 하기 본 발명에 의해 충족되었다.
본 발명은
A) 하기 화학식 1로부터 선택된 화합물 F1:
<화학식 1>
Figure 112012076241085-pat00001
(상기 식에서, Ra는 C=C, C≡C, C=O, C=N 및 C≡N을 포함하는 1개 이상의 다중 결합 (즉, 이중 결합 또는 삼중 결합)을 포함하되, 단 Ra가 1개 초과의 다중 결합을 포함할 경우, 이러한 다중 결합은 공액 배위가 아니고; R1, R2 및 R3은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨); 및
B) 하기 화학식 2로부터 선택된 화합물 F2:
<화학식 2>
Figure 112012076241085-pat00002
(상기 식에서, Rb는 H, 또는 알킬, 알킬렌 또는 알킬리덴을 포함하는 포화 기로부터 선택되고; R4, R5 및 R6은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨); 및
C) 하기 화학식 3으로부터 선택된 화합물 F3:
<화학식 3>
Figure 112012076241085-pat00003
(상기 식에서, Rc는 C=C, C≡C, C=O, C=N 및 C≡N을 포함하는 1개 초과의 다중 결합을 포함하고, 이러한 다중 결합은 공액 배위이고; R7, R8 및 R9는 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨); 및
D) 하기 화학식 4로부터 선택된 화합물 F4:
<화학식 4>
Figure 112012076241085-pat00004
(상기 식에서, R10, R11, R12 및 R13은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨)
를 적어도 포함하는 제1 조성물을 제공한다.
본 발명에 따르면, 리소그래피 공정 후에, 스컴이 없고, 높은 패턴 붕괴 마진(Pattern Collapse Margin)을 갖는 포토레지스트 패턴이 얻어진다.
도 1은 규소 웨이퍼 상 삼중-층 필름 구조의 개략도를 도시한다.
도 2는 (a) 완벽한 사각형 패턴; 및 (b) 스컴을 갖는 결합이 있는 패턴을 예시하는, 리소그래피 공정 후 생성된 선 패턴의 단면도의 도면이다.
도 3은 완전한 패턴 붕괴를 예시하는, 리소그래피 공정 후 웨이퍼 표면의 "하향식" SEM 영상을 도시한다.
도 4는 패턴 붕괴 마진을 예시하는, 리소그래피 공정 후 웨이퍼 표면의 "하향식" SEM 영상이다.
도 5는 스컴을 갖지 않는 리소그래피 패턴의 SEM 영상 (실시예 16, 42 nm 트렌치/84 nm 피치)이다.
도 6은 스컴을 갖는 리소그래피 패턴의 SEM 영상 (비교 실시예 G, 42 nm 트렌치/84 nm 피치)이다.
상기 논의된 바와 같이, 본 발명은
A) 하기 화학식 1로부터 선택된 화합물 F1:
<화학식 1>
Figure 112012076241085-pat00005
(상기 식에서, Ra는 C=C, C≡C, C=O, C=N 및 C≡N을 포함하는 1개 이상의 다중 결합을 포함하되, 단 Ra가 1개 초과의 다중 결합을 포함할 경우, 이러한 다중 결합은 공액 배위가 아니고; R1, R2 및 R3은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨); 및
B) 하기 화학식 2로부터 선택된 화합물 F2:
<화학식 2>
Figure 112012076241085-pat00006
(상기 식에서, Rb는 H, 또는 알킬, 알킬렌 또는 알킬리덴을 포함하는 포화 기로부터 선택되고; R4, R5 및 R6은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨); 및
C) 하기 화학식 3으로부터 선택된 화합물 F3:
<화학식 3>
Figure 112012076241085-pat00007
(상기 식에서, Rc는 C=C, C≡C, C=O, C=N 및 C≡N을 포함하는 1개 초과의 다중 결합을 포함하고, 이러한 다중 결합은 공액 배위이고; R7, R8 및 R9는 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨); 및
D) 하기 화학식 4로부터 선택된 화합물 F4:
<화학식 4>
Figure 112012076241085-pat00008
(상기 식에서, R10, R11, R12 및 R13은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨)
를 적어도 포함하는 제1 조성물을 제공한다.
한 실시양태에서, 제1 조성물은 화합물 F1, F2, F3 및 F4의 합한 중량을 기준으로 5 중량% 이상의 Si, 또는 10 중량% 이상의 Si, 또는 15 중량% 이상의 Si를 포함한다.
한 실시양태에서, 화합물 F2 및 화합물 F4의 몰량의 합은 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 40 mol% 이상이다.
한 실시양태에서, 화합물 F2 및 화합물 F4의 몰량의 합은 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 85 mol% 이하, 또는 80 mol% 이하이다.
한 실시양태에서, 화합물 F4는 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 10 mol% 초과의 양으로 존재한다.
한 실시양태에서, F1/F4의 몰비는 1/20 내지 1/1, 또는 1/15 내지 1/1, 또는 1/10 내지 1/1이다.
한 실시양태에서, 제1 조성물의 경우, F1은 5 내지 50 중량%, 또는 10 내지 30 중량% 범위이고; F2는 5 내지 50 중량%, 또는 10 내지 40 중량% 범위이고; F3은 2 내지 20 중량%, 또는 2 내지 10 중량% 범위이고; F4는 20 내지 80 중량%, 또는 30 내지 80 중량% 범위이다. 각각의 중량 백분율은 제1 조성물의 중량을 기준으로 한다.
한 실시양태에서, 제1 조성물의 경우, 화합물 F1은 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 10 내지 90 mol%, 또한 15 내지 90 mol%, 또한 20 내지 90 mol%, 및 또한 25 내지 90 mol%의 양으로 존재한다.
한 실시양태에서, 제1 조성물의 경우, 화합물 F1은 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 10 mol% 초과, 또한 12 mol% 초과의 양으로 존재한다.
한 실시양태에서, 제1 조성물의 경우, 화합물 F4는 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 10 내지 65 mol%, 또한 10 내지 60 mol%, 또한 10 내지 55 mol%, 및 또한 10 내지 50 mol%의 양으로 존재한다.
한 실시양태에서, 제1 조성물의 경우, 화합물 F4는 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 65 mol% 미만, 또한 60 mol% 미만의 양으로 존재한다.
제1 조성물은 본원에 기재된 바와 같은 2개 이상의 실시양태의 조합을 포함할 수 있다.
또한, 본 발명은 제1 조성물로부터 형성된 예비중합체를 제공한다. 추가 실시양태에서, 예비중합체는 적어도 제1 조성물을 가수분해시켜 가수분해된 생성물을 형성하고, 가수분해된 생성물을 축합시키는 것에 의해 형성된다.
한 실시양태에서, 예비중합체는 통상적인 GPC에 의해 측정시 1,000 내지 20,000 g/mol, 또는 1,000 내지 10,000 g/mol, 또는 1,000 내지 5,000 g/mol의 Mw를 갖는다.
한 실시양태에서, 예비중합체는 1.1 내지 6, 또는 1.2 내지 5, 또는 1.5 내지 4의 Mw/Mn을 갖는다.
본 발명의 예비중합체는 본원에 기재된 바와 같은 2개 이상의 실시양태의 조합을 포함할 수 있다.
또한, 본 발명은 본 발명의 예비중합체, 및 아민-함유 화합물, 할라이드-함유 화합물, 히드로클로라이드, 암모늄-함유 화합물 또는 이들의 혼합물 중 적어도 하나를 포함하는 제2 조성물을 제공한다.
제2 조성물은 본원에 기재된 바와 같은 2개 이상의 실시양태의 조합을 포함할 수 있다.
또한, 본 발명은 제2 조성물로부터 형성된 가교 조성물을 제공한다.
또한, 본 발명은 본 발명의 조성물로부터 형성된 하나 이상의 성분을 포함하는 물품을 제공한다.
또한, 본 발명은 제1 조성물로부터 형성된 하나 이상의 성분을 포함하는 물품을 제공한다.
또한, 본 발명은 제2 조성물로부터 형성된 하나 이상의 성분을 포함하는 물품을 제공한다.
또한, 본 발명은 본 발명의 예비중합체로부터 형성된 하나 이상의 성분을 포함하는 물품을 제공한다.
한 실시양태에서, 물품은 필름이다.
또한, 본 발명은 본 발명의 조성물로부터 형성된 하나 이상의 층을 포함하는 필름을 제공한다. 추가 실시양태에서, 필름은 2개 이상의 층을 포함한다. 추가 실시양태에서, 제2 층은 하나 이상의 중합체를 포함하는 제3 조성물로부터 형성된다.
또한, 본 발명은 2개 이상의 층을 포함하며, 여기서 1개 이상의 층은 본 발명의 조성물, 예컨대 제1 조성물 또는 제2 조성물로부터 형성된 반사방지 층인 필름을 제공한다. 추가 실시양태에서, 다른 층은 포토레지스트 층이다.
또한, 본 발명은 2개 이상의 층을 포함하며, 여기서 1개 이상의 층은 제1 조성물로부터 형성된 반사방지 층인 필름을 제공한다. 추가 실시양태에서, 다른 층은 포토레지스트 층이다.
또한, 본 발명은 2개 이상의 층을 포함하며, 여기서 1개 이상의 층은 제2 조성물로부터 형성된 반사방지 층인 필름을 제공한다. 추가 실시양태에서, 다른 층은 포토레지스트 층이다.
본 발명의 물품은 본원에 기재된 바와 같은 2개 이상의 실시양태의 조합을 포함할 수 있다.
본 발명의 필름은 본원에 기재된 바와 같은 2개 이상의 실시양태의 조합을 포함할 수 있다.
또한, 본 발명은
기판을 제공하는 단계;
기판 상에 하나 이상의 중합체를 포함하는 하부층을 형성하는 단계;
하부층 상에 본 발명의 제1 조성물 또는 본 발명의 제2 조성물을 적용하는 단계; 및
제1 조성물 또는 제2 조성물을 경화시켜 코팅을 형성하는 단계
를 적어도 포함하는, 기판 상에 코팅을 형성하는 방법을 제공한다.
추가 실시양태에서, 방법은 코팅 상에 하나 이상의 포토레지스트 중합체를 포함하는 하나 이상의 조성물을 적용하는 단계를 포함한다.
한 실시양태에서, 제1 조성물 또는 제2 조성물의 다중층이 하부층 상에 적용된다.
한 실시양태에서, 코팅은 반사방지 층이다.
또한, 본 발명은
기판을 제공하는 단계;
기판의 적어도 일부분 또는 상기 기판 상에 적용된 하나 이상의 중간 층 상에 본 발명의 제1 조성물 또는 본 발명의 제2 조성물을 적용하는 단계; 및
제1 조성물 또는 제2 조성물을 경화시켜 코팅을 형성하는 단계
를 적어도 포함하는, 기판 상에 코팅을 형성하는 방법을 제공한다.
추가 실시양태에서, 방법은 코팅 상에 하나 이상의 포토레지스트 중합체를 포함하는 하나 이상의 조성물을 적용하는 단계를 포함한다.
한 실시양태에서, 제1 조성물 또는 제2 조성물의 다중층을 기판의 적어도 일부분 또는 상기 기판 상에 적용된 하나 이상의 중간 층 상에 적용한다.
한 실시양태에서, 코팅은 반사방지 층이다.
본 발명의 방법은 본원에 기재된 바와 같은 2개 이상의 실시양태의 조합을 포함할 수 있다.
화합물 F1 내지 F4
화합물 F1, F2, F3 및 F4가 하기에 기재된다.
A) 하기 화학식 1로부터 선택된 화합물 F1:
<화학식 1>
Figure 112012076241085-pat00009
상기 식에서, Ra는 C=C, C≡C, C=O, C=N 및 C≡N을 포함하는 1개 이상의 다중 결합을 포함하되, 단 Ra가 1개 초과의 다중 결합을 포함할 경우, 이러한 다중 결합은 공액 배위가 아니고; R1, R2 및 R3은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 알킬이다.
한 실시양태에서, Ra는 알케닐 기, 알키닐 기, 이미드, 니트릴, 케톤, 에스테르, 아미드 또는 카르보네이트 중 하나 이상을 포함하며, 그것은 2 내지 10개의 탄소 원자를 포함하고; R1, R2 및 R3은 각각 독립적으로 OH, OR 또는 OC(O)R (여기서, R은 C1-C10 알킬 또는 C1-C10 치환된 알킬임)로부터 선택된다.
한 실시양태에서, Ra는 알케닐 기, 알키닐 기, 이미드, 니트릴, 케톤, 에스테르, 아미드 또는 카르보네이트 중 하나 이상을 포함하며, 그것은 2 내지 10개의 탄소 원자를 포함하고; R1, R2 및 R3은 각각 독립적으로 OH, OR 또는 OC(O)R (여기서, R은 C1-C10 알킬임)로부터 선택된다.
한 실시양태에서, Ra는 비닐, 알릴, 프로페닐, 부테닐, 아세톡실, 시아노에틸, 아세토에틸 또는 아세트아미도프로필로부터 선택되고; R1, R2 및 R3은 각각 OR (여기서, 각각의 R은 독립적으로 메틸, 에틸, n-프로필, 2-프로필, n-부틸, 이소부틸 또는 2-부틸로부터 선택됨)이다.
한 실시양태에서, 화합물 F1은 비닐트리메톡시실란 또는 비닐트리에톡시실란으로부터 선택된다.
Figure 112012076241085-pat00010
B) 하기 화학식 2로부터 선택된 화합물 F2:
<화학식 2>
Figure 112012076241085-pat00011
상기 식에서, Rb는 H, 또는 알킬, 알킬렌 또는 알킬리덴을 포함하는 포화 기로부터 선택되고; R4, R5 및 R6은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 알킬이다.
한 실시양태에서, Rb는 알킬, 알킬렌 또는 알킬리덴을 포함하는 포화 기로부터 선택되고; R4, R5 및 R6은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 알킬이다.
한 실시양태에서, Rb는 치환 또는 비치환된 C1-C10 시클릭 알킬, 치환 또는 비치환된 C1-C10 비-시클릭 알킬, 치환 또는 비치환된 C1-C10 시클릭 알킬렌, 치환 또는 비치환된 C1-C10 비-시클릭 알킬렌, 치환 또는 비치환된 C1-C10 시클릭 알킬리덴, 치환 또는 비치환된 C1-C10 비-시클릭 알킬리덴을 포함하는 포화 기, 또는 H; 또는 비치환 C1-C10 시클릭 알킬, 비치환 C1-C10 비-시클릭 알킬, 비치환 C1-C10 시클릭 알킬렌, 비치환 C1-C10 비-시클릭 알킬렌, 비치환 C1-C10 시클릭 알킬리덴, 비치환 C1-C10 비-시클릭 알킬리덴, 또는 H; 또는 비치환 C1-C10 시클릭 알킬, 비치환 C1-C10 비-시클릭 알킬, 또는 H; 또는 비치환 C1-C10 시클릭 알킬 또는 비치환 C1-C10 비-시클릭 알킬; 또는 비치환 C1-C10 비-시클릭 알킬이고;
R4, R5 및 R6은 각각 독립적으로 OH, OR 또는 OC(O)R (여기서, R은 C1-C10 알킬 또는 C1-C10 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 C1-C10 알킬이다.
한 실시양태에서, Rb는 메틸, 에틸, n-프로필, 2-프로필, n-부틸, 이소부틸 또는 2-부틸로부터 선택되고; R4, R5 및 R6은 각각 OR (여기서, 각각의 R은 독립적으로 메틸, 에틸, n-프로필, 2-프로필, n-부틸, 이소부틸 또는 2-부틸로부터 선택됨)이다.
한 실시양태에서, 화합물 F2는 메틸트리메톡시실란 또는 메틸트리에톡시실란으로부터 선택된다.
Figure 112012076241085-pat00012
C) 하기 화학식 3으로부터 선택된 화합물 F3:
<화학식 3>
Figure 112012076241085-pat00013
상기 식에서, Rc는 C=C, C≡C, C=O, C=N 및 C≡N을 포함하는 1개 초과의 다중 결합을 포함하고, 이러한 다중 결합은 공액 배위이고; R7, R8 및 R9는 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 알킬이다.
한 실시양태에서, Rc는 아릴 또는 치환된 아릴, 공액 디엔 또는 공액 트리엔, 공액 디케톤, 공액 케토-에스테르, α,β-불포화 에스테르, α,β-불포화 케톤, 알켄과 함께 니트릴, 케톤과 함께 니트릴, 에스테르와 함께 니트릴, 알켄과 함께 알킨, 케톤과 함께 알킨, 또는 에스테르와 함께 알킨을 포함하고;
R7, R8 및 R9는 각각 독립적으로 OH, OR 또는 OC(O)R (여기서, R은 C1-C10 알킬 또는 C1-C10 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 C1-C10 알킬이다.
한 실시양태에서, Rc는 페닐 기, 나프틸 기, 안트라센 기, 페난트렌 기, 플루오렌 기, 피리딘 기, 퀴놀린 기, 이미다졸 기, 벤조이미다졸 기, 인돌 기, 카르바졸 기, 푸란 기, 벤조푸란 기, 디벤조푸란 기, 아크릴옥실 기, 아크릴아미도 기, 메타크릴옥실 기 또는 메타크릴아미도 기를 포함하고;
R7, R8 및 R9는 각각 OR (여기서, 각각의 R은 독립적으로 메틸, 에틸, n-프로필, 2-프로필, n-부틸, 이소부틸 또는 2-부틸로부터 선택됨)이다.
한 실시양태에서, 화합물 F3은 페닐트리메톡시실란 또는 페닐트리에톡시실란으로부터 선택된다.
Figure 112012076241085-pat00014
D) 하기 화학식 4로부터 선택된 화합물 F4:
<화학식 4>
Figure 112012076241085-pat00015
상기 식에서, R10, R11, R12 및 R13은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 알킬이다.
한 실시양태에서, R10, R11, R12 및 R13은 각각 독립적으로 OH, OR 또는 OC(O)R (여기서, R은 C1-C10 알킬 또는 C1-C10 치환된 알킬임)로부터 선택된다. 추가 실시양태에서, R은 C1-C10 알킬이다.
한 실시양태에서, R10, R11, R12 및 R13은 OR (여기서, 각각의 R은 독립적으로 메틸, 에틸, n-프로필, 2-프로필, n-부틸, 이소부틸 또는 2-부틸로부터 선택됨)이다.
한 실시양태에서, 화합물 F4는 테트라메틸 오르토실리케이트 또는 테트라에틸 오르토실리케이트로부터 선택된다:
Figure 112012076241085-pat00016
삼중-층 코팅
삼중-층 코팅, 예를 들어 삼중-층 레지스트는 전형적으로 (a) 기판 상 경화성 하부층 조성물; (b) 경화성 조성물 상에 적용된 하드마스크 조성물 (예를 들어, 본원에 기재된 본 발명의 조성물로부터 형성된 하드마스크 층); 및 (c) 하드마스크 조성물 상에 적용된 포토레지스트 조성물 층을 포함한다. 기판은 적합하게는 포토레지스트와 관련된 공정에 사용되는 임의의 기판이다. 예를 들어, 기판은 규소, 이산화규소 또는 알루미늄-알루미늄 옥시드 마이크로전자 웨이퍼일 수 있다. 또한, 비화갈륨, 탄화규소, 세라믹, 석영 또는 구리 기판이 사용될 수 있다. 또한, 액정 디스플레이 또는 다른 플랫 패널 디스플레이 용품을 위한 기판, 예를 들어 유리 기판, 인듐 주석 옥시드 코팅된 기판 등이 적합하게 사용된다. 광학 및 광-전자 장치 (예를 들어, 도파관)용 기판이 또한 사용될 수 있다. 코팅 조성물 및 리소그래피 공정은, 각각 본원에 참고로 포함되는 미국 공보 2007/0238052 및 미국 공보 2009/0148789에 기재되어 있다.
다양한 포토레지스트가 본 발명의 코팅 조성물과 조합하여 (즉, 오버코팅됨) 사용될 수 있다. 바람직한 포토레지스트는 화학-증폭형 레지스트, 특히 하나 이상의 광산 발생제 화합물, 및 광발생 산의 존재 하에 탈블록화 또는 분해 반응을 겪는 단위, 예컨대 광산-불안정성 에스테르, 아세탈, 케탈 또는 에테르 단위를 함유하는 수지 성분을 함유하는 포지티브-작용 또는 네거티브-작용 포토레지스트를 포함한다.
또한, 네거티브-작용 포토레지스트는 본 발명의 코팅 조성물, 예컨대 활성화 방사선에 대한 노출 시 가교 (즉, 경화 또는 경질화)되는 레지스트와 함께 사용될 수 있다. 본 발명의 코팅 조성물과 함께 사용하기에 바람직한 포토레지스트는 비교적 짧은 파장의 방사선, 예를 들어 300 nm 미만, 또는 260 nm 미만, 예컨대 약 248 nm의 파장을 갖는 방사선, 또는 약 200 nm 미만, 예컨대 193 nm의 파장을 갖는 방사선으로 영상화될 수 있다.
적합한 포토레지스트는 영상-유효량의 광산 발생제 화합물 및 하나 이상의 수지를 함유한다. 적합한 수지는 비제한적으로 i) 산-불안정성 기를 함유하는 페놀 수지 (예를 들어, 미국 특허 6,042,997 및 5,492,793을 참조함); ii) 비닐페놀, 히드록실 또는 카르복실 고리 치환기를 함유하지 않는 임의로 치환된 비닐페닐 (예를 들어, 스티렌), 및 알킬 아크릴레이트, 예컨대 상기 중합체 i), 예컨대 미국 특허 6,042,997에 기재된 중합체와 함께 기재된 탈블록화 기의 중합된 단위를 함유하는 중합체; 및 iii) 광산과 반응할 아세탈 또는 케탈 모이어티를 포함하는 반복 단위, 및 임의로 방향족 반복 단위, 예컨대 페닐 또는 페놀 기를 함유하는 중합체 (이러한 중합체는 미국 특허 5,929,176 및 6,090,526에 기재되어 있음)를 포함한다.
추가의 수지는 페닐 또는 다른 방향족 기를 실질적으로 또는 완전히 함유하지 않고, 200 nm 이하의 파장, 예컨대 193 nm에서 영상화하기에 특히 적합한 화학 증폭형 레지스트를 제공할 수 있는 수지를 포함한다. 이러한 부류의 바람직한 수지는 i) 비-방향족 시클릭 올레핀의 중합된 단위 (엔도시클릭 이중 결합), 예컨대 임의로 치환된 노르보르넨을 함유하는 중합체, 예컨대 미국 특허 5,843,624 및 6,048,664에 기재된 중합체; ii) 알킬 아크릴레이트 단위, 예컨대 t-부틸 아크릴레이트, t-부틸 메타크릴레이트, 메틸아다만틸 아크릴레이트, 메틸아다만틸 메타크릴레이트 및 다른 비-시클릭 알킬 및 지환족 아크릴레이트를 함유하는 중합체 (이러한 중합체는 미국 특허 6,057,083; 유럽 공개 출원 EP01008913A1 및 EP00930542A1에 기재되어 있음); 및 iii) 중합된 무수물 단위, 특히 유럽 공개 출원 EP01008913A1 및 미국 특허 6,048,662에 개시된 바와 같은 중합된 말레산 무수물 및/또는 이타콘산 무수물 단위를 함유하는 중합체를 포함한다.
다른 수지는 헤테로원자, 특히 산소 및/또는 황을 함유하는 반복 단위 (무수물이 아니며, 즉 단위는 카르보닐 고리 원자를 함유하지 않음)를 함유하는 수지를 포함하고, 바람직하게는 임의의 방향족 단위를 실질적으로 또는 완전히 함유하지 않는다. 바람직하게는, 헤테로지환족 단위는 수지 주쇄에 융합되고, 추가로 수지가, 말레산 무수물 또는 이타콘산 무수물의 중합에 의해 제공된 바와 같은, 노르보르넨 기 및/또는 무수물 단위의 중합에 의해 제공된 바와 같은 융합된 탄소 지환족 단위를 포함하는 경우가 바람직하다. 또한, 플루오린 치환을 함유하는 수지 (플루오로중합체)는, 예를 들어 테트라플루오로에틸렌, 플루오린화 방향족 기, 예컨대 플루오로스티렌 화합물 등의 중합에 의해 제공될 수 있다.
정의
본원에서 사용된 용어 "조성물"은 조성물을 구성하는 물질의 혼합물, 뿐만 아니라 조성물의 물질로부터 형성된 반응 생성물 및 분해 생성물을 포함한다.
본원에서 사용된 용어 "중합체"는 동일한 또는 상이한 유형의 단량체를 중합함으로써 제조된 중합 화합물을 지칭한다. 따라서, 일반적인 용어 중합체는 용어 단독중합체 (단지 1가지 유형의 단량체로부터 제조된 중합체를 지칭하는데 사용되며, 미량의 불순물이 중합체 구조 내로 혼입될 수 있는 것으로 이해됨), 및 이하에 정의된 바와 같은 용어 혼성중합체를 포함한다.
본원에서 사용된 용어 "혼성중합체"는 2가지 이상의 상이한 유형의 단량체의 중합에 의해 제조된 중합체를 지칭한다. 일반적인 용어 혼성중합체는 공중합체 (2가지 상이한 단량체로부터 제조된 중합체를 지칭하기 위하여 사용됨), 및 2가지 초과의 상이한 유형의 단량체로부터 제조된 중합체를 포함한다.
본원에서 사용된 용어 "예비중합체"는, 예를 들어 약 500 g/mol 내지 100,000 g/mol, 바람직하게는 500 내지 50,000 g/mol (하기 기재된 바와 같은 통상적인 GPC에 의해 측정됨)의 분자량 Mw (중량 평균)의 중합체를 지칭한다.
본원에서 사용된 용어 "다중 결합"은 이중 결합 또는 삼중 결합을 지칭할 수 있다.
본원에서 사용된 용어 "공액 배위"는 화합물에 존재하는 다중 결합의 배위를 지칭하며, 여기서 2개의 다중 결합은 1개의 단일 결합에 의해 분리되어 교호 패턴 (예를 들어, "이중 결합 - 단일 결합 - 이중 결합" 또는 "삼중 결합 - 단일 결합 - 이중 결합" 또는 "이중 결합 - 단일 결합 - 삼중 결합")을 형성한다. 공액 배위에서, 다중 결합은 독립적으로 이중 결합 또는 삼중 결합일 수 있다. 1개 초과의 교호 패턴이 결합의 공액 배위를 갖는 화합물에 존재할 수 있다. 공액 결합을 갖는 화합물의 예는 벤젠, 1,4-부타디엔, 푸란, 아크릴로니트릴 및 아크릴산이다.
Figure 112012076241085-pat00017
본원에서 사용된 용어 "아민-함유 화합물"은 1개 이상, 바람직하게는 1개의 아민 기 (예를 들어, 1급, 2급 또는 3급 아민 (NH2 또는 NH 또는 N))를 함유하는 유기 화합물을 지칭한다.
본원에서 사용된 용어 "할라이드-함유 화합물"은 1개 이상, 바람직하게는 1개의 할라이드 기 (예를 들어, Cl, Br, F, 바람직하게는 Cl)를 함유하는 유기 화합물을 지칭한다.
용어 "포함하는", "비롯한", "갖는" 및 그의 파생어는, 임의의 추가의 성분, 단계 또는 절차가 구체적으로 개시되어 있는지의 여부와 상관없이 이들의 존재를 배제하도록 의도되지 않는다. 임의의 의심을 피하기 위해, 용어 "포함하는"의 사용을 통해 청구된 모든 조성물은 달리 언급되지 않는 한, 임의의 추가의 첨가제, 보조제 또는 화합물 (중합체 또는 다른 것)을 포함할 수 있다. 반면, 용어 "~을 주성분으로 포함하는"은 임의의 후속되는 인용 범위로부터 실시에 필수적이지 않은 것을 제외한 임의의 다른 성분, 단계 또는 절차를 배제시킨다. 용어 "~으로 이루어진"은 구체적으로 기술되거나 열거되지 않은 임의의 성분, 단계 또는 절차를 배제시킨다.
시험 방법
GPC
예비중합체의 분자량은, 크기 배제 크로마토그래피 (SEC)로도 칭해지는 겔 투과 크로마토그래피 (GPC)에 의해 모니터링되었다. 기기에는 폴리스티렌 보정 표준물을 기준으로 약 500 g/mol 내지 약 100,000 g/mol 이상 범위의 분자량을 측정하기에 적합한 칼럼 세트가 장착되었다. 연속적으로 설치된, 톰슨 인스트루먼트 캄파니(Thomson Instrument Co.)로부터 이용가능한, 직경 8 mm x 길이 300 mm의 2개의 쇼덱스(SHODEX) LF-804 GPC 칼럼 세트가 특히 효과적이었다. 이동상은 테트라히드로푸란이고, 1 mL/분의 속도로 펌핑되었다. 또한, 기기에는 굴절률 검출기가 장착되었다. 보정은 독일 마인츠 소재의 폴리머 스탠다즈 서비스 게엠베하(Polymer Standards Service GmbH)로부터 이용가능한 폴리스티렌 표준물을 사용하여 수행하였다. Mn, Mw 및 MWD는 애질런트 테크놀로지즈, 인크.(Agilent Technologies, Inc.)로부터 이용가능한 켐스테이션(ChemStation) 소프트웨어를 위한 "GPC-애드온(addon)"을 사용하여 계산되었다.
SEM
하향식 및 단면 영상 모두를 히타치(Hitachi) CG 4000 SEM (히타치 하이 테크놀로지즈 아메리카, 인크(Hitachi High Technologies America, Inc)) 상에서 측정하였다. 하향식 측정은 전체 영상화된 웨이퍼 상에서 수행되었다. 단면은, 웨이퍼를 관심 특징부를 통해 분할하고, 웨이퍼 조각을 금 또는 이리듐의 박층으로 스퍼터-코팅함으로써 얻어졌다.
실험
본 발명에서 사용된 물질은 상업적 공급원으로부터 얻었으며, 받은 그대로 사용되었다. 원료의 약어 및 공급원은 다음과 같았다:
VTMS: 비닐트리메톡시실란 (시그마 알드리치(Sigma Aldrich), 다우 코닝(Dow Corning))
MTMS: 메틸트리메톡시실란 (시그마 알드리치, 다우 코닝)
PTMS: 페닐트리메톡시실란 (시그마 알드리치, 다우 코닝)
TEOS: 테트라에틸 오르토실리케이트 (시그마 알드리치, 다우 코닝)
GlyTMS: 글리시독시프로필트리메톡시실란 (시그마 알드리치, 다우 코팅, 겔레스트(Gelest))
PGMEA: 프로필렌 글리콜 모노메틸 에테르 아세테이트 (다우아놀(DOWANOL) PMA, 더 다우 케미칼 캄파니(The Dow Chemical Company))
BTEAC: 벤질트리에틸암모늄 클로라이드 (시그마 알드리치)
3 N 수성 아세트산 용액을 실험실에서 제조하였다. 빙초산은 JT 베이커(JT Baker)에 의해 공급되었다.
0.1 N 수성 염산을 실험실에서 제조하였다. 진한 염산은 피셔(Fisher)에 의해 공급되었다.
표 1은 본 발명에 사용된 여러가지 제1 조성물을 열거한다. 양은 조성물을 제조하기 위하여 첨가된 총 몰 수를 기준으로 한 mol%였다.
<표 1>
Figure 112012076241085-pat00018
표 2A 및 2B에 나타낸 바와 같은 상기 조성물을 사용하여 여러가지 예비중합체를 합성하였다. 예비중합체의 상세 합성 방법은 하기 표 2B의 단락에 기재되어 있다.
<표 2A>
Figure 112012076241085-pat00019
<표 2B>
Figure 112012076241085-pat00020
본 발명 및 비교 예비중합체의 합성
예비중합체 1
기계적 교반기 및 단형 증류 장치가 장착된 3-목 둥근 바닥 플라스크를 빙초산 (0.52 mL) 및 HPLC 등급, 서브마이크로미터 여과수 (20.52 mL)로 충전시켜 아세트산/물 용액을 형성하였다. 이송 라인이 장착된 플라스틱 시린지를 페닐트리메톡시실란 (6.16 g, 31.1 mmol), 메틸트리메톡시실란 (21.64 g, 158.8 mmol), 비닐트리메톡시실란 (7.68 g, 51.8 mmol), 테트라에틸 오르토실리케이트 (21.58 g, 103.6 mmol) 및 PGMEA (58.33 mL)의 예비혼합 용액으로 충전시켰다. 알콕시실란 단량체/PGMEA 혼합물을 시린지 펌프를 사용하여 1시간에 걸쳐 아세트산/물 용액에 적가하였다. 첨가 후, 시린지 이송 라인을 둥근 바닥 플라스크 주입구로부터 제거하고, 유리 조인트에 설치된 열전대로 대체하여 내부 반응 온도를 모니터링하였다. 반응 혼합물을 실온에서 10분 동안 교반하였다. 이어서, 플라스크를 온도-제어된 오일 조에 넣고, 조 온도를 100℃의 온도에 도달하도록 설정하였다. 증류에 의해 알콜 및 물을 수집하면서, 질소 분위기 하에 축합 반응을 진행시켰다. 반응 혼합물을 2시간 25분 동안 가열하였다. 이어서, 농축된 반응 혼합물을 127.4 g의 최종 중량으로 PGMEA (42 mL)를 사용하여 대략 20 중량%의 고체로 희석하였다. 용액을 0.2 μm PTFE 막 필터를 통해 여과하였다.
1 g의 샘플을 110℃ 하에 오븐에서 건조시켜 고체 농도를 측정하였다. 분자량을 GPC에 의해 측정하였다: Mw, 4152 g/mol; Mn, 1414 g/mol; MWD, 2.94.
예비중합체 2
기계적 교반기 및 단형 증류 장치가 장착된 3-목 둥근 바닥 플라스크를 페닐트리메톡시실란 (6.16 g, 31.1 mmol), 메틸트리메톡시실란 (21.64 g, 158.8 mmol), 비닐트리메톡시실란 (7.68 g, 51.8 mmol), 테트라에틸 오르토실리케이트 (21.58 g, 103.6 mmol) 및 PGMEA (58.33 mL)의 예비혼합 용액으로 충전시켰다. 이송 라인이 장착된 플라스틱 시린지를 빙초산 (0.52 mL) 및 HPLC 등급, 서브마이크로미터 여과수 (27.98 mL)로 충전시켰다. 아세트산/물 용액을 시린지 펌프를 사용하여 30분에 걸쳐 알콕시실란 단량체/PGMEA 혼합물에 첨가하였다. 첨가 후, 시린지 이송 라인을 둥근 바닥 플라스크 주입구로부터 제거하고, 유리 조인트에 설치된 열전대로 대체하여 내부 반응 온도를 모니터링하였다. 플라스크를 온도-제어된 오일 조에 넣고, 조 온도를 100℃의 온도에 도달하도록 설정하였다. 증류에 의해 알콜 및 물을 수집하면서, 질소 분위기 하에 축합 반응을 진행시켰다. 반응 혼합물을 2시간 30분 동안 가열하였다. 이어서, 농축된 반응 혼합물을 127 g의 최종 중량으로 PGMEA (42 mL)를 사용하여 대략 20 중량%의 고체로 희석하였다. 용액을 0.2 μm PTFE 막 필터를 통해 여과하였다. 1 g의 샘플을 110℃ 하에 오븐에서 건조시켜 고체 농도를 측정하였다. 분자량을 GPC에 의해 측정하였다: Mw, 4627 g/mol; Mn, 1709 g/mol; PDI, 2.71.
예비중합체 3
자석 교반기 및 단형 증류 장치가 장착된 250-mL 3-목 둥근 바닥 플라스크를 3 N 아세트산 (34.21 g)으로 충전시켰다. 이송 라인이 장착된 플라스틱 시린지를 페닐트리메톡시실란 (8.82 g), 메틸트리메톡시실란 (17.49 g), 비닐트리메톡시실란 (10.96 g), 테트라에틸 오르토실리케이트 (51.36 g) 및 PGMEA (82 mL)의 예비혼합 용액으로 충전시켰다. 단량체 용액을 시린지 펌프를 사용하여 반응 플라스크에 천천히 첨가하였다. 단량체 첨가 개시로부터 1시간 후에, 플라스크를 온도-제어된 오일 조에 넣고, 조 온도를 100℃로 설정하였다. 3시간 후에, 조 온도를 110℃로 증가시키고, 반응 혼합물의 온도가 90℃에 이를 때까지, 이 온도에서 유지시켰다. 이어서, 오일 조를 제거하고, 중합체 용액을 냉각시켰다. PGMEA의 제2 분취액을 첨가하여 중합체 용액을 20 중량%의 고체로 조정하였다. 중합체 용액의 샘플을 오븐에서 145℃ 하에 1시간 동안 가열함으로써 중합체 용액의 고체 함량을 측정하였다. 중합체의 분자량을 GPC에 의해 측정하였다: Mw 3083 g/mol; Mn 1396 g/mol; MWD 2.21.
예비중합체 4
자석 교반기 및 딘 스타크(Dean Stark) 장치가 장착된 500-mL 3-목 둥근 바닥 플라스크를 빙초산 (13.33 g) 및 물 (59.98 g)로 충전시켰다. 이송 라인이 장착된 플라스틱 시린지를 페닐트리메톡시실란 (18.86 g), 메틸트리메톡시실란 (37.41 g), 비닐트리메톡시실란 (23.48 g), 테트라에틸 오르토실리케이트 (110.04 g) 및 PGMEA (175.07 g)의 예비혼합 용액으로 충전시켰다. 단량체 용액을 시린지 펌프를 사용하여 반응 플라스크에 1시간에 걸쳐 첨가하였다. 플라스크를 100℃로 설정된 온도-제어된 오일 조에 넣고, 이 온도에서 1시간 동안 유지시켰다. 증류물의 수집을 시작하였다. 조 온도를 110℃로 증가시키고, 반응 온도가 90℃에 이를 때까지 이 온도를 유지시켰으며, 이 때 가열 조를 제거하고, 중합체 용액을 냉각시켰다. PGMEA의 제2 분취액을 첨가하여 중합체 용액을 20 중량%의 고체로 조정하였다. 중합체 용액의 샘플을 오븐에서 145℃ 하에 1시간 동안 가열함으로써 중합체 용액의 고체 함량을 측정하였다. 중합체의 분자량을 GPC에 의해 측정하였다: Mw 2443 g/mol; Mn 1419 g/mol; MWD 1.72.
예비중합체 5
자석 교반기 및 단형 증류 장치가 장착된 250-mL 3-목 둥근 바닥 플라스크를 3 N 아세트산 (34.5 g)으로 충전시켰다. 이송 라인이 장착된 플라스틱 시린지를 페닐트리메톡시실란 (8.81 g), 메틸트리메톡시실란 (17.46 g), 비닐트리메톡시실란 (10.95 g), 테트라에틸 오르토실리케이트 (51.36 g) 및 PGMEA (82 mL)의 예비혼합 용액으로 충전시켰다. 단량체 용액을 시린지 펌프를 사용하여 반응 플라스크에 천천히 첨가하였다. 단량체 첨가 개시로부터 1시간 후에, 플라스크를 온도-제어된 오일 조에 넣고, 조 온도를 100℃로 설정하였다. 3시간 후에, 조 온도를 110℃로 증가시키고, 반응 온도가 90℃에 이를 때까지 이 온도를 유지하였으며, 이 때 오일 조를 제거하고, 중합체 용액을 냉각시켰다. PGMEA의 제2 분취액을 첨가하여 중합체 용액을 20 중량%의 고체로 조정하였다. 중합체 용액의 샘플을 오븐에서 145℃ 하에 1시간 동안 가열함으로써 중합체 용액의 고체 함량을 측정하였다. 중합체의 분자량을 GPC에 의해 측정하였다: Mw 2928 g/mol.
예비중합체 6
비닐트리메톡시실란 (11.1 g), 페닐트리메톡시실란 (9 g), 메틸트리메톡시실란 (31.3 g), 테트라에틸 오르토실리케이트 (31.1 g) 및 PGMEA (65 g)를 조합하고, 유리 시린지에 첨가하였다. 500-mL 3-목 둥근 바닥 플라스크에 3 N 아세트산 (23.9 g)을 충전시켰다. 오버헤드 교반기는 플라스크에서 혼합을 제공하였다. 실란/용매 블렌드를 시린지 펌프를 사용하여 실온에서 플라스크에 첨가하였으며; 총 첨가 시간은 70분이었다. 실란의 첨가 후, 딘 스타크 트랩 및 질소 라인을 플라스크에 부착하였다. 플라스크를 오일 조에 넣고, 조를 100℃로 가열하고; 증류물을 딘 스타크 트랩에서 수집하였다. 증류물을 60분 동안 수집한 후, PGMEA (40 g)를 플라스크에 천천히 첨가하였다. 증류물을 수집하면서 오일 조를 125℃로 가열하고, 이것을 추가의 70분 동안 계속하였다. 총 57.8 g의 증류물이 수집되었다. 플라스크를 열로부터 제거하고, 딘 스타크 트랩을 제거하였다. 중량 평균 분자량은 GPC에 의해 2590 g/mol인 것으로 측정되었다. 플라스크를 125℃ 하에 오일 조에 다시 넣었다. 125℃에서 30분 후, 샘플을 플라스크로부터 취하였으며, 중량 평균 분자량은 GPC에 의해 약 3790 g/mol인 것으로 측정되었다. 125℃에서의 가열을 추가의 30분 동안 계속하였다. 플라스크를 오일 조로부터 제거하였다. 중량 평균 분자량은 GPC에 의해 5040 g/mol인 것으로 측정되었다. 생성된 실록산 중합체 용액의 농도는 용액의 중량을 기준으로 23.46 중량%이었다.
예비중합체 7
비닐트리메톡시실란 (11.1 g), 페닐트리메톡시실란 (9 g), 메틸트리메톡시실란 (27.9 g), 테트라에틸 오르토실리케이트 (36.4 g) 및 PGMEA (65 g)를 조합하고, 유리 시린지에 첨가하였다. 500-mL 3-목 둥근 바닥 플라스크에 3 N 아세트산 (24.1 g)을 충전시켰다. 오버헤드 교반기는 플라스크에서 혼합을 제공하였다. 실란/용매 블렌드를 실온에서 시린지 펌프를 사용하여 플라스크에 첨가하였으며; 총 첨가 시간은 60분이었다. 실란의 첨가 후, 딘 스타크 트랩 및 질소 라인을 플라스크에 부착하였다. 플라스크를 100℃로 가열된 오일 조에 넣고, 증류물을 딘 스타크 트랩에서 60분 동안 수집하였다. 이어서, PGMEA (40 g)를 플라스크에 천천히 첨가하였다. 증류물을 수집하면서 오일 조를 125℃로 가열하였으며, 이것을 추가의 60분 동안 계속하였다. 총 55.1 g의 증류물이 수집되었다. 플라스크를 열로부터 제거하고, 딘 스타크 트랩을 제거하였다. 중량 평균 분자량은 GPC에 의해 3770 g/mol인 것으로 측정되었다. 플라스크를 125℃ 하에 오일 조에 다시 넣었다. 125℃에서 15분 후, 플라스크를 오일 조로부터 제거하고, 냉각시켰다. 중량 평균 분자량은 GPC에 의해 약 4505 g/mol인 것으로 측정되었다. 플라스크를 125℃ 하에 오일 조로 다시 넣고; 가열을 추가의 16분 동안 계속하였다. 플라스크를 오일 조로부터 제거하였다. 중량 평균 분자량은 GPC에 의해 5620 g/mol인 것으로 측정되었다. 생성된 실록산 중합체 용액의 농도는 용액의 중량을 기준으로 27.29 중량%이었다.
예비중합체 8
비닐트리메톡시실란 (11.1 g), 페닐트리메톡시실란 (9 g), 메틸트리메톡시실란 (24.5 g), 41.6 g의 테트라에틸 오르토실리케이트 (41.6 g) 및 PGMEA (65 g)를 조합하고, 유리 시린지에 첨가하였다. 500-mL 3-목 둥근 바닥 플라스크에 3 N 아세트산 (24.3 g)을 충전시켰다. 오버헤드 교반기는 플라스크에서 혼합을 제공하였다. 실란/용매 블렌드를 실온에서 시린지 펌프를 사용하여 플라스크에 첨가하였으며; 총 첨가 시간은 62분이었다. 실란의 첨가 후, 딘 스타크 트랩 및 질소 라인을 플라스크에 부착하였다. 플라스크를 100℃로 가열된 오일 조에 넣고; 증류물을 딘 스타크 트랩에서 수집하였다. 증류물을 51분 동안 수집한 후, PGMEA (50 g)를 플라스크에 천천히 첨가하였다. 증류물을 추가의 45분 동안 수집하면서, 오일 조를 125℃로 가열하였다. 총 52.5 g의 증류물이 수집되었다. 플라스크를 열로부터 제거하고, 딘 스타크 트랩을 제거하였다. 중량 평균 분자량은 GPC에 의해 3020 g/mol인 것으로 측정되었다. 플라스크를 125℃ 하에 오일 조에 다시 넣었다. 125℃에서 16분 후, 플라스크를 오일 조로부터 제거하고, 냉각시켰다. 중량 평균 분자량은 GPC에 의해 약 3675 g/mol인 것으로 측정되었다. 플라스크를 125℃ 하에 오일 조에 다시 넣고; 가열을 추가의 30분 동안 계속하였다. 플라스크를 오일 조로부터 제거하였다. 중량 평균 분자량은 GPC에 의해 4960 g/mol인 것으로 측정되었다. 플라스크를 125℃ 하에 오일 조에 다시 넣고; 가열을 추가의 15분 동안 계속하였다. 플라스크를 오일 조로부터 제거하였다. 중량 평균 분자량은 GPC에 의해 5925 g/mol인 것으로 측정되었다. 생성된 실록산 중합체 용액의 농도는 23.01 중량%이었다.
예비중합체 A
비닐트리메톡시실란 (65.9 g), 페닐트리메톡시실란 (5.9 g) 및 메틸트리메톡시실란 (3.4 g)을 조합하고, 유리 시린지에 첨가하였다. 500-mL 3-목 둥근 바닥 플라스크에 3 N 아세트산 (27 g)을 충전시켰다. 오버헤드 교반기는 플라스크에서 혼합을 제공하였다. 실란을 시린지 펌프를 사용하여 플라스크에 첨가하였으며; 총 첨가 시간은 45분이었다. 실란의 첨가 후, 딘 스타크 트랩 및 질소 라인을 플라스크에 부착하였다. 플라스크를 100℃로 가열된 오일 조에 넣었다. 증류물을 딘 스타크 트랩에서 45분 동안 수집한 후, PGMEA (75 g)를 플라스크에 천천히 첨가하였다. 증류물을 추가의 75분 동안 수집하면서, 오일 조를 125℃로 가열하였다. 총 49.4 g의 증류물이 수집되었다. 딘 스타크 트랩을 플라스크로부터 제거하였다. 오일 조를 추가의 95분 동안 125℃에서 유지시키고; 플라스크를 오일 조로부터 제거하고, 가열을 중단시켰다. 플라스크를 추가의 60분 동안 125℃ 하에 오일 조에 다시 넣었다. 플라스크를 오일 조로부터 제거하고, 35 g의 PGMEA를 플라스크에 첨가하여 냉각을 용이하게 하도록 도왔다. 생성된 실세스퀴옥산 중합체의 중량 평균 분자량은 GPC에 의해 약 4255 g/mol인 것으로 측정되었다. 표적 중량 평균 분자량은 5000 g/mol이었다. 플라스크를 추가의 61분 동안 125℃ 하에 오일 조에 다시 넣은 후, 오일 조로부터 제거하여 분자량을 측정하였다. 중량 평균 분자량은 GPC에 의해 4625 g/mol인 것으로 측정되었다. 플라스크를 추가의 61분 동안 125℃ 하에 오일 조에 다시 넣은 후, 오일 조로부터 제거하여 분자량을 측정하였다. 중량 평균 분자량은 GPC에 의해 5015 g/mol인 것으로 측정되었다. 생성된 실세스퀴옥산 중합체 용액의 농도는 22.71 중량%이었다.
예비중합체 B
비닐트리메톡시실란 (13.6 g), 페닐트리메톡시실란 (3.4 g), 메틸트리메톡시실란 (6.1 g), 글리시독시프로필트리메톡시실란 (10.8 g) 및 PGMEA (80 g)를 조합하고, 유리 시린지에 첨가하였다. 250 mL 3-목 둥근 바닥 플라스크에 3 N 아세트산 (9.2 g)을 충전시켰다. 오버헤드 교반기는 플라스크에서 혼합을 제공하였다. 실란/용매 블렌드를 실온에서 시린지 펌프를 사용하여 플라스크에 첨가하였으며; 총 첨가 시간은 70분이었다. 실란의 첨가 후, 딘 스타크 트랩 및 질소 라인을 플라스크에 부착하였다. 플라스크를 100℃로 가열된 오일 조에 넣었다. 증류물을 딘 스타크에서 43분 동안 수집한 후, PGMEA (40 g)를 플라스크에 천천히 첨가하였다. 증류물을 추가의 68분 동안 수집하면서, 오일 조를 125℃로 가열하였다. 총 12.3 g의 증류물이 수집되었다. 플라스크를 열로부터 제거하고, 딘 스타크 트랩을 제거하였다. 중량 평균 분자량은 GPC에 의해 645 g/mol인 것으로 측정되었다. 플라스크를 125℃ 하에 오일 조에 다시 넣고, 120분 후에 샘플을 플라스크로부터 취하였으며, 중량 평균 분자량은 GPC에 의해 약 1130 g/mol인 것으로 측정되었다. 가열을 추가의 300분 동안 계속하였다. 플라스크를 오일 조로부터 제거하였다. 중량 평균 분자량은 GPC에 의해 2050 g/mol인 것으로 측정되었다. 플라스크를 420분 동안 오일 조에 다시 넣었고, 그 후 중량 평균 분자량은 GPC에 의해 3460 g/mol인 것으로 측정되었다. 플라스크를 420분 동안 오일 조에 다시 넣었고, 그 후 중량 평균 분자량은 GPC에 의해 5480 g/mol인 것으로 측정되었다. 생성된 실세스퀴옥산 중합체 용액의 농도는 용액의 중량을 기준으로 14.03 중량%이었다.
예비중합체 C
3-목 250-mL 플라스크에 온도 프로브, 2 인치 테플론(Teflon) 패들을 갖는 일정한 RPM 오버헤드 교반기 및 시린지 펌프 첨가 어댑터를 장착하였다. 증류된 실란 단량체 페닐트리메톡시실란 (7.03 g, 35.4 mmol), 메틸트리메톡시실란 (38.12 g, 279.8 mmol) 및 테트라에틸 오르토실리케이트 (16.42 g, 78.8 mmol)를 플라스틱 병에 가하고, 반응 플라스크로 옮기고, PGMEA 65.5 mL로 희석하였다. 염산 (0.1 N, 3.95 mL, 3.95 mmol)을 HPLC-등급 물 (19.49 mL, 1082 mmol)로 희석하고, 눈금 실린더에서 혼합한 후, 주위 실온에서 시린지 펌프에 의해 실란 용액으로 30분에 걸쳐 적가하였다. 산/물 용액 첨가 단계의 완료 후, 시린지 펌프 첨가 어댑터를 질소 라인이 설치된 단형 증류 헤드로 대체하고, 이어서 용액을 110℃의 설정 점을 갖는 오일 조에 의해 가열하였다. 15분 후, 조 온도 설정 점을 100℃로 감소시켰다. 반응 분취액 (0.2 mL)을 자주 제거하고, THF (1.0 mL)에 희석시켜 GPC에 의해 분석하였다. 중합체 용액은 75분 동안의 가열 후 표적 (5000 g/mol)에 근접한 분자량에 도달하였다. 용액을 추가의 PGMEA (46.8 mL, 342 mmol)로 용액의 총 중량을 기준으로 21.9 중량%의 고체로 희석하고, 실온으로 냉각시켰다. 용액을 다우 케미칼 캄파니에 의해 제조된, 초과 당량의 도웩스 마라톤(DOWEX MARATHON) MR-3 혼합 이온 교환 수지 상에서 교반하고, "0.2 μm" PTFE 시린지 필터를 통해 여과하였다. 분자량을 GPC에 의해 측정하였다: Mw 4079 g/mol; Mn 1798 g/mol; MWD 2.27.
예비중합체 D
3-목 250-mL 플라스크에 온도 프로브, 2 인치 테플론 패들을 갖는 일정한 RPM 오버헤드 교반기 및 시린지 펌프 첨가 어댑터를 장착하였다. 증류된 실란 단량체 페닐트리메톡시실란 (7.08 g, 35.7 mmol), 메틸트리메톡시실란 (32.96 g, 242.0 mmol) 및 테트라에틸 오르토실리케이트 (24.79 g, 119.0 mmol)를 플라스틱 병에 가하고, 반응 플라스크로 옮기고, PGMEA 65.3 mL를 충전시켜 희석하였다. 염산 (0.1 N, 0.40 mL, 0.04 mmol)을 HPLC-등급 물 (23.2 mL, 1287 mmol)로 희석하고, 눈금 실린더에서 혼합한 후, 주위 실온에서 시린지 펌프에 의해 실란 용액으로 30분에 걸쳐 적가하였다. 산/물 용액 첨가 단계의 완료 시, 시린지 펌프 첨가 어댑터를 질소 라인이 설치된 단형 증류 헤드로 대체하였다. 물질을 주위 온도에서 60분 동안 혼합한 후, 30분의 산 첨가 시간 후, 이어서 용액을 100℃의 설정 점을 갖는 오일 조에 의해 가열하였다. 5시간 후, 조 온도 설정 점을 120℃로 증가시켰다. 반응 분취액 (0.2 mL)을 자주 제거하고, THF (1.0 mL)에 희석시켜 GPC에 의해 분석하였다. 6시간 동안 가열한 후, 중합체 용액은 표적 (5000 g/mol)에 근접한 분자량에 도달하였다. 용액을 추가의 PGMEA (45.5 mL)로 22.4 중량%의 고체로 희석하고, 실온으로 냉각시켰다. 중합체 용액을 초과 당량의 도웩스 마라톤 MR-3 혼합 이온 교환 수지 상에서 교반하였다. 용액을 "0.2 μm" PTFE 시린지 필터를 통해 여과하고, 대략 15 중량%의 고체로 동결기에 저장하였다. 분자량을 GPC에 의해 측정하였다: Mw 7782 g/mol; Mn 2294 g/mol; MWD 3.39.
예비중합체 E
250 mL 3목 둥근 바닥 플라스크를 20℃ 수조에 넣었다. 플라스크에 에어 모터에 연결된 교반기, 물 재킷화된 응축기, 온도 제어기에 연결된 열전대 및 첨가 깔때기를 설치하였다. 산성수 (21.6 g, 1.2 mol, 3 N 아세트산)를 플라스크에 첨가하였다. 비닐트리메톡시실란 (53.3 g, 0.360 mol) 및 페닐트리메톡시실란 (7.94 g, 0.040 mol)을 예비혼합하고, 첨가 깔때기에 첨가하였다. 실란을 45분에 걸쳐 반응기에 첨가하였다. 첨가가 완료된 후, 수조를 제거하고, 가열 맨틀을 플라스크 아래에 두었다. 첨가 깔때기를 제거하고, 딘 스타크 트랩 및 질소 스윕 라인을 부착하였다. 용액을 100℃로 설정된 오일 조에서 천천히 가열하였다. 가열하는 동안, 증류물을 트랩에 수집하였다. 반응물이 100℃에 도달하였을 때, PGMEA 100 g을 첨가하였다. 추가의 증류물을 수집하면서, 오일 조를 125℃로 천천히 가열하였다. 조 온도가 125℃에 도달하였을 때, 트랩을 제거하였다. 3시간 후, 가열을 중단시키고, PGMEA 75 g을 첨가하고, 용액을 실온으로 냉각될 때까지 혼합하였다.
예비중합체 6'
3-목 250-mL 플라스크에 온도 프로브, 2 인치 테플론 패들을 갖는 일정한 RPM 오버헤드 교반기 및 시린지 펌프 첨가 어댑터를 장착하였다. 증류된 실란 단량체 페닐트리메톡시실란 (7.91 g, 39.9 mmol), 메틸트리메톡시실란 (18.10 g, 132.9 mmol), 비닐트리메톡시실란 (36.74 g, 247.9 mmol) 및 테트라에틸 오르토실리케이트 (4.61 g, 22.1 mmol)를 플라스틱 병에 가하고, 반응 플라스크로 옮기고, PGMEA 81.65 mL로 희석하였다. 빙초산 (5.5 mL, 94 mmol)을 HPLC-등급 물 (21.9 mL, 1215 mmol)로 희석하고, 눈금 실린더에서 혼합한 후, 주위 실온에서 시린지 펌프에 의해 실란 용액으로 60분에 걸쳐 적가하였다. 산/물 용액 첨가 단계의 완료 시, 시린지 펌프 첨가 어댑터를 질소 라인이 설치된 단형 증류 헤드로 대체하였다. 물질을 60분 동안 주위 온도에서 혼합하고, 60분의 산 첨가 시간 후, 이어서 용액을 100℃의 설정 점을 갖는 오일 조에 의해 가열하였다. 반응 분취액 (0.2 mL)을 자주 제거하고, THF (1.0 mL)에서 희석하여 GPC에 의해 분석하였다. 9.5시간 동안 가열한 후 중합체 용액은 표적 (5000 g/mol)에 근접한 분자량에 도달하였다. 용액을 추가의 PGMEA (58.3 mL)로 21.0 중량%의 고체로 희석하고, 실온으로 냉각시켰다. 수지 용액을 "0.2 μm" PTFE 시린지 필터를 통해 여과하고, 중합체 용액을 대략 20 중량%의 고체로 동결기에서 저장하였다. 분자량을 GPC에 의해 측정하였다: Mw 4527 g/mol; Mn 1793 g/mol; MWD 2.52.
예비중합체 7'
3-목 500-mL 플라스크에 온도 프로브, 2 인치 테플론 패들을 갖는 일정한 RPM 오버헤드 교반기 및 시린지 펌프 첨가 어댑터를 장착하였다. 증류된 실란 단량체 페닐트리메톡시실란 (11.65 g, 58.7 mmol), 메틸트리메톡시실란 (44.42 g, 326.0 mmol), 비닐트리메톡시실란 (34.85 g, 234.7 mmol) 및 테트라에틸 오르토실리케이트 (6.79 g, 32.6 mmol)를 플라스틱 병에 가하고, 반응 플라스크로 옮기고, PGMEA 116.7 mL로 희석하였다. 빙초산 (8.10 mL, 138 mmol)을 HPLC-등급 물 (32.3 mL, 1792 mmol)로 희석하고, 눈금 실린더에서 혼합한 후, 주위 실온에서 시린지 펌프에 의해 실란 용액으로 60분에 걸쳐 적가하였다. 시린지 펌프 첨가 어댑터를 산/물 용액 첨가 단계의 완결 시 질소 라인이 설치된 단형 증류 헤드로 대체하였다. 물질을 주위 온도에서 60분 동안 혼합하고, 60분의 산 첨가 시간 후, 이어서 용액을 100℃의 설정 점을 갖는 오일 조에 의해 가열하였다. 반응 분취액 (0.2 mL)을 자주 제거하고, THF (1.0 mL)에서 희석하여 GPC에 의해 분석하였다. 10.5시간 동안 가열한 후, 중합체 용액은 표적 (5000 g/mol)에 근접한 분자량에 도달하였다. 용액을 추가의 PGMEA (83.3 mL)로 22.3 중량%의 고체로 희석하고, 실온으로 냉각시켰다. 수지 용액을 "0.2 μm" PTFE 시린지 필터를 통해 여과하고, 중합체 용액을 대략 20 중량%의 고체로 동결기에서 저장하였다. 분자량을 GPC에 의해 측정하였다; Mw 4384 g/mol; Mn 1722 g/mol; MWD 2.55.
예비중합체 8'
자석 교반기 및 단형 증류 장치가 장착된 250-mL 3-목 둥근 바닥 플라스크를 페닐트리메톡시실란 (4.86 g), 메틸트리메톡시실란 (22.24 g) 및 비닐트리메톡시실란 (20.57 g)으로 충전시켰다. 빙초산 (5.15 g) 및 물 (23.53 g)의 용액을 플라스크에 첨가하고, 교반을 시작하였다. 이어서, 테트라에틸 오르토실리케이트 (17.01 g)를 첨가하고, 혼합물을 실온에서 60분 동안 교반하였다. 초기에 상-분리된 반응 혼합물이, 가수분해가 진행됨에 따라 균질화되어 투명한 용액을 얻었으며, 발열이 관찰되었다. PGMEA (70 g)를 첨가하고, 플라스크를 온도-제어된 오일 조에 넣었다. 오일 조를 1시간 동안 100℃로 가열한 후, 1시간 동안 110℃로 증가시킨 후, 120℃로 증가시켰다. 반응 혼합물의 온도가 100℃에 도달되었을 때, 가열 조를 제거하고, 중합체 용액을 냉각시켰다. PGMEA의 제2 분취액을 첨가하여 중합체 용액을 20 중량%의 고체로 조정하였다. 중합체 용액의 작은 샘플을 145℃ 하에 오븐에서 1시간 동안 가열하여 중합체 용액의 고체 함량을 측정하였다. 중합체의 분자량을 GPC에 의해 측정하였다: Mw 2071; Mn 1268; MWD 1.63.
예비중합체 9'
자석 교반기 및 단형 증류 장치가 장착된 250-mL 3-목 둥근 바닥 플라스크를 페닐트리메톡시실란 (4.84 g), 메틸트리메톡시실란 (22.24 g) 및 비닐트리메톡시실란 (20.58 g)으로 충전시켰다. "0.1 N HCl (0.41 g)" 및 물 (23.22 g)로부터 제조된 용액을 플라스크에 첨가하고, 교반을 시작하였다. 이어서, 테트라에틸 오르토실리케이트 (17.02 g)를 첨가하고, 혼합물을 실온에서 60분 동안 교반하였다. 초기에 상 분리된 반응 혼합물이, 가수분해가 진행됨에 따라 균질화되어 투명한 용액을 얻었으며, 발열이 관찰되었다. PGMEA (50 g)를 첨가하고, 플라스크를 온도-제어된 오일 조에 넣었다. 오일 조를 1시간 동안 100℃로 가열한 후, 1시간 동안 110℃로 증가시킨 후, 120℃로 증가시켰다. 반응 혼합물의 온도가 100℃에 도달하였을 때, 가열 조를 제거하고, 중합체 용액을 냉각시켰다. PGMEA의 제2 분취액을 첨가하여 중합체 용액을 20 중량%의 고체로 조정하였다. 중합체 용액을 더 다우 케미칼 캄파니에 의해 제조된 앰버라이트(AMBERLITE) IRN 150 혼합 층 이온 교환 수지로 충전된 이온 교환 칼럼을 통해 통과시켜 잔류 산을 제거하였다. 중합체 용액의 작은 샘플을 1시간 동안 145℃ 하에 오븐에서 가열시켜 중합체 용액의 고체 함량을 측정하였다. 중합체의 분자량을 GPC에 의해 측정하였다: Mw 2925; Mn 1540; MWD 1.9.
제2 조성물의 형성
제2 조성물 9'를 제외하고, 모든 제2 조성물을 달리 나타내지 않는 한, 본원에 기재된 일반적인 절차에 따라 형성하였다.
지정된 예비중합체 (37.5 g), BTEAC (0.37 g) 및 PGMEA (263 g)를 플라스틱 병에 첨가하고, 잘 혼합하고, 0.2 μm PVDF 필터를 통해 여과하여 각각의 제2 조성물을 형성하였다.
제2 조성물 9'의 경우, 예비중합체 8 (37.5 g) 및 PGMEA (263 g)를 플라스틱 병에 첨가하고, 잘 혼합하고, "0.2 μm" PVDF 필터를 통해 여과하여 제2 조성물 9'를 형성하였다. 표 3은 이 연구에 사용된 모든 제2 조성물을 요약하였다.
<표 3>
Figure 112012076241085-pat00021
ArF 포지티브 톤 현상 (PTD) 포토레지스트의 형성
적합한 포지티브 톤 현상 포토레지스트는 다음의 혼합물: 프로필렌글리콜 메틸에테르 아세테이트 28.60 g, 시클로헥사논 19.30 g, 히드록시부티레이트 메틸 에스테르 48.25 g, 각각 20/20/30/20/10의 몰비 및 9,000의 중량 평균 분자량을 갖는 이소프로필-아다만틸메타크릴레이트, 메틸시클로펜틸메타크릴레이트, (3aR, 4s, 5R, 7S, 7aR)-3-옥소옥타히드로-4,7-에폭시-이소벤조푸란-5-일 메타크릴레이트, 히드록시아다만틸메타크릴레이트의 공중합체 3.02 g, (4-(tert-부틸)페닐)디페닐술포늄 1,1-디플루오로-2-(((1r, 3s, 5R, 7S)-3-히드록시아다만탄-1-일)메톡시)-2-옥소에탄술포네이트 0.46 g, tert-부틸(1,3-디히드록시-2-(히드록시메틸)-프로판-2-일)카르바메이트 0.04 g 및 폴리폭스(PolyFox) 656 플루오린화 표면 균전제 0.01 g으로부터 형성되었다.
ArF 네거티브 톤 현상 (NTD) 포토레지스트의 형성
적합한 네거티브 톤 현상 포토레지스트는 다음의 혼합물: 프로필렌글리콜 메틸에테르 아세테이트 28.95 g, 시클로헥사논 19.30 g, 히드록시부티레이트 메틸 에스테르 48.25 g, 각각 25/25/40/10의 몰비 및 22,000의 중량 평균 분자량을 갖는 (2,2-디메틸-1,3-디옥솔란-4-일)메틸 메타크릴레이트, 5-(2,2-디메틸-1,3-디옥솔란-4-일)-2,2-디메틸테트라히드로푸로[2,3-d][1,3]디옥솔-6-일 메타크릴레이트, 메틸 아다만틸 메타크릴레이트/5-옥소-4-옥사-트리시클로논-2-일 옥시카르보닐메틸 메타크릴레이트, 히드록시아다만틸아크릴레이트의 공중합체 2.89 g, 트리페닐-술포늄-1,1,2,2-테트라플루오로-4-((4-(13-메틸-3,7,12-트리옥소헥사데카히드로-1H-시클로펜타[a]페난트렌-17-일)펜타노일)옥시)부탄-1-술포네이트 0.49 g, 10,000의 중량 평균 분자량을 갖는 n-부틸메타크릴레이트의 중합체 0.07 g, 도데실디에탄올-아민 0.05 및 폴리폭스 656 플루오린화 표면 균전제 0.01 g으로부터 형성되었다.
단일 층 코팅의 형성
클린-룸 환경 (약 72℉, 약 50%RH, 클래스 100)에서, 웨이퍼넷 인크.(WaferNet Inc.)로부터의 언프라임드(unprimed) "200 mm" 직경 규소 웨이퍼를 기판으로 사용하였다. Si 웨이퍼 상에, 제2 조성물을 손으로 분배하고, 35 nm의 공칭 필름 두께 (테르마-웨이브(THERMA-WAVE) 분광 타원계 상에서 측정됨)로 도쿄 일렉트론(Tokyo Electron) (TEL) ACT-8 코트 트랙 상에서 스핀 코팅하였다. 코팅된 웨이퍼를 240℃에서 60초 동안 베이킹하였다. 단일 층 코팅을 표 4A, 4B 및 4C에 요약하였다.
<표 4A>
Figure 112012076241085-pat00022
<표 4B>
Figure 112012076241085-pat00023
<표 4C>
Figure 112012076241085-pat00024
단일 층 코팅에 대한 시험 방법
광학 특성 (193 nm에서 n, k) 및 필름 두께의 측정
필름의 광학 특성 및 두께를 울람(WOOLAM) VUV-VASE VU-302 타원계 (울람, NE)를 사용하여 측정하였다. 필름을 단일 층 코팅 섹션에서 상기 기재된 바와 같은 "200 mm" 직경의 비처리 규소 웨이퍼 상에 코팅하였다. 분극 데이터를 170 nm 내지 900 nm의 파장 범위에 걸쳐 3개의 각도에서 수집하였다. 데이터는 필름의 두께 및 193 nm에서의 굴절률 (n, k)을 얻기 위하여 자동적으로 생성되었으며, 여기서 n은 복합 굴절률의 실수 부분이고, k는 복합 굴절률의 허수 부분이다.
표 5는 본 발명 및 비교 실시예의 193 nm에서의 굴절률 n, k를 요약하였다. 반사의 최소화는 반사방지 층의 광학 상수 및 두께에 따라 달라졌다. 20 nm 내지 50 nm의 목적하는 두께로, 프로리스(PROLITH) 소프트웨어 V10.0 (KLA-텐코르 코포레이션(Tencor Corporation))을 사용한 컴퓨터 시뮬레이션은, 193 nm에서 n이 1.67 이상이고, k가 0.15 내지 0.3이어서, 반사를 0.5% 미만으로 감소시킨다는 것을 나타내었다. 표 5에 나타낸 바와 같이, 모든 본 발명의 실시예는 필요한 범위 내의 n 값 및 k 값을 갖고, 2개의 비교 실시예는 각각 필요한 것보다 낮은 n 값을 가졌다. 따라서, 본 발명의 실시예는 반사 제어에서 우수한 성능을 제공할 수 있으므로, 우수한 반사방지 층인 반면, 비교 실시예는 이와 관련하여 열등하였다.
<표 5>
Figure 112012076241085-pat00025
수 접촉각의 측정
상기 논의된 바와 같은 단일 층 코팅을 코팅한 지 1시간 이내에 분석하였다. 다타피직스 인스트루먼츠 게엠베하(DATAPHYSICS Instruments GmbH) 모델 OCA20 고니오미터를 모든 접촉각 측정에 대해 사용하였다. 탈이온수를 시험 액으로 사용하였다. 1 마이크로리터의 방울을 각각의 접촉각 측정에 사용하였다. 방울이 단일 층 코팅의 표면 상에 분배된 후, 방울의 움직임을 고니오미터 카메라를 사용하여 3 프레임/초의 최소 속도로 각각의 측정에 대해 최소 10초 동안 기록하였다. 고니오미터 바늘이 영상 영역으로부터 완전히 제거되고, 방울 움직임이 존재하지 않을 때, 제1 방울 영상을 사용하여 접촉각을 측정하였다. 접촉각은 OCA 소프트웨어의 원형 모델을 사용하여 평가되었다. 단일 층 코팅을 가로질러 최소 3회의 별도의 측정을 수행하였다 (단일 층 코팅 당 3개의 방울). 접촉각 측정에 대한 전형적인 표준 편차는 약 0.2도였다.
표 6은 본 발명 및 비교 실시예의 수 접촉각 결과를 요약하였다. 포토리소그래피 공정 동안 우수한 패턴을 얻기 위하여 필름 품질이 중요하였다. 본 발명의 층은, 본 발명의 층의 상부 상에 코팅된 또 다른 층이 존재하는 다중층 구조에 사용될 수 있을 것이다. 본 발명의 층의 표면 에너지가 높은 수 접촉각에 의해 지시된 바와 같이 너무 낮을 경우, 필름이 코팅된 영역을 완전히 커버하지 않을 경우 발생하는 디웨트(dewet)와 같은 결함이 본 발명의 층 상 필름 층에서 나타날 수 있다. 인접한 층의 표면 결함을 최소화하기 위하여, 본 발명의 층의 수 접촉각은 바람직하게는 87° 미만이다. 표 6에 나타낸 바와 같이, 모든 본 발명의 실시예는 비교 실시예의 접촉각보다 작은 수 접촉각을 갖고, 본 발명의 실시예 1 내지 8은 87° 미만의 접촉각을 가졌다. 비교 실시예에서, 비교 실시예 C 및 D는 각각 90°, 93°의 수 접촉각을 가졌다.
<표 6>
Figure 112012076241085-pat00026
이중-층 코팅의 형성
필름을 단일 층 코팅 섹션의 형성에 기재된 바와 같이 코팅하였다. 이어서, ArF PTD 포토레지스트를 스핀 코팅에 의해 코팅된 웨이퍼에 적용하고, 코팅된 웨이퍼를 60초 동안 100℃로 소프트-베이킹시켜 100 nm의 포토레지스트 필름 두께를 얻었다 (테르마-웨이브 분광 타원계 상에서 측정됨). 이중-층에 대한 요약이 표 7에 제공되었다.
<표 7>
Figure 112012076241085-pat00027
이중-층 코팅의 접착 평가
이중-층 코팅이 형성된 후에, 접착 시험을 수행하였다. 접착 시험은 ASTM D3359를 참고로 테이프 떼기를 사용하였다. 먼저, 스카치 테이프 (쓰리엠(3M), MN) 한 조각을 ArF PTD 포토레지스트 코팅 상에 확실하게 밀착시켰다. 부착된 부분의 길이는 1 인치이고, 남아있는 연결 자유 부분은 1 인치 이상이었다. 테이프의 자유 부분을 2개의 손가락으로 잡고, 코팅 표면에 대해 약 270°의 각도로 신속하게 뒤로 떼었다. 테이프를 뗀 후, 코팅의 나머지를 육안으로 검사하고, 테이프로 옮겨간 코팅의 영역을 코팅에 부착된 테이프의 표면적의 백분율로서 평가하였다. "0% 박리"는 우수한 접착성을 나타내는 한편, "100% 박리"는 접착 실패를 나타내었다. 시험을 5회 이상 반복하고, 부착된 코팅의 면적의 평균을 표 8에 나타낸 바와 같이 보고하였다.
패턴 리소그래피를 위한 삼중-층 코팅은 우수한 내부층 접착성을 갖는 것이 요구된다. 접착성이 약할 경우, 리소그래피 동안 층간박리가 일어날 수 있거나, 또는 접착력이 여러가지 유형의 힘, 예컨대 잔여력, 열 응력, 용매 팽윤 응력 및 모세관력보다 작을 경우 패턴 붕괴가 일어날 수 있다. 표 8은, 본 발명의 모든 실시예가 우수한 접착성을 갖는 반면, 비교 실시예가 불량한 내부층 접착성을 갖는다는 것을 보여준다.
<표 8>
Figure 112012076241085-pat00028
삼중-층 코팅의 형성
본 발명의 층을 삼중-층 구조에 사용하여 리소그래피 성능을 시험하였다. 삼중-층의 일반적인 구조를 도 1에 나타내었다.
하부층의 형성
유기 폴리(메타크릴레이트)-기재 하부층 코팅 조성물을 스핀 코팅에 의해 웨이퍼넷, 인크.로부터 이용가능한 "300 mm" 직경 규소 웨이퍼에 적용하고, 코팅된 웨이퍼를 240℃에서 60초 동안 베이킹시켜 135 nm의 필름 두께를 얻었다. 적합한 하부층 코팅 조성물은 다우 케미칼 캄파니로부터 이용가능한 AR26N을 포함하였다.
하부층 상 본 발명의 층의 형성
표 9에 기재된 본 발명의 코팅 조성물을 스핀 코팅에 의해 이전 단계로부터의 하부층-코팅된 웨이퍼에 적용하였다. 각각의 코팅을 240℃에서 60초 동안 베이킹시켜 35 nm의 본 발명의 필름 두께를 얻었다.
삼중-층의 형성
ArF 포토레지스트 (상기 기재된 바와 같은 PTD와 NTD 모두)를 스핀 코팅에 의해 이전 단계로부터의 코팅된 웨이퍼에 적용하고, 코팅된 웨이퍼를 100℃에서 60초 동안 소프트 베이킹시켜 100 nm의 포토레지스트 필름 두께를 얻었다. PTD 포토레지스트 코팅에 탑 코트를 적용하여 "193 nm" 침지 리소그래피 처리에 바람직한 침출 제어를 제공할 수 있다. 적합한 탑 코트는 다우 케미칼 캄파니로부터의 OC2000을 포함하였다.
<표 9>
Figure 112012076241085-pat00029
리소그래피 패턴의 형성
리소그래피 처리
형성된 삼중-층 코팅을 하기 단계에 따라 처리하였다:
1) 노출: 적용된 포토레지스트 층을 ASML 1900i를 사용하여 패턴화 193 nm 방사선에 노출시키고;
2) 후-노출 베이크: 60초 동안 120℃;
3) 현상: 잠상을 0.26 N 수성 알칼리성 현상제로 현상시켜 포지티브 포토레지스트 릴리프상을 제공하거나, 또는 적합한 유기 용매 (예컨대, 다우 케미칼 캄파니로부터의 OSD-1000 유기 용매 현상제)로 현상시켜 네거티브 포토레지스트 릴리프상을 제공하였다.
삼중-층 코팅의 리소그래피 성능 평가
도 2는 2개의 포토레지스트 라인 단면의 개략도를 도시한다. 목적하는 포토레지스트 라인 단면이 도 2a에 도시되어 있다. 단면은 사각형 프로파일을 나타낸다. 바람직하지 않은 단면 프로파일이 도 2b에 도시되어 있다. 바람직하지 않은 단면은 특징부 바닥에서 CD (임계 치수)의 증가를 나타낸다. 이러한 프로파일 결함은 일반적으로 풋팅(footing) 또는 스컴으로 칭해진다.
포토레지스트 성능의 또 다른 측면은 "패턴 붕괴 마진"이다. 매우 작은 선-공간 패턴의 "중단" 또는 붕괴를 방지 또는 감소시키면서, 이러한 작은 선-공간 패턴을 얻을 수 있는 것이 바람직하다. 포토레지스트에 충분한 접착성을 제공하지 않는 하드마스크 조성물은 도 3의 하향식 SEM 영상에 나타난 바와 같이 패턴 붕괴를 초래할 수 있다. 도 4는 정량적인 의미의 패턴 붕괴 마진을 예시한다. 이러한 도면에서의 숫자는 "140 nm 피치 패턴"의 트렌치의 폭이다. 패턴 붕괴 마진이 높을수록, 고정 선의 폭은 더 좁아지므로, 형성될 수 있는 최소 치수에 있어서 리소그래피 성능이 더 우수해진다.
리소그래피 공정 후에, 스컴이 없고, 높은 패턴 붕괴 마진을 갖는 포토레지스트 패턴을 얻는 것이 매우 바람직하다. 표 10은 본 발명 및 비교 실시예의 프로파일 청정도 (스컴의 부재)를 비교하였다. 도 5는, 본 발명의 실시예 16이 스컴이 없는 사각형 프로파일을 갖는다는 것을 나타내는 반면, 도 6에 나타낸 바와 같이 비교 실시예 G의 프로파일에서 스컴이 관찰되었다. 표 11은 본 발명 및 비교 실시예의 패턴 붕괴 마진을 비교하였다. 이 표에 나타낸 바와 같이, 본 발명의 실시예는 우수한 패턴 붕괴 마진을 갖는 반면, 비교 실시예는 완전한 패턴 붕괴를 가졌다.
<표 10>
Figure 112012076241085-pat00030
<표 11>
Figure 112012076241085-pat00031

Claims (15)

  1. A) 비닐트리메톡시실란 또는 비닐트리에톡시실란으로부터 선택된 화합물 F1;
    B) 하기 화학식 2로부터 선택된 화합물 F2:
    <화학식 2>
    Figure 112019035828112-pat00046

    (상기 식에서, Rb는 H, 또는 알킬, 알킬렌 또는 알킬리덴을 포함하는 포화 기로부터 선택되고; R4, R5 및 R6은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨); 및
    C) 하기 화학식 3으로부터 선택된 화합물 F3:
    <화학식 3>
    Figure 112019035828112-pat00047

    (상기 식에서, Rc는 아릴 또는 치환된 아릴, 공액 디엔 또는 공액 트리엔, 공액 디케톤, 공액 케토-에스테르, α,β-불포화 에스테르, α,β-불포화 케톤, 알켄과 함께 니트릴, 케톤과 함께 니트릴, 에스테르와 함께 니트릴, 알켄과 함께 알킨, 케톤과 함께 알킨, 또는 에스테르와 함께 알킨을 포함하고; R7, R8 및 R9는 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨); 및
    D) 하기 화학식 4로부터 선택된 화합물 F4:
    <화학식 4>
    Figure 112019035828112-pat00048

    (상기 식에서, R10, R11, R12 및 R13은 각각 독립적으로 알콕실, 히드록실, 할라이드, OC(O)R 또는 OC(O)OR (여기서, R은 알킬 또는 치환된 알킬임)로부터 선택됨)
    를 적어도 포함하고;
    화합물 F1은 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 10 mol% 초과의 양으로 존재하며;
    화합물 F4는 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 65 mol% 미만의 양으로 존재하는 것인 제1 조성물.
  2. 제1항에 있어서, 화합물 F1, F2, F3 및 F4의 합한 중량을 기준으로 5 중량% 이상의 Si를 포함하는 제1 조성물.
  3. 제1항 또는 제2항에 있어서, 화합물 F2 및 화합물 F4의 몰량의 합이 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 40 mol% 이상인 제1 조성물.
  4. 제1항 또는 제2항에 있어서, 화합물 F4가 화합물 F1, F2, F3 및 F4의 몰의 합을 기준으로 10 mol% 초과의 양으로 존재하는 것인 제1 조성물.
  5. 제1항의 제1 조성물로부터 형성된 예비중합체.
  6. 제5항의 예비중합체, 및 아민-함유 화합물, 할라이드-함유 화합물, 히드로클로라이드, 암모늄-함유 화합물 또는 이들의 혼합물 중 적어도 하나를 포함하는 제2 조성물.
  7. 제6항의 제2 조성물로부터 형성된 가교 조성물.
  8. 제1항 또는 제2항의 제1 조성물로부터 형성된 하나 이상의 성분을 포함하는 물품.
  9. 제1항 또는 제2항의 제1 조성물로부터 형성된 하나 이상의 층을 포함하는 필름.
  10. 제9항에 있어서, 중합체를 포함하는 제3 조성물로부터 형성된 제2 층을 더 포함하는 필름.
  11. 기판을 제공하는 단계,
    기판 상에 하나 이상의 중합체를 포함하는 하부층을 형성하는 단계,
    하부층 상에 제1항의 제1 조성물 또는 제6항의 제2 조성물을 적용하는 단계, 및
    제1 조성물 또는 제2 조성물을 경화시켜 코팅을 형성하는 단계
    를 적어도 포함하는, 기판 상에 코팅을 형성하는 방법.
  12. 제11항에 있어서, 제1 조성물 또는 제2 조성물의 다중층을 하부층 상에 적용하는 방법.
  13. 제11항에 있어서, 코팅이 반사방지 층인 방법.
  14. 삭제
  15. 삭제
KR1020120104391A 2011-09-21 2012-09-20 포토리소그래피용 조성물 및 반사방지 코팅 KR102066468B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161537098P 2011-09-21 2011-09-21
US61/537,098 2011-09-21

Publications (2)

Publication Number Publication Date
KR20130031798A KR20130031798A (ko) 2013-03-29
KR102066468B1 true KR102066468B1 (ko) 2020-01-16

Family

ID=47018789

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120104391A KR102066468B1 (ko) 2011-09-21 2012-09-20 포토리소그래피용 조성물 및 반사방지 코팅

Country Status (6)

Country Link
US (1) US9011591B2 (ko)
EP (1) EP2597518B1 (ko)
JP (1) JP6006594B2 (ko)
KR (1) KR102066468B1 (ko)
CN (1) CN103031059B (ko)
TW (1) TWI641912B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11789364B2 (en) 2020-12-30 2023-10-17 Semes Co., Ltd. Apparatus for treating substrate and method for treating substrate

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9366964B2 (en) 2011-09-21 2016-06-14 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
US9068086B2 (en) 2011-12-21 2015-06-30 Dow Global Technologies Llc Compositions for antireflective coatings
JP6510161B2 (ja) * 2011-12-21 2019-05-08 ダウ グローバル テクノロジーズ エルエルシー 反射防止被膜用の組成物
JP2014202969A (ja) * 2013-04-05 2014-10-27 富士フイルム株式会社 パターン形成方法、電子デバイス及びその製造方法
US9123656B1 (en) 2014-05-13 2015-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Organosilicate polymer mandrel for self-aligned double patterning process
JP6803842B2 (ja) * 2015-04-13 2020-12-23 ハネウェル・インターナショナル・インコーポレーテッドHoneywell International Inc. オプトエレクトロニクス用途のためのポリシロキサン製剤及びコーティング
US10558119B2 (en) * 2015-05-25 2020-02-11 Nissan Chemical Industries, Ltd. Composition for coating resist pattern
US9442377B1 (en) 2015-06-15 2016-09-13 Rohm And Haas Electronic Materials Llc Wet-strippable silicon-containing antireflectant
JP2017097240A (ja) * 2015-11-26 2017-06-01 Jsr株式会社 ケイ素含有膜形成用材料及びパターン形成方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007226170A (ja) 2006-01-27 2007-09-06 Shin Etsu Chem Co Ltd 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
FR2525846A1 (fr) 1982-04-22 1983-10-28 Boga Sa Procede et appareil de selection des points de connexion d'un repartiteur telephonique
EP0100891A1 (de) 1982-08-17 1984-02-22 Contraves Ag Verfahren und Vorrichtung zur Korrektur von Koinzidenzfehlern beim Zählen von Teilchen zweier Sorten
DE3565013D1 (en) 1984-02-10 1988-10-20 Ciba Geigy Ag Process for the preparation of a protection layer or a relief pattern
DK241885A (da) 1984-06-01 1985-12-02 Rohm & Haas Fotosensible belaegningssammensaetninger, termisk stabile belaegninger fremstillet deraf og anvendelse af saadanne belaegninger til dannelse af termisk stabile polymerbilleder
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5100503A (en) 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
EP0605089B1 (en) 1992-11-03 1999-01-07 International Business Machines Corporation Photoresist composition
US5344742A (en) 1993-04-21 1994-09-06 Shipley Company Inc. Benzyl-substituted photoactive compounds and photoresist compositions comprising same
DE19515540A1 (de) 1995-04-27 1996-10-31 Wacker Chemie Gmbh Stabilisierung von reaktiven Organopolysiloxanharzen
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US5861231A (en) 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US6090526A (en) 1996-09-13 2000-07-18 Shipley Company, L.L.C. Polymers and photoresist compositions
KR100220951B1 (ko) 1996-12-20 1999-09-15 김영환 비닐 4-테트라히드로피라닐옥시벤잘-비닐 4-히드록시벤잘-비닐 테트라히드로피라닐에테르-비닐 아세테이트 공중합체, 비닐 4-테트라히드로피라닐옥시벤잘-비닐 테트라히드로피라닐에테르-비닐 아세테이트 공중합체 및 그들의 제조방법
US6057083A (en) 1997-11-04 2000-05-02 Shipley Company, L.L.C. Polymers and photoresist compositions
IL141803A0 (en) 1998-09-23 2002-03-10 Du Pont Photoresists, polymers and processes for microlithography
KR20000047909A (ko) 1998-12-10 2000-07-25 마티네즈 길러모 이타콘산 무수물 중합체 및 이를 함유하는 포토레지스트조성물
US6048662A (en) 1998-12-15 2000-04-11 Bruhnke; John D. Antireflective coatings comprising poly(oxyalkylene) colorants
US6048664A (en) 1999-03-12 2000-04-11 Lucent Technologies, Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
NZ520879A (en) * 2000-02-28 2004-08-27 Adsil Lc Silane-based, coating compositions, coated articles obtained therefrom and methods of using same
US6306554B1 (en) 2000-05-09 2001-10-23 Shipley Company, L.L.C. Polymers containing oxygen and sulfur alicyclic units and photoresist compositions comprising same
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
US7303785B2 (en) 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7223517B2 (en) 2003-08-05 2007-05-29 International Business Machines Corporation Lithographic antireflective hardmask compositions and uses thereof
JP4491283B2 (ja) 2004-06-10 2010-06-30 信越化学工業株式会社 反射防止膜形成用組成物を用いたパターン形成方法
JP4553113B2 (ja) * 2004-06-10 2010-09-29 信越化学工業株式会社 多孔質膜形成用組成物、パターン形成方法、及び多孔質犠性膜
US20070212886A1 (en) * 2006-03-13 2007-09-13 Dong Seon Uh Organosilane polymers, hardmask compositions including the same and methods of producing semiconductor devices using organosilane hardmask compositions
JP5112733B2 (ja) 2006-04-11 2013-01-09 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. フォトリソグラフィ用コーティング組成物
CN101523292B (zh) * 2006-10-12 2013-04-10 日产化学工业株式会社 利用4层系叠层体进行的半导体器件的制造方法
US7736837B2 (en) 2007-02-20 2010-06-15 Az Electronic Materials Usa Corp. Antireflective coating composition based on silicon polymer
US8026040B2 (en) * 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
TWI434891B (zh) * 2007-02-22 2014-04-21 Silecs Oy 積體電路用高矽含量矽氧烷聚合物
CN101802713A (zh) 2007-10-01 2010-08-11 日产化学工业株式会社 形成抗蚀剂下层膜的组合物、使用该组合物的半导体装置的制造方法以及形成抗蚀剂下层膜的组合物用添加剂
EP2071400A1 (en) 2007-11-12 2009-06-17 Rohm and Haas Electronic Materials LLC Coating compositions for use with an overcoated photoresist
CN101878451B (zh) * 2007-11-30 2013-04-24 日产化学工业株式会社 具有封端异氰酸酯基且含有硅的形成抗蚀剂下层膜的组合物
KR20100126295A (ko) 2008-01-08 2010-12-01 다우 코닝 도레이 캄파니 리미티드 실세스퀴옥산 수지
JP5365809B2 (ja) * 2008-02-18 2013-12-11 日産化学工業株式会社 環状アミノ基を有するシリコン含有レジスト下層膜形成組成物
KR101013134B1 (ko) * 2008-06-10 2011-02-10 삼성전자주식회사 슬라이딩 개폐 가능한 모듈 및 이를 구비하는 휴대 단말기
JP5015891B2 (ja) 2008-10-02 2012-08-29 信越化学工業株式会社 金属酸化物含有膜形成用組成物、金属酸化物含有膜形成基板及びパターン形成方法
CN102257435B (zh) * 2008-12-19 2014-01-22 日产化学工业株式会社 含有具有阴离子基的硅的抗蚀剂下层膜形成用组合物
KR20110118697A (ko) * 2009-01-28 2011-10-31 제이에스알 가부시끼가이샤 규소 함유막, 수지 조성물 및 패턴 형성 방법
JP4941684B2 (ja) * 2009-03-27 2012-05-30 信越化学工業株式会社 フォトマスクブランク及びその加工方法
KR101749601B1 (ko) * 2009-09-16 2017-06-21 닛산 가가쿠 고교 가부시키 가이샤 설폰아미드기를 가지는 실리콘 함유 레지스트 하층막 형성 조성물

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007226170A (ja) 2006-01-27 2007-09-06 Shin Etsu Chem Co Ltd 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11789364B2 (en) 2020-12-30 2023-10-17 Semes Co., Ltd. Apparatus for treating substrate and method for treating substrate

Also Published As

Publication number Publication date
EP2597518A2 (en) 2013-05-29
TW201317716A (zh) 2013-05-01
US9011591B2 (en) 2015-04-21
CN103031059B (zh) 2017-09-26
EP2597518B1 (en) 2016-12-07
US20130071560A1 (en) 2013-03-21
JP2013067798A (ja) 2013-04-18
EP2597518A3 (en) 2015-07-01
KR20130031798A (ko) 2013-03-29
CN103031059A (zh) 2013-04-10
TWI641912B (zh) 2018-11-21
JP6006594B2 (ja) 2016-10-12

Similar Documents

Publication Publication Date Title
KR102066468B1 (ko) 포토리소그래피용 조성물 및 반사방지 코팅
US9068086B2 (en) Compositions for antireflective coatings
US8911927B2 (en) Compositions and processes for immersion lithography
TWI417321B (zh) A silicon compound-containing polymer compound and a photohardenable resin composition and a method for forming a pattern and a substrate for protecting a substrate circuit
KR20070026104A (ko) 반사방지 하드 마스크 조성물
JP2011510133A (ja) シルセスキオキサン樹脂
CN111148805B (zh) 正型感光性硅氧烷组合物以及使用了其的固化膜
KR101957746B1 (ko) 디바이스 제조에 사용하기 위한 광-패턴화가능하고 현상가능한 실세스퀴옥산 수지
KR101922731B1 (ko) 포토리소그래피용 조성물 및 반사방지 코팅
JP7386860B2 (ja) アクリル重合化ポリシロキサン、これを含んでなる組成物、およびこれを用いた硬化膜
TWI795478B (zh) 聚矽氧烷、包含其而成之組成物、及使用其之硬化膜、以及硬化膜之製造方法
JP2018066011A (ja) 反射防止被膜用の組成物
TW201934618A (zh) 感光性矽氧烷組成物、及使用其之圖案形成方法
CN113631672B (zh) 正型光敏聚硅氧烷组合物
JP2021155467A (ja) 樹脂、感光性樹脂組成物、硬化物、有機el表示装置、半導体装置および硬化物の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right