KR101883583B1 - 기판 처리 장치, 천장부 및 반도체 장치의 제조 방법 - Google Patents

기판 처리 장치, 천장부 및 반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR101883583B1
KR101883583B1 KR1020167024712A KR20167024712A KR101883583B1 KR 101883583 B1 KR101883583 B1 KR 101883583B1 KR 1020167024712 A KR1020167024712 A KR 1020167024712A KR 20167024712 A KR20167024712 A KR 20167024712A KR 101883583 B1 KR101883583 B1 KR 101883583B1
Authority
KR
South Korea
Prior art keywords
cooling medium
outside air
reaction tube
intake
flow path
Prior art date
Application number
KR1020167024712A
Other languages
English (en)
Other versions
KR20160118349A (ko
Inventor
모토야 다케와키
데츠야 고스기
마사아키 우에노
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20160118349A publication Critical patent/KR20160118349A/ko
Application granted granted Critical
Publication of KR101883583B1 publication Critical patent/KR101883583B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Abstract

기판 면 내의 온도 균일성을 향상시키면서 노 내 온도를 신속히 저하시킨다. 기판을 처리하는 반응관과, 반응관의 외부에 배치되고, 반응관 내를 가열하는 가열부와, 가열부의 외부에 배치된 단열부와, 단열부에 복수 설치되고, 외기 또는 냉각 매체를 유통시키는 유로와, 단열부의 상면을 덮는 천장부를 갖고, 천장부는, 유로에 연통되고, 외기 또는 냉각 매체를 유로 내에 공급하는 공급구가 형성된 제1 부재와, 제1 부재 상에 배치되고, 제1 부재와의 사이에 외기 또는 냉각 매체를 흐르게 하는 공간이 형성되며, 공간을 적어도 2개의 공간으로 분할하는 구획부가 형성된 제2 부재를 갖는다.

Description

기판 처리 장치, 천장부 및 반도체 장치의 제조 방법 {SUBSTRATE TREATMENT DEVICE, CEILING PART, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE}
본 발명은 기판 처리 장치, 천장부 및 반도체 장치의 제조 방법에 관한 것이다.
기판 처리 장치의 일례로서 반도체 제조 장치가 있으며, 또한 반도체 제조 장치의 일례로서 종형 장치가 알려져 있다.
이 종형 장치에 있어서, 반도체, 유리 등의 기판에 가열 하에서 처리를 실시하는 것이 행해진다. 예를 들어 종형의 반응관 내에 기판을 수용하고, 반응 가스를 공급하면서 가열하여 기판 상에 박막을 기상 성장시킨다. 이러한 종류의 반도체 제조 장치에 있어서, 가열 냉각 장치인 발열부를 냉각하고 열을 장치 본체 외부로 배출시킬 필요가 있다.
특허문헌 1은, 통형의 단열체와 해당 단열체의 내주면에 배치된 발열선으로 구성되는 발열부와, 해당 발열부에 대하여 원통 공간을 형성하도록 설치된 단열부와, 발열부를 둘러싸도록 단열부의 상방측에 설치되고, 원통 공간에 접속된 냉각 가스 도입부와, 냉각 가스 도입부의 대략 중앙부로부터 직경 방향을 향하여 냉각 가스 도입부와 대략 동일한 높이에 설치된 냉각 가스 배출부를 갖는 기판 처리 장치를 개시한다.
일본 특허 공개 제2012-33871호 공보
그러나 상술한 기판 처리 장치에서는, 온도 안정 시에 버퍼 에리어나 유로 내부에서 대류가 발생하여, 흡기부에 가까운 장치 배면측(후방측)의 기판 면 내 온도가 낮아지는 경향이 있으며, 기판이 국소적으로 냉각되어 기판 면 내의 온도 균일성을 유지하는 것이 곤란하였다.
본 발명의 목적은, 기판 면 내의 온도 균일성을 향상시키면서 노 내 온도를 신속히 저하시킬 수 있는 기술을 제공하는 데 있다.
본 발명의 일 형태에 의하면, 기판을 처리하는 반응관과, 상기 반응관의 외부에 배치되고, 상기 반응관 내를 가열하는 가열부와, 상기 가열부의 외부에 배치된 단열부와, 상기 단열부에 복수 설치되고, 외기 또는 냉각 매체를 유통시키는 유로와, 상기 단열부의 상면을 덮는 천장부를 갖고, 상기 천장부는, 상기 유로에 연통되고, 상기 외기 또는 냉각 매체를 상기 유로 내에 공급하는 공급구가 형성된 제1 부재와, 상기 제1 부재 상에 배치되고, 상기 제1 부재와의 사이에 상기 외기 또는 냉각 매체를 흐르게 하는 공간이 형성되며, 상기 공간을 적어도 2개의 공간으로 분할하는 구획부가 형성된 제2 부재를 갖는 기술이 제공된다.
본 발명에 따르면, 기판 면 내의 온도 균일성을 향상시키면서 노 내 온도를 신속히 저하시킬 수 있다.
도 1은 본 발명의 일 실시 형태에서 적절히 사용되는 기판 처리 장치(10)를 도시하는 종단면도이다.
도 2는 도 1에 도시하는 기판 처리 장치(10)의 A-A선 단면도이다.
도 3은 본 발명의 일 실시 형태에서 적절히 사용되는 기판 처리 장치(10)에 사용되는 흡기 기구(82)를 도시하며, (a)는 정면도이고, (b)는 측면도이며, (c)는 저면도이다.
도 4는 본 발명의 일 실시 형태에서 적절히 사용되는 천장부(28)를 도시하며, (a)는 상부판(60)을 도시하고, (b)는 중간판(56)을 도시하며, (c)는 구획판(58)을 도시하고, (d)는 하부판(54)을 도시하는 사시도이다.
도 5는 본 발명의 일 실시 형태에서 적절히 사용되는 기판 처리 장치(10)의 천장부(28) 주변의 사시도이다.
도 6은 본 발명의 일 실시 형태에서 적절히 사용되는 기판 처리 장치(10)의 하단부(70) 주변을 도시하는 도면이다.
도 7은 도 3에 도시하는 흡기 기구(82) 내의 에어 플로우를 도시하는 도면이다.
도 8은 본 발명의 일 실시 형태에서 적절히 사용되는 기판 처리 장치(10)에 사용되는 댐퍼(46)의 동작을 도시하는 도면이다.
도 9는 도 3에 도시하는 흡기 기구(82)를 천장부(28)에 설치한 상태를 도시하는 도면이며, (a)는 사시도, (b)는 상면도이다.
도 10은 본 발명의 다른 실시 형태에 따른 기판 처리 장치(10)에 사용되는 흡기 기구(90)를 도시하며, (a)는 정면도이고, (b)는 측면도이다.
도 11은 도 10에 도시하는 흡기 기구(90) 내의 에어 플로우를 도시하는 도면이다.
도 12는 본 발명의 비교예에 따른 천장부(280) 주변을 도시하며, (a)는 사시도이고, (b)는 (a)의 정면도로서, 냉각 매체의 흐름을 설명하기 위한 도면이다.
도 13은 비교예에 따른 천장부(280)를 사용하여 냉각했을 경우의 기판의 온도 분포를 나타내는 도면이다.
도면을 참조하여, 본 발명의 실시 형태에 따른 기판 처리 장치(10)에 대하여 설명한다.
본 발명이 적용되는 실시 형태에 있어서, 기판 처리 장치는, 일례로서, 반도체 장치(IC 등)의 제조에 있어서의 기판 처리를 실시하는 반도체 제조 장치로서 구성되어 있다. 또한 이하의 설명에서는, 기판 처리 장치로서, 기판에 산화, 확산 처리나 CVD 처리 등을 행하는 종형의 장치(이하, 간단히 처리 장치라 함)를 적용했을 경우에 대하여 설명한다.
도 1에 도시한 바와 같이, 본 실시 형태에 따른 기판 처리 장치(10)는, 도 1에 있어서는 도시하지 않은 하우징(11)과, 처리실(24)을 형성하는 원통형의 반응관(16)과, 반응관(16)의 외부에 설치되고, 반응관(16) 내를 가열하는 가열부로서, 저항 가열 히터 등으로 구성되는 발열부(히터)(30)와, 발열부(30)와 반응관(16) 사이의 공간인 공간(14)과, 반응관(16) 내에 처리 대상의 기판(웨이퍼)(18)을 보유 지지하는 기판 보유 지지구로서의 보트(20)를 구비하고 있다. 보트(20)는, 기판(18)을 수평 상태에서 간극을 갖고 다단으로 장전할 수 있으며, 이 상태에서 복수 매의 기판(18)을 반응관(16) 내에서 보유 지지한다. 보트(20)는 시일 캡(22)을 개재하여 엘리베이터(40) 상에 적재되어 있으며, 이 엘리베이터(40)에 의하여 승강 가능하게 되어 있다. 따라서 기판(18)의 반응관(16) 내에의 장전 및 반응관(16) 내로부터의 취출은 엘리베이터(40)의 작동에 의하여 행해진다. 또한 반응관(16)은, 기판(18)을 수용하고 처리하는 처리실(24)을 형성하고 있다.
반응관(16)에는 가스 도입관(25)이 연통되며, 가스 도입관(25)에는 도시하지 않은 반응 가스 공급원 및 불활성 가스 공급원이 접속되어 있다. 또한 가스 도입관(25)에는, 처리실(24) 내에 반응 가스 및 불활성 가스를 공급하는 가스 공급공(31)이 복수 형성된 가스 노즐(27)이 접속되어 있다. 또한 반응관(16)의 하단부에는 가스 배기관(29)이 접속되어 있으며, 처리실(24) 내의 배기를 행한다.
발열부(30)의 외부에는, 반응관(16) 및 발열부(30)를 냉각하는 냉각 기구로서의 중공의 단열부(26)가 배치되어 있다. 단열부(26)는, 예를 들어 복수의 단열체가 적층된 구조의 단열 구조체이다. 단열부(26)의 상면은 천장부(28)에 의하여 덮여 있다. 여기서, 천장부(28)를 냉각 기구에 포함시켜 생각해도 된다. 또한 발열부(30), 단열부(26) 및 천장부(28)에 의하여 반응관(16)을 가열 및 냉각하는 가열 냉각 장치(12)가 구성된다고 생각해도 된다.
단열부(26)에는, 공간(14)을 둘러싸도록 대략 연직 방향으로 연장되어, 외기 또는 냉각 매체가 흐르는 단열부 내 유로로서의 유로(32)가 형성되어 있다. 냉각 매체로서는, 예를 들어 불활성 가스를 사용해도 된다. 도 2에 도시한 바와 같이, 유로(32)는 평면에서 보아 가로로 긴 형상이며, 원주 방향으로 균등하게 복수 형성되어 있다.
또한 유로(32)에는 분출공(35)이 소요의 분포로 복수 형성되어 있으며, 도 1에 도시한 바와 같이 유로(32)와 공간(14)은 대략 수평으로 연통되어 있다. 즉, 유로(32)로부터 공간(14)으로 분출공(35)을 통하여 외기 또는 냉각 매체를 분출하도록 구성되어 있다. 또한 분출공(35)은, 도 1에서는 수평 방향으로 형성되어 있지만, 이 형태에 한정되지 않는다. 예를 들어 후술하는 배기구(36)를 향하도록 비스듬히 상방을 향하여 경사지게 해도 된다.
천장부(28)의 측면에는, 공간(14) 내의 분위기를 장치 외부로 배출하는 배기부(38)가 설치되어 있다. 배기부(38)는, 천장부(28)의 대략 중심에 형성된 배기구(36)에 배기로(66)를 통하여 연통되어 있다. 또한 도 9에 도시한 바와 같이, 이 배기부(38)와 대략 동일한 높이에, 외기 또는 냉각 매체를 천장부(28) 및 유로(32)를 통하여 공간(14)에 흡기시키는 흡기 기구(82)(도 3 참조)가 배기부(38)를 사이에 두고 좌우에 1개씩 설치된다. 즉, 배기부(38)를 사이에 두고 1쌍의 흡기 기구(82)가 설치되어 있다. 흡기 기구(82)는 후술하는 버퍼 에리어(52)와 연통되어 설치된다. 여기서, 도 9에서는, 천장부(28) 및 단열부(26)를 덮는 패널을 설치한 상태를 도시하고 있다.
배기부(38)는, 배기구(36)에 연통되어 접속되는 배기관(44)과, 배기관(44)과 후술하는 배기관(76)에 접속되고, 배기 경로의 전환을 행하는 전환부로서의 댐퍼(46)와, 방열 장치로서의 라디에이터(48)와, 외기 또는 냉각 매체의 배기 유량을 조정하는 조정부로서의 배기 팬(50)으로 구성된다. 이들 배기관(44), 댐퍼(46), 라디에이터(48) 및 배기 팬(50)을 통하여, 공간(14) 내의 뜨거워진 분위기가 장치 외부로 배출된다. 배기부(38)는 장치 배면측(후방측)에 형성되어 있다.
도 3에 도시한 바와 같이, 흡기 기구(82)는, 버퍼 에리어(52)에 접속되는 흡기구(83)를 갖는 흡기부(84)와, 흡기부(84)를 향하여 외기 또는 냉각 매체를 도입하는 도입부(85)와, 외기 또는 냉각 매체를 취입하는 취입구(80)를 갖는 취입부(87)를 갖는다. 여기서는, 도입부(85)는 흡기부에 대향하여 설치되며, 취입부는 흡기부에 대향하고 도입부(85)와 인접하도록 배치되어 있다. 즉, 도 3의 (c)에 도시한 바와 같이, 도입부(85)는 흡기부(84) 및 취입부(87)에 인접하여, 각각의 배치 관계가 평면에서 보아 L자형으로 되도록 배치되어 있다. 흡기부(84)와 도입부(85)와 취입부(87)는 대략 동일한 높이에 설치되어 있다. 또한 도 7에 도시한 바와 같이, 흡기부(84)와 도입부(85)와 취입부(87)는 하우징(11) 내부에 위치하고 있으며, 취입부(87)의 취입구(80)와 도입부(85)의 후술하는 실린더(88)가 접속되어 있는 면(배면)이 하우징으로부터 노출되어 있다. 즉, 흡기부(84)는, 하우징에 개구된 부분으로부터 끼워 넣도록 설치되어 있다.
흡기부(84)는, 도 3의 (c)에 도시한 바와 같이, 천장부(28)의 측면과 동일한 곡률의 곡면형의 흡기구(83)를 가지며, 이 흡기구(83)가 버퍼 에리어(52)에 접속된다. 즉, 흡기구(83)는, 평면에서 보아 삼각형의 경사면 부분이 소정의 곡률을 갖는 곡선으로 형성된 형상이다. 또한 흡기부(84)와 도입부(85)의 접속 부분의 일단은, 흡기구(83)와 접속하도록 구성되어 있다.
도 3의 (a), (c)에 도시한 바와 같이, 도입부(85) 내에는, 흡기구(83)와 대향하는 측에, 흡기부(84)와 도입부(85) 또는 취입부(87)를 차단하는 개폐부(86)와, 이 개폐부(86)를 구동시키는 구동부로서의 실린더(88)가 설치된다. 개폐부(86)와 실린더(88)에 의하여 개폐 기구가 구성된다. 실린더(88)를 흡기부(84)측으로 이동시키면, 개폐부(86)에 의하여 흡기구(83)가 닫혀져 천장부(28) 내에의 외기 또는 냉각 매체의 공급이 차단된다. 여기서는, 개폐부(86)는, 흡기부(84)와 도입부(85)의 경계면을 닫음으로써 흡기부(84) 내에 폐쇄 공간을 형성시킴으로써, 흡기구(83)를 닫도록 구성되어 있다. 이때, 흡기부(84)의 부피인 폐쇄 공간의 부피는 도입부(85)의 부피보다도 작게 되어 있다. 즉, 개폐부(86)를 천장부(28)에 가까운 위치에서 폐쇄함으로써, 개폐부(86)를 닫았을 때의 흡기부 내의 폐쇄 공간의 부피를 작게 할 수 있다. 이와 같이, 폐쇄 공간의 부피를 작게 함으로써, 폐쇄 공간 내에서 차가워지는 외기 또는 냉각 매체의 부피를 작게 할 수 있기 때문에, 천장부(28)나 단열부(26)와 흡기부(84)(폐쇄 공간) 내와의 분위기의 온도 차를 작게 할 수 있다.
취입부(87)는, 흡기부(84)와 도입부(85)와의 인접면에 대하여 대략 수직인 면에 설치되며, 흡기부(84)에 대하여 경사진 위치에 배치되어 있다. 또한 흡기부(84)와 도입부(85)와의 인접면과 대략 병행하게, 또한 개폐부(86)와 대략 동일한 높이에 취입구(80)가 형성된다. 또한 도 3의 (c)에 도시한 바와 같이, 취입부(87)의 취입구(80)에 대향하는 면은, 취입구(80)에 대하여 경사지게 형성되어 있다. 즉, 흡기구(83)를 향하여 단면적이 점차 커지도록 형성되어 있다. 이러한 구성으로 함으로써, 취입구(80)로부터 도입된 외기 또는 냉각 매체가 흡기 기구(82) 내에 체류하지 않아, 취입구(80)로부터 흡기구(83)로의 막힘 없는 흐름을 형성할 수 있다.
도 1에 도시한 바와 같이, 천장부(28)에는, 냉각 매체가 흐르는 공간인 버퍼 에리어(52)가 형성되어 있다. 버퍼 에리어(52)는 유로(32)의 상단과 흡기 기구(82)에 연통되어 있다. 버퍼 에리어(52)는 유로(32)의 단면적보다도 넓게 형성되며, 발열부(30)의 상부를 둘러싸도록 형성되어 있다. 또한 버퍼 에리어(52)는 상부 공간(52a)과 하부 공간(52b)으로 분할되어 형성되어 있다. 또한 도 5에 도시한 바와 같이, 하부 공간(52b)은, 장치 배면측(후방측)의 버퍼 에리어(52b-1)와 장치 정면측(전방측)의 버퍼 에리어(52b-2)로 분할되어 설치되어 있다.
도 4에 도시한 바와 같이, 천장부(28)는 복수의 부재(판체(板體))에 의하여 구성된다.
천장부(28)는 아래로부터 순서대로, 천장부(28)의 하단부로 되는 제1 부재(제1 판)로서의 하부판(54)과, 하부판(54) 상에 설치된 제2 부재(제2 판)로서의 구획판(58)과, 구획판(58) 상에 설치된 제3 부재(제3 판)로서의 중간판(56)과, 중간판(56) 상에 설치되고, 천장부(28)의 상단부로 되는 제4 부재(제4 판)로서의 상부판(60)으로 구성된다.
하부판(54)은 원판 형상이며, 그 중심에는, 공간(14) 내의 분위기를 장치 외부로 배기하는 배기구(36)가 형성되어 있다. 또한 배기구(36) 주위에는, 유로(32)에 연통되고, 유로(32)에 외기 또는 냉각 매체를 공급하는 공급구(62)가 복수 형성되어 있다. 하부판(54)에는 홈(64)이 복수 형성되어 있으며, 이 홈(64)에 의하여 열에 기인하는 하부판(54)의 파손이 방지된다. 홈(64) 대신 공간(14)측으로 개구된 슬릿을 형성해도 된다. 여기서, 하부판(54)은 일체로 형성되어도, 2개 이상으로 분할되어 형성되어 있어도 된다.
중간판(56)은 배기구(36)와 대략 수직으로 연통되며, 배기관(44)과의 접속부를 향하여 대략 수평(직경 방향)으로 파인 배기로(66)를 갖는다. 또한 중간판(56)은, 반경 R1의 부분(대직경 부분)과 반경 R1보다 작은 반경 R2의 부분(소직경 부분)을 갖는 원판 형상이다. 소직경 부분은, 평면에서 보아 배기로(66)의 중심선을 대칭으로 하여 좌우에 1개소씩 형성되어 있다. 이와 같은 구성에 의하여, 중간판(56)의 소직경 부분의 주위에, 중간판(56)의 두께와 동등한 높이 h1의 공간인 상부 공간(52a)이 형성된다.
상부판(60)은 반경 R1의 원판 형상이다.
구획판(58)은 하부판(54)과 중간판(56) 사이에 설치된다. 구획판(58)은, 반경 R1의 부분(대직경 부분)과 반경 R1보다 작은 반경 R2의 부분(소직경 부분)을 갖는 원판 형상이며, 대직경 부분의 하면의 외부를 따라 폭 d(R1-R2)의 홈과, 구획부(67)을 갖는 형상이다.
구획판(58)의 중심에는 배기구(36)가 형성되어 있다. 또한 상면에 배기로(66)를 따른 형상의 홈(60)이 형성되어 있으며, 배기로(66)의 하면을 구성하고 있다.
이러한 구성으로 함으로써, 구획판(58)의 소직경 부분의 주위에는, 구획판(58)의 두께와 동등한 높이 h1의 공간인 버퍼 에리어(52b-2)가 형성되고, 대직경 부분의 하측에는, 높이 h1보다 낮은 높이 h2의 공간인 버퍼 에리어(52b-1)가 형성된다.
구획부(67)는, 대직경 부분과 소직경 부분의 경계 부분의 하면에 형성된 구획부(67a)와, 평면에서 보아 홈(60)의 중심선을 따라 하면에 형성된 구획부(67b)로 구성된다. 구획부(67a)에 의하여 하부 공간(52b)은 후방측의 버퍼 에리어(52b-1)와 전방측의 버퍼 에리어(52b-2)로 분할된다. 또한 구획부(67b)에 의하여 버퍼 에리어(52)가 좌우로 분리되며, 1쌍의 흡기 기구(82)로부터 각각의 버퍼 에리어(52)에 대하여 외기 또는 냉각 매체가 공급된다.
구획판(58)의 소직경 부분과 중간판(56)의 소직경 부분은, 적어도 일부분이 중첩되도록 형성되어 있다. 이러한 구성으로 함으로써, 버퍼 에리어(52a)와 버퍼 에리어(52b-2)를 연통시킬 수 있으며, 흡기 기구(82)로부터 외기 또는 냉각 매체를 버퍼 에리어(52b-2)에 공급시킬 수 있다.
도 5에 도시한 바와 같이, 후방측(배기부(38)측)의 유로(32)는 버퍼 에리어(52b-1)에 연통되고, 전방측(배기부(38)가 대향하는 측)의 유로(32)는 버퍼 에리어(52b-2)에 연통되어 있다.
상술한 바와 같이, 버퍼 에리어(52a) 및 버퍼 에리어(52b-2)의 높이 h1은, 버퍼 에리어(52b-1)의 높이 h2보다도 높게 되어 있다. 이러한 구성으로 함으로써, 버퍼 에리어(52a) 및 버퍼 에리어(52b-2)에의 외기 또는 냉각 매체의 공급 밸런스를 조정할 수 있다. 즉, 버퍼 에리어(52a) 및 버퍼 에리어(52b-2)의 높이 h1을 버퍼 에리어(52b-1)의 높이 h2보다도 높게 함으로써, 흡기구(83)로부터 거리가 먼 전방측의 유로(32)에도 충분한 양의 외기 또는 냉각 매체를 공급할 수 있다.
도 6에 도시한 바와 같이, 단열부(26) 내에 대략 수직으로 형성된 유로(32)는, 이 유로(32)의 최하단으로부터 둘레 방향으로 형성된 하부 유로로서의 유로(72)와 연통되어 있다. 유로(72)는 발열체(30)의 외부에 동심원형으로 형성된다.
또한 도 1에 도시한 바와 같이, 댐퍼(46)는, 대략 수평으로 배기관(44)이 접속되고, 이 배기관(44)보다 폭이 넓은 댐퍼 케이스(47)를 갖고 있다. 유로(32)의 하단부(70)와 댐퍼 케이스(47)의 하면은 배기관(76)으로 접속되어 있다. 하단부(70)의 배기관(76)과의 접속 부분에는 도시하지 않은 체크 밸브가 설치되어 있으며, 외기 또는 냉각 매체가 하단부(70)로부터 배기관(76)을 향하는 흐름일 때만 체크 밸브가 해방되어 유로가 형성되도록 되어 있다. 댐퍼 케이스(47)의 배기관(76)과의 접속부에는, 중앙에 배기관(76)을 접속하는 구멍이 형성된 플레이트(78)가 설치된다. 여기서, 도 1에 있어서는, 구성을 알기 쉽게 하기 위하여 배기관(76)을 단열부(26)의 외측에 설치하여 도시하고 있다.
댐퍼 케이스(47)의 내부이며, 배기관(44)으로부터 배기되는 유로에는 전환부(74)가 설치되고, 전환부(74)의 동작에 의하여 배기관(44)으로부터의 배기 유량의 조정이나 배기 경로의 전환을 행한다. 본 실시 형태에 있어서는, 배기 유량의 조정은 배기 팬(50)의 회전수를 제어함으로써 행하지만, 전환부(74)의 전환 각도를 조정함으로써 행해도 된다.
제어부(180)는 도시하지 않은 조작부나 입출력부를 구비하며, 기판 처리 장치(10)의 각 구성부와 전기적으로 접속되어 있어, 기판 처리 장치(10)의 각 구성부를 제어한다. 제어부(180)는, 성막 등의 프로세스의 제어 시퀀스를 시간축에서 나타낸 레시피에 기초한 온도 제어나 압력 제어, 유량 제어 및 기계 구동 제어를 명령한다.
다음으로, 상술한 기판 처리 장치를 사용하여, 반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 기판 상에 박막을 형성하는 방법에 대하여 설명한다. 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의하여 제어된다.
먼저, 엘리베이터(40)를 작동시켜, 기판(18)을 보유 지지하는 보트(20)를 반응관(16) 내로 반입한다. 다음으로, 발열부(30)를 발열시켜 반응관(16)을 가열하고, 가스 도입관(25)을 통하여 반응관(16) 내에 반응 가스를 도입함과 함께, 가스 배기관(29)을 통하여 반응관(16) 내를 배기함으로써, 기판(18)의 표면에 박막을 형성한다.
박막의 형성(성막 처리)이 종료되면, 가스 배기관(29)으로부터의 배기를 속행한 상태에서 발열부(30)에 의한 가열을 정지시키고, 반응관(16) 내의 뜨거워진 분위기를 외부로 배출함으로써, 반응관(16) 내의 온도를 저하시킨다. 이때, 가스 도입관(25)으로부터 불활성 가스를 도입해도 된다.
그리고 반응관(16) 내의 온도를 소정의 온도까지 저하시킨 후에, 엘리베이터(40)를 작동시켜 보트(20)를 반응관(16) 내로부터 인출하고, 성막된 기판(18)을 반응관(16) 내로부터 취출한다.
그리고 이하에 도시한 바와 같이, 외기 또는 냉각 매체를 단열부(26) 내에 취입시킴으로써, 반응관(16), 반응관(16) 내나 발열부(30)를 냉각시킨다.
도 7에 도시하고 있는 바와 같이, 흡기 기구(82)는, 버퍼 에리어(52)와 대략 동일한 높이에 취입구(80)가 형성되어 있으며, 실린더(88)의 작용에 의하여 개폐부(86)를 버퍼 에리어(52)측과 반대 방향으로 이동시키면, 외기 또는 냉각 매체가 취입구(80)로부터 흡기구(83)로 직선적으로 흘러 버퍼 에리어(52)를 향하여 흡입된다. 이것에 의하여, 입구 부근에 있는 유로(32)에도 충분히 외기 또는 냉각 매체가 흘러 전체의 에어 플로우 밸런스가 개선된다.
흡기 기구(82)로부터 흡입된 외기 또는 냉각 매체는 천장부(28)의 버퍼 에리어(52)로 흐른다. 여기서, 상부판(60)과 대략 수평으로 설치된 구획판(58)이 버퍼 에리어(52)를 상부 공간(52a)과 하부 공간(52b)으로 구획하고, 또한 하부 공간(52b)을 후방측과 전방측으로 대략 수직으로 구획함으로써, 버퍼 에리어(52) 내에 공급되는 외기 또는 냉각 매체의 공급 유로는, 후방측의 유로(32)를 흐르는 공급 경로와 전방측의 유로(32)를 흐르는 공급 유로로 각각 독립시킬 수 있다. 즉, 상부 공간(52a)과 하부 공간(52b)으로 나뉘어 유입된 외기 또는 냉각 매체 중, 하부 공간(52b)으로 흐른 외기 또는 냉각 매체는, 후방측의 버퍼 에리어(52b-1)에 연통되는 유로(32)로 흐르고, 상부 공간(52a)으로 흐른 외기 또는 냉각 매체는, 상부 공간(52a)을 통하여 버퍼 에리어(52b-2)에 유입되고, 전방측의 버퍼 에리어(52b-2)에 연통되는 유로(32)로 흐른다.
유로(32)에 유입된 외기 또는 냉각 매체는 발열부(30)나 반응관(16)을 냉각하고, 배기구(36) 또는 배기관(76)을 통하여 배기된다. 측벽(단열부(26)) 냉각 시에는, 도 8의 (a)에 도시한 바와 같이, 댐퍼(46)의 전환부(74)가 닫힘으로써 하단부(70)의 체크 밸브가 개방되고, 흡기 기구(82)로부터 흡입된 외기 또는 냉각 매체는, 천장부(28)에 형성된 버퍼 에리어(52b-1, 52b-2)를 통하여 각각 후방측과 전방측의 유로(32)에 유입되고, 유로(32)의 최하단부로부터 둘레 방향으로 형성된 유로(72), 배기관(76), 댐퍼 케이스(47) 내를 통하여 장치 외부로 배기된다. 이것에 의하여 단열부(26)를 집중적으로 냉각할 수 있다.
한편, 발열부(30)나 반응관(16)을 집중적으로 냉각하는 급속 냉각 시에는, 도 8의 (b)에 도시한 바와 같이, 댐퍼(46)의 전환부(74)가 열리고, 흡기 기구(82)로부터 흡입된 외기 또는 냉각 매체는, 천장부(28)에 형성된 버퍼 에리어(52b-1, 52b-2)를 통하여 각각 후방측과 전방측의 유로(32)에 유입되고, 분출공(35)을 통하여 공간(14) 내에 공급되어, 배기구(36), 배기관(44), 댐퍼 케이스(47) 내를 통하여 장치 외부로 배기된다. 이것에 의하여 발열부(30)나 반응관(16)을 집중적으로 냉각할 수 있다.
이와 같이, 외기 또는 냉각 매체를 단열부(26) 내에 취입함으로써 반응관(16) 내의 온도를 저하시킬 수 있다. 이때, 댐퍼(46)의 전환부(74)를 적절히 전환함으로써, 측벽 냉각과 급속 냉각을 전환하는 것이 가능해진다.
다음으로, 본 발명의 다른 실시 형태에 따른 흡기 기구(90)에 대하여 설명한다.
도 10에 도시한 바와 같이, 흡기 기구(90)는, 버퍼 에리어(52)에 접속되는 흡기구(83)를 갖는 흡기부(84)와, 흡기부(84)를 향하여 외기 또는 냉각 매체를 도입하는 도입부(85)를 갖는다.
도 10의 (a)에 도시한 바와 같이, 흡기부(84)는 흡기구(83)를 가지며, 이 흡기구(83)가 버퍼 에리어(52)에 접속된다. 도입부(85)는, 흡기부(84)와의 인접면으로부터 상하 방향으로 외측을 향하여 확장된 형상을 가지며, 도입부(85) 내의 흡기구(83)측의 대향하는 측에, 실린더(88)로 작동하는 개폐부(86)가 설치되어 있다. 또한 개폐부(86)의 상하에는, 외기 또는 냉각 매체를 공간(14)에 취입하는 취입구(80)가 형성되어 있다. 즉, 흡기 기구(90)는, 도입부(85)의 취입구(80)로부터 흡기구(83)를 향하여 유로가 좁혀진 형상을 하고 있다.
도 10의 (b)에 도시한 바와 같이, 취입구(80)는, 실린더(88)의 상방에 형성된 취입구(80a)와 하방에 형성된 취입구(80b)를 갖고 있다. 취입구(80a)와 취입구(80b)와의 도입구 면적의 합계는 흡기구(83)의 면적보다도 크다. 이와 같은 구성에 의하여, 공간(14)에 취입되는 외기의 직선적인 흐름이 생겨, 급속 냉각 시의 기판 면 내 온도 균일성을 향상시킬 수 있다.
도 11에 도시된 바와 같이, 외기 또는 냉각 매체는 취입구(80a, 80b), 즉, 흡기 기구(90)의 상하 방향으로부터 직선적으로 버퍼 에리어(52)를 향하여 흡입된다. 이것에 의하여, 입구 부근에 있는 유로(32)에도 충분히 외기가 흘러, 전체의 에어 플로우 밸런스가 개선된다.
도 12는, 비교예에 따른 기판 처리 장치의 천장부(280) 주변을 도시하는 도면이다.
비교예에 따른 기판 처리 장치에 있어서는, 천장부(280)의 버퍼 에리어(52)를 배면측과 정면측으로 구획하는 구획판(58)은 없으며, 유로(32)의 상단이 배면측과 정면측에서 공통되는 버퍼 에리어(52)에 연통되어 있다. 즉, 버퍼 에리어(52) 내에서 배면측의 유로(32)와 정면측의 유로(32)가 연통되어 있다.
이 때문에, 단열부(26)의 정면측(전방측)과 배면측(후방측)에서 온도 차가 발생했을 경우, 배면측에서는, 차가워진 분위기가 하방으로 흐르는 하강 기류와, 정면측에서는, 따뜻한 분위기가 상방으로 흐르는 상승 기류가 발생한다. 비교예와 같이, 배면측의 유로(32)와 정면측의 유로(32)가 버퍼 에리어(52) 내에서 연통되어 있는 경우, 도 12의 (b)의 화살표로 나타낸 바와 같이, 버퍼 에리어(52) 및 유로(32) 내에서 하강 기류와 상승 기류에 의한 사이클(대류)이 생겨 버린다. 그 결과, 도 13에 도시하고 있는 바와 같이, 배면측과 정면측에서 냉각 상태가 상이하기 때문에, 배면측과 정면측에서 기판 면 내의 온도 균일성에 영향이 나타나 버린다.
천장판(280), 단열부(26), 발열부(30)나 반응관(16)의 온도 차는, 이들의 구성이 배치되는 위치나, 외기 또는 냉각 매체의 유로 위치 등의 영향에 의하여 발생한다. 즉, 이들의 구성의 하우징 외부에 가까운 부분일수록, 달리 말하면, 외기에 가까운 부분일수록 방열하기 쉽기 때문에 차가워지기 쉬워진다. 또한 외기 또는 냉각 매체를 공급하는 흡기 기구에 가까운 유로(32)일수록 신선한 외기 또는 냉각 매체를 취입하기 쉽기 때문에, 다른 부분에 비하여 차가워지기 쉬운 것이 생각된다. 이들 요인으로부터 온도 차가 발생하여 대류가 발생할 수 있다. 이때, 이 온도 차에 의하여 발생하는 하강 기류와 상승 기류가 연통되는 부분을 구획판(58)으로 차단시킴으로써, 즉, 하강 기류와 상승 기류를 구획판(58)으로 분리시킴으로써, 천장판(280) 및 단열부(26) 내에서의 대류의 발생이 억제되어, 기판 면 내의 온도 균일성을 개선할 수 있다.
본 실시 형태에 있어서, 이하에 나타내는 하나 또는 복수의 효과를 발휘한다. (1) 본 실시 형태에 의하면, 장치 배면측과 장치 전방면측에서 온도 차가 발생하는 것을 억제할 수 있기 때문에, 온도 리커버리 시간을 단축시킬 수 있어 생산성이 향상된다. 또한 레시피 시간 단축 및 안정 시 소비 전력 삭감에 의하여 소비 에너지가 저감되어, 에너지 절약화를 실현할 수 있다. 또한 기판(18) 면 내, 기판(18) 사이의 온도 균일성이 개선되기 때문에 제품 수율이 저감된다.
(2) 천장부(28)의 버퍼 에리어(52)에 접속되는 흡기 기구의 취입구(80)가, 실린더(88)의 상방에 형성된 취입구(80a)와 하방에 형성된 취입구(80b)를 갖고 있다. 취입구(80a)와 취입구(80b)와의 도입구 면적의 합계는 버퍼 에리어(52)의 면적보다도 크다. 이것에 의하여, 공간(14)에 도입되는 외기의 직선적인 흐름이 생겨, 급속 냉각 시의 기판 면 내 온도 균일성을 향상시킬 수 있다.
(3) 흡기 기구(82)의 개폐부(86)를 천장부(28)에 가까운 위치에서 폐쇄한다. 이것에 의하여, 개폐부(86)를 닫았을 때의 흡기부 내의 폐쇄 공간의 부피를 작게 할 수 있다. 즉, 개폐부(86)를 천장부(28)에 가까운 위치에 설치하여 폐쇄 공간의 부피를 작게 함으로써, 당해 폐쇄 공간의 분위기를 버퍼 에리어(52)나 유로(32)의 분위기에 가까운 온도나 환경으로 할 수 있다. 버퍼 에리어(52)나 유로(32)의 분위기에 비하여 차가워진 공기가 폐쇄 공간 내에서 생성되는 것을 방지할 수 있어, 천장부(28) 내에서의 온도 차에 의한 공기의 대류 발생을 억제할 수 있다. 이것에 의하여 기판(18) 면 내의 온도 균일성을 개선할 수 있다.
(4) 공간(14)으로 통하는 유로(32)의 입구를 장치 배면측과 정면측으로 분리시킨다. 이것에 의하여, 유로(32) 입구의 버퍼 에리어(52)에 있어서, 장치 배면측의 유로(32)와 정면측의 유로(32)의 접속이 없기 때문에, 정면측과 배면측에서 온도 차가 발생하여, 정면측의 유로(32)에서 외기 또는 냉각 매체의 상승 기류와, 배면측의 유로(32)에서 외기 또는 냉각 매체의 하강 기류가 발생했다고 하더라도, 장치측의 유로(32)와 정면측의 유로(32)가 구획되어 있기 때문에, 대류의 요인으로 되는 상승 기류와 하강 기류의 흐름의 사이클을 억제하는 것이 가능해져, 기판(18) 면 내의 온도 균일성을 개선할 수 있다. 기판(18) 면 내의 온도 균일성을 개선함으로써, 성막의 막 두께나 막질의 균일성도 개선시킬 수 있다.
(5) 댐퍼(46)의 전환부(74)를 적절히 전환함으로써, 댐퍼(46)에 대하여 2방향으로부터 배기할 수 있다. 즉, 공간(14)을 통하지 않고 배기되는 루트를 설정함으로써 측벽(단열부)의 온도를 신속히 저하시킬 수 있으며, 상황에 따라 발열부(30)의 측벽이 냉각되어 발열부(30)로부터의 방열이 촉진된다. 또한 필요에 따라 노 내 온도를 저하시키거나 단열부의 기능을 억제시키거나 함으로써, 온도 수렴 시간을 단축할 수 있다.
(6) 천장부(28) 주변의 스페이스에 따라 종형 또는 횡형의 흡기 기구의 실시 형태를 사용할 수 있어, 장치의 소형화를 도모할 수 있다.
(7) 노 내가 균일하고 효율적으로 냉각되어 반응관(16)의 온도가 신속히 저하되고, 기판(18)의 온도가 반응관으로부터 취출할 수 있는 소정 온도까지 신속히 저하되어, 스루풋을 향상시킬 수 있다. 또한 기판(18)의 면 내, 면 간 균일성을 향상시킬 수 있다.
또한 상술한 실시예에서는 원통형의 가열 냉각 장치(12)를 도시했지만, 본 발명에서는 이에 한정하지 않으며, 다양한 단면 형상의 통형 히터에 적용할 수 있다. 또한 천장부(28)의 형상도 원판형에 한정되지 않으며, 단열부(26)의 상단 개구를 막을 수 있도록, 단열부(26)의 단면 형상에 따라 다양하게 설정된다.
또한 본 발명은, 반도체 제조 장치뿐만 아니라 LCD 장치와 같은 유리 기판을 처리하는 장치에도 적용할 수 있다.
또한 본 발명은 반도체 제조 기술, 특히 피처리 기판을 처리실에 수용하고 가열 냉각 장치에 의하여 가열한 상태에서 처리를 실시하는 열처리 기술에 관한 것이며, 예를 들어 반도체 집적 회로 장치(반도체 디바이스)가 내장되는 반도체 웨이퍼에 산화 처리나 확산 처리, 이온 주입 후의 캐리어 활성화나 평탄화를 위한 리플로우나 어닐링 및 열 CVD 반응에 의한 성막 처리 등에 사용되는 기판 처리 장치에 이용하여 유효한 것에 적용할 수 있다.
<본 발명의 바람직한 형태> 이하, 본 발명의 바람직한 형태에 대하여 부기한다.
[부기 1]
본 발명의 일 형태에 의하면,
기판을 처리하는 반응관과,
상기 반응관의 외부에 배치되고, 상기 반응관 내를 가열하는 가열부와,
상기 가열부의 외부에 배치된 단열부와,
상기 단열부에 복수 설치되고, 외기 또는 냉각 매체를 유통시키는 유로와,
상기 단열부의 상면을 덮는 천장부를 갖고,
상기 천장부는, 상기 유로에 연통되고, 상기 외기 또는 냉각 매체를 상기 유로 내에 공급하는 공급구가 형성된 제1 부재와, 상기 제1 부재 상에 배치되고, 상기 제1 부재와의 사이에 상기 외기 또는 냉각 매체를 흐르게 하는 공간이 형성되며, 상기 공간을 적어도 2개의 공간으로 분할하는 구획부가 형성된 제2 부재를 갖는 기판 처리 장치가 제공된다.
[부기 2]
본 발명의 다른 형태에 의하면,
외기 또는 냉각 매체를 유통시키는 유로가 복수 형성된 중공의 단열부와, 상기 단열부의 상면을 덮는 천장부를 갖는 냉각 기구이며,
상기 천장부는, 적어도 상기 유로에 연통되고, 상기 외기 또는 냉각 매체를 상기 유로 내에 공급하는 공급구가 형성된 제1 부재와, 상기 제1 부재 상에 배치되고, 상기 제1 부재와의 사이에 상기 외기 또는 냉각 매체를 흐르게 하는 공간을 형성하며, 상기 공간을 적어도 2개의 공간으로 분할하는 구획부가 형성된 제2 부재로 구성되는 냉각 기구가 제공된다.
[부기 3]
본 발명의 또 다른 형태에 의하면,
복수의 판체를 적층하고, 내부에 외기 또는 냉각 매체를 시키는 공간이 형성된 천장부이며,
그 하면에, 상기 공간을 분할하는 구획부가 형성된 구획판을 적어도 갖는 천장부가 제공된다.
[부기 4]
본 발명의 또 다른 형태에 의하면,
기판을 처리하는 반응관과,
상기 반응관의 외부에 배치되고, 상기 반응관 내를 가열하는 가열부와,
상기 가열부의 외부에 배치되고, 외기 또는 냉각 매체를 유통시키는 유로가 복수 형성된 단열부와,
상기 단열부의 상면을 덮고, 상기 단열부에 상기 외기 또는 냉각 매체를 공급하는 공간과, 상기 단열부로부터 상기 외기 또는 냉각 매체를 배기하는 배기로가 형성된 천장부와,
상기 공간에 접속되고, 상기 천장부에 상기 외기 또는 냉각 매체를 공급하는 흡기 기구와,
상기 배기로에 접속되고, 상기 천장부에서 상기 외기 또는 냉각 매체를 배기하는 배기부를 갖는 기판 처리 장치이며,
상기 흡기 기구는 상기 배기부를 사이에 두고 좌우에 1쌍 설치되어 있는 기판 처리 장치가 제공된다.
[부기 5]
부기 4에 기재된 장치이며, 바람직하게는
상기 흡기 기구는, 상기 흡기 기구 내에 상기 외기 또는 냉각 매체를 취입하는 취입부와, 상기 외기 또는 상기 냉각 매체를 상기 천장부에 흡기하는 흡기부와, 상기 취입부와 상기 흡기부를 연통되는 도입부를 갖고,
상기 도입부는 상기 흡기부 및 상기 취입부에 인접하여, 각각의 배치 관계가 평면에서 보아 L자형으로 배치되어 있다.
[부기 6]
부기 5에 기재된 장치이며, 바람직하게는
상기 도입부는, 상기 천장부에의 상기 외기 또는 냉각 매체의 공급을 차단하는 개폐부와, 상기 개폐부를 구동하는 구동부를 더 갖고,
상기 개폐부는 상기 흡기부와 상기 도입부의 경계면을 개폐하도록 구성되어 있다.
[부기 7]
부기 5 또는 6 중 어느 하나에 기재된 장치이며, 바람직하게는
상기 도입부의 부피는 상기 흡기부의 부피보다도 크다.
[부기 8]
부기 5 내지 7 중 어느 하나에 기재된 장치이며, 바람직하게는
상기 흡기부는, 상기 천장부와의 접속면에, 상기 천장부에 상기 외기 또는 냉각 매체를 공급하는 흡기구를 갖고,
상기 흡기구는 곡면형으로 형성되어 있다.
[부기 9]
본 발명의 또 다른 형태에 의하면,
반응관의 외부에 배치된 가열부에 의하여 상기 반응관 내를 가열하여 상기 반응관 내의 기판을 처리하는 공정과,
상기 가열부의 외부에 배치되고, 외기 또는 냉각 매체를 유통시키는 유로가 복수 형성된 단열부의 상면을 덮으며, 상기 유로에 연통되고, 상기 외기 또는 냉각 매체를 상기 유로 내에 공급하는 공급구가 형성된 제1 부재와, 상기 제1 부재 상에 배치되고, 상기 제1 부재와의 사이에 상기 외기 또는 냉각 매체를 흐르게 하는 공간이 형성되며, 상기 공간을 적어도 2개의 공간으로 분할하는 구획부가 형성된 제2 부재를 갖는 천장부에 상기 외기 또는 냉각 매체를 공급하여, 상기 유로에 상기 외기 또는 냉각 매체를 유통시킴으로써 상기 반응관 내를 냉각하는 공정을 갖는, 반도체 장치의 제조 방법, 또는 기판 처리 방법이 제공된다.
[부기 10]
본 발명의 또 다른 형태에 의하면,
기판을 처리하는 반응관과,
상기 반응관의 외부에 설치된 단열부와,
상기 단열부 내의 외기 또는 냉각 매체를 배기하는 배기 기구를 갖는 기판 처리 장치이며,
상기 배기 기구는, 배기 유로를 전환하는 댐퍼와, 상기 댐퍼에 접속되고, 상기 단열부의 천장부에 설치된 제1 배기관과, 상기 댐퍼에 접속되고, 상기 단열부의 측벽에 설치된 제2 배기관을 갖고, 상기 댐퍼가 상기 제1 배기관으로부터의 배기와 상기 제2 배기관으로부터의 배기를 전환하는 기판 처리 장치가 제공된다.
또한 이 출원은, 2014년 3월 20일에 출원된 일본 특허 출원 특원 제2014-058323호를 기초로 하여 우선권의 이익을 주장하는 것이며, 그 개시의 전부를 인용에 의하여 여기에 포함시킨다.
이상에서 설명한 바와 같이, 본 발명은 기판 처리 장치, 천장부 및 반도체 장치의 제조 방법에 적용할 수 있다.
10: 기판 처리 장치
16: 반응관
18: 기판(웨이퍼)
26: 단열부
28: 천장부
30: 발열부
32: 유로
35: 분출공
46: 댐퍼
52: 버퍼 에리어
82, 90: 흡기 기구

Claims (12)

  1. 기판을 처리하는 반응관과,
    상기 반응관의 외부에 배치되고, 상기 반응관 내를 가열하는 가열부와,
    상기 가열부의 외부에 배치된 단열부와,
    상기 단열부에 복수 설치되고, 외기 또는 냉각 매체를 유통시키는 유로와,
    상기 단열부의 상면을 덮는 천장부를 포함하고,
    상기 천장부는, 상기 유로에 연통되고, 상기 외기 또는 냉각 매체를 상기 유로 내에 공급하는 공급구가 형성된 제1 부재와, 상기 제1 부재 상에 배치되고, 상기 제1 부재와의 사이에 상기 외기 또는 냉각 매체를 흐르게 하는 공간이 형성되며, 상기 공간을 적어도 2개의 공간으로 분할하는 구획부가 형성된 제2 부재를 포함하는 기판 처리 장치.
  2. 제1항에 있어서,
    상기 적어도 2개의 공간에 상기 외기 또는 냉각 매체를 공급하는 흡기 기구를 더 포함하고,
    상기 흡기 기구는,
    상기 적어도 2개의 공간과 접속하는 흡기구를 포함하는 흡기부와,
    상기 외기 또는 냉각 매체를 내부에 취입하는 취입부와,
    상기 흡기부와 상기 취입부를 연통시키는 도입부를 포함하는 기판 처리 장치.
  3. 제2항에 있어서,
    상기 흡기 기구는, 상기 도입부에 설치되고, 상기 흡기구를 개폐시키는 개폐부와, 상기 개폐부를 구동하는 구동부를 포함하는 기판 처리 장치.
  4. 제3항에 있어서,
    상기 도입부는 상기 흡기부에 대향하여 설치되고, 상기 취입부는 상기 도입부와 인접하여 설치되는 기판 처리 장치.
  5. 제1항에 있어서,
    상기 제2 부재는 대직경 부분과 소직경 부분을 갖는 원판 형상인 기판 처리 장치.
  6. 제5항에 있어서,
    상기 제2 부재 상에 배치되고, 대직경 부분과 소직경 부분을 갖는 원판 형상의 제3 부재와,
    상기 제3 부재 상에 배치되고, 상기 천장부의 상단으로서 구성되는 원판 형상의 제4 부재를 더 포함하는 기판 처리 장치.
  7. 제6항에 있어서,
    상기 제2 부재의 소직경 부분과 상기 제3 부재의 소직경 부분은, 적어도 일부분이 중첩되도록 형성되어 있는 기판 처리 장치.
  8. 제7항에 있어서,
    상기 제1 부재와 상기 제2 부재의 중심에는, 상기 외기 또는 냉각 매체를 배기하는 배기구가 형성되어 있는 기판 처리 장치.
  9. 제8항에 있어서,
    상기 제3 부재는, 상기 배기구에 수직으로 연통되도록 직경 방향으로 형성된 배기로를 포함하고, 상기 제2 부재의 상면에는, 상기 배기로를 따른 형상의 홈이 형성되어 있는 기판 처리 장치.
  10. 기판을 처리하는 반응관의 외주에 배치되고, 상기 반응관 내를 가열하는 가열부와,
    상기 가열부의 외주에 배치된 단열부와,
    상기 단열부의 내부에 복수 설치되고, 외기 또는 냉각 매체를 유통시키는 유로와,
    상기 단열부의 상면을 덮는 천장부를 갖고,
    천장부는 그 내부에, 상기 유로와 연통하고 상기 외기 또는 냉각 매체를 흐르게 하는 버퍼 에리어가 형성되고,
    상기 버퍼 에리어를 적어도 2개의 공간으로 분할하는 구획부가 형성된 기판 처리 장치용의 가열 냉각 장치.
  11. 반응관의 외부에 배치된 가열부에 의하여 상기 반응관 내를 가열하여 상기 반응관 내의 기판을 처리하는 공정과,
    상기 가열부의 외부에 배치되고, 외기 또는 냉각 매체를 유통시키는 유로가 복수 형성된 단열부의 상면을 덮고, 상기 유로에 연통되고, 상기 외기 또는 냉각 매체를 상기 유로 내에 공급하는 공급구가 형성된 제1 부재와, 상기 제1 부재 상에 배치되고, 상기 제1 부재와의 사이에 상기 외기 또는 냉각 매체를 흐르게 하는 공간이 형성되며, 상기 공간을 적어도 2개의 공간으로 분할하는 구획부가 형성된 제2 부재를 포함하는 천장부에 상기 외기 또는 냉각 매체를 공급하여, 상기 유로에 상기 외기 또는 냉각 매체를 유통시킴으로써 상기 반응관 내를 냉각하는 공정을 포함하는, 반도체 장치의 제조 방법.
  12. 삭제
KR1020167024712A 2014-03-20 2015-03-19 기판 처리 장치, 천장부 및 반도체 장치의 제조 방법 KR101883583B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2014058323 2014-03-20
JPJP-P-2014-058323 2014-03-20
PCT/JP2015/058303 WO2015141792A1 (ja) 2014-03-20 2015-03-19 基板処理装置、天井部及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
KR20160118349A KR20160118349A (ko) 2016-10-11
KR101883583B1 true KR101883583B1 (ko) 2018-07-30

Family

ID=54144754

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167024712A KR101883583B1 (ko) 2014-03-20 2015-03-19 기판 처리 장치, 천장부 및 반도체 장치의 제조 방법

Country Status (6)

Country Link
US (1) US10415136B2 (ko)
JP (2) JP6306151B2 (ko)
KR (1) KR101883583B1 (ko)
CN (1) CN105960701B (ko)
TW (1) TWI526566B (ko)
WO (1) WO2015141792A1 (ko)

Families Citing this family (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101744201B1 (ko) 2015-12-28 2017-06-12 주식회사 유진테크 기판 처리 장치
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) * 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP6752851B2 (ja) * 2017-09-12 2020-09-09 株式会社Kokusai Electric クーリングユニット、基板処理装置、および半導体装置の製造方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7055075B2 (ja) * 2018-07-20 2022-04-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
TWI689618B (zh) * 2018-10-04 2020-04-01 漢民科技股份有限公司 應用於半導體設備之氣體噴射裝置
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7261573B2 (ja) * 2018-12-13 2023-04-20 株式会社ジェイテクトサーモシステム 熱処理装置
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) * 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP1651623S (ko) * 2019-07-18 2020-01-27
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111023841B (zh) * 2019-12-26 2023-09-08 北京北方华创微电子装备有限公司 炉体冷却装置及半导体加工设备
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
CN114902384A (zh) * 2020-03-19 2022-08-12 株式会社国际电气 基板处理装置、隔热件组件及半导体装置的制造方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) * 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JPWO2022071105A1 (ko) * 2020-09-29 2022-04-07
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102444786B1 (ko) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 냉각 효율을 향상시키는 고압챔버
KR102646155B1 (ko) * 2022-10-05 2024-03-12 엘에스이 주식회사 기판 세정 장치
CN117116814B (zh) * 2023-10-23 2024-04-05 芯恺半导体设备(徐州)有限责任公司 基板处理设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008202912A (ja) * 2007-02-22 2008-09-04 Mitsubishi Heavy Ind Ltd 輸送用冷凍ユニット
WO2013141371A1 (ja) * 2012-03-22 2013-09-26 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および基板処理方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
JP4495498B2 (ja) * 2004-03-29 2010-07-07 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
KR100932965B1 (ko) * 2007-02-09 2009-12-21 가부시키가이샤 히다치 고쿠사이 덴키 단열 구조체, 가열 장치, 가열 시스템, 기판 처리 장치 및반도체 장치의 제조 방법
JP5139734B2 (ja) * 2007-06-25 2013-02-06 株式会社日立国際電気 基板処理装置及びこれに用いられる加熱装置
KR100969696B1 (ko) * 2007-06-25 2010-07-14 가부시키가이샤 히다치 고쿠사이 덴키 가열 장치, 이것을 이용한 기판 처리 장치 및 반도체장치의 제조 방법 및 관통 부재
JP2010093108A (ja) * 2008-10-09 2010-04-22 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP5721219B2 (ja) * 2010-07-09 2015-05-20 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び加熱装置
JP6170847B2 (ja) 2013-03-25 2017-07-26 株式会社日立国際電気 断熱構造体、加熱装置、基板処理装置および半導体装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008202912A (ja) * 2007-02-22 2008-09-04 Mitsubishi Heavy Ind Ltd 輸送用冷凍ユニット
WO2013141371A1 (ja) * 2012-03-22 2013-09-26 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および基板処理方法

Also Published As

Publication number Publication date
JPWO2015141792A1 (ja) 2017-04-13
KR20160118349A (ko) 2016-10-11
WO2015141792A1 (ja) 2015-09-24
CN105960701B (zh) 2019-04-05
TW201546316A (zh) 2015-12-16
US10415136B2 (en) 2019-09-17
CN105960701A (zh) 2016-09-21
JP2018117141A (ja) 2018-07-26
TWI526566B (zh) 2016-03-21
US20160376701A1 (en) 2016-12-29
JP6306151B2 (ja) 2018-04-04

Similar Documents

Publication Publication Date Title
KR101883583B1 (ko) 기판 처리 장치, 천장부 및 반도체 장치의 제조 방법
KR101560612B1 (ko) 단열 구조체 및 반도체 장치의 제조 방법
KR101958200B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
CN109494172B (zh) 冷却单元、绝热结构体、基板处理装置、以及半导体装置的制造方法
JP6164776B2 (ja) 基板処理装置および基板処理方法
US20130228323A1 (en) Substrate processing apparatus, substrate processing method and method of changing substrate temperature setting region
KR101444039B1 (ko) 기판 처리 장치 및 가열 장치
KR20110112074A (ko) 기판 처리 장치 및 방법
KR20180086139A (ko) 기판 처리 장치 및 기판의 냉각 방법
KR102011146B1 (ko) 에피택셜 웨이퍼 제조장치
JP7289355B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP2018101741A (ja) 基板処理装置及び基板処理方法
JP2009264691A (ja) 熱処理装置、インライン式熱処理装置及び被処理物の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant