KR101792086B1 - 반도체 구조물 - Google Patents

반도체 구조물 Download PDF

Info

Publication number
KR101792086B1
KR101792086B1 KR1020167030997A KR20167030997A KR101792086B1 KR 101792086 B1 KR101792086 B1 KR 101792086B1 KR 1020167030997 A KR1020167030997 A KR 1020167030997A KR 20167030997 A KR20167030997 A KR 20167030997A KR 101792086 B1 KR101792086 B1 KR 101792086B1
Authority
KR
South Korea
Prior art keywords
silicon germanium
epitaxial silicon
contact
region
drain region
Prior art date
Application number
KR1020167030997A
Other languages
English (en)
Other versions
KR20160130873A (ko
Inventor
조세프 스테이거왈드
타히르 가니
올레그 골론즈카
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20160130873A publication Critical patent/KR20160130873A/ko
Application granted granted Critical
Publication of KR101792086B1 publication Critical patent/KR101792086B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

랩어라운드 소스/드레인 트렌치 콘택 구조가 설명된다. 복수의 반도체 핀이 반도체 기판으로부터 연장한다. 한 쌍의 소스/드레인 영역 사이에 각각의 핀 내에 채널 영역이 배치된다. 에피택셜 반도체 층이 소스/드레인 영역들 위의 각각의 핀의 상면 및 측면을 커버하여 인접 핀들 사이에 높은 종횡비의 갭들을 정의한다. 한 쌍의 소스/드레인 트렌치 콘택이 에피택셜 반도체 층들에 전기적으로 결합된다. 소스/드레인 트렌치 콘택들은 등각 금속층 및 충전 금속을 포함한다. 등각 금속층은 에피택셜 반도체 층들을 따른다. 충전 금속은 플러그 및 장벽층을 포함하며, 플러그는 핀들 및 등각 금속층 위에 형성된 콘택 트렌치를 채우고, 장벽층은 플러그를 라이닝하여 등각 금속층 재료와 플러그 재료의 상호 확산을 방지한다.

Description

반도체 구조물{SEMICONDUCTOR STRUCTURE}
본 발명은 일반적으로 반도체 장치의 제조에 관한 것이다. 구체적으로, 본 발명의 실시예들은 장치 성능을 개선하는 랩어라운드 소스/드레인 콘택을 갖는 핀(fin) 기반 트랜지스터 장치에 관한 것이다.
평면 트랜지스터의 한계는 장치의 크기를 줄이면서 집적 회로의 성능을 향상시키기 위한 노력을 억압하여 왔다. 최근에 개발된 핀 기반 트랜지스터는 장치 컴포넌트들의 더 조밀한 팩킹 및 랩어라운드 이중 및 삼중 게이트를 이용하는 더 큰 전류 제어를 가능하게 한다. 다수의 핀의 사용은 장치 사양의 추가적인 맞춤화 및 향상된 성능을 가능하게 한다. 그러나, 다중 핀 장치의 소스/드레인 콘택은 통상적으로 핀의 상부 에지 위에 형성되며, 이는 핀 단부에서의 전류 밀집으로 인해 높은 저항을 유발할 수 있다.
도 1a는 본 발명의 일 실시예에 따른, 랩어라운드 콘택을 갖는 반도체 장치의 단면도를 나타낸다.
도 1b는 본 발명의 일 실시예에 따른, 랩어라운드 콘택을 갖는 반도체 장치의 삼차원 사시도를 나타낸다.
도 2a-2e는 본 발명의 일 실시예에 따른, 다중 핀 반도체 장치를 형성하기 위한 프로세스의 삼차원 사시도를 나타낸다.
도 2f-2h는 본 발명의 일 실시예에 따른, 다중 핀 반도체 장치의 소스/드레인 영역 상에 랩어라운드 콘택을 형성하기 위한 프로세스의 단면도를 나타낸다.
도 3은 본 발명의 일 실시예에 따른 컴퓨팅 장치를 나타낸다.
다중 핀 트랜지스터와 함께 사용하기 위한 랩어라운드 소스/드레인 트렌치 콘택 구조 및 그러한 랩어라운드 트렌치 콘택을 형성하기 위한 방법이 설명된다. 본 발명은 본 발명의 충분한 이해를 제공하기 위해 특정 상세들과 관련하여 설명된다. 이 분야의 통상의 기술자는 본 발명이 이러한 특정 상세 없이도 실시될 수 있다는 것을 알 것이다. 다른 예들에서는 본 발명을 불필요하게 불명확하게 하지 않기 위해 공지 반도체 프로세스 및 장비는 구체적으로 상세히 설명되지 않는다. 또한, 도면들에 도시된 다양한 실시예들은 예시적인 표현들이며, 반드시 축척으로 도시된 것은 아니다.
다중 핀 MOSFET 장치를 위한 랩어라운드 소스/드레인 트렌치 콘택 및 그러한 랩어라운드 트렌치 콘택을 형성하기 위한 방법이 본 명세서에서 개시된다. 핀 기반 트랜지스터 구조는 복수의 반도체 핀을 포함하며, 이들 각각은 상면 및 측면을 갖는다. 작은 장치 풋프린트를 유지하면서 장치 형성에 이용 가능한 표면적을 증가시키기 위해, 핀들은 기판 표면에 수직 방향으로 높은 종횡비를 갖는다. 높은 종횡비의 핀들은 가깝게 이격되어, 각각의 인접 핀 사이에 높은 종횡비의 갭들을 생성한다. 게이트 스택 구조가 내부에 채널 영역을 정의하는 각각의 핀의 일부의 상면 및 측면을 둘러싼다. 각각의 핀은 채널 영역의 대향 측면들 상에 한 쌍의 소스/드레인 영역을 갖는다. 에피택셜 방식으로 성장된 반도체 층이 소스/드레인 영역들 내의 각각의 핀의 상면 및 측면을 커버한다. 에피택셜 반도체 층은 소스/드레인 콘택들을 형성하는 데 이용할 수 있는 표면적을 증가시키며, 핀들 사이의 갭을 좁힌다. 게이트 스택 구조는 게이트 측벽 스페이서들에 의해 소스/드레인 영역들의 에피택셜 부분으로부터 절연된다.
소스/드레인 트렌치 콘택 구조는 에피택셜 반도체 층들의 표면들을 따름으로써 각각의 소스/드레인 영역을 둘러싸서, 핀의 상부로부터 하부로의 콘택을 형성한다. 소스/드레인 트렌치 콘택 구조는 콘택 금속층 및 충전(fill) 금속을 포함한다. 콘택 금속층은 에피택셜 반도체 층들과 높은 표면적, 낮은 장벽 높이의 계면을 형성하는 등각의(conformal), 균일하게 두꺼운 층이다. 일 실시예에서, 콘택 금속층은 핀들 간의 각각의 높은 종횡비의 갭을 완전히 채운다. 콘택 금속층 재료는 금속-반도체 계면에서 장벽 높이를 최소화하는 일함수를 갖도록 선택된다. 충전 금속은 콘택 금속층 및 핀들 위의 콘택 트렌치를 채운다. 일 실시예에서, 충전 재료는 높은 종횡비의 갭의 일부를 채운다. 충전 금속은 장벽층에 의해 라이닝되는 도전성 금속 플러그를 포함한다. 장벽층은 플러그 재료 및 콘택 금속층 재료의 상호 확산을 방지하고, 플러그와 콘택의 접착을 개선하고/하거나, 플러그 재료의 퇴적 동안 콘택 금속의 화학적 공격을 방지할 수 있다.
주로 핀 단부들과 접촉하는 전통적인 다중 핀 소스/드레인 콘택들에서는 전류 밀집이 발생하는 반면, 개시되는 랩어라운드 콘택들은 각각의 핀의 소스/드레인 영역들의 상면 및 측면을 따름으로써, 핀 피치의 증가 없이 더 큰 콘택 표면적 및 감소된 전류 밀집을 가능하게 한다. 게다가, 적절한 금속-반도체 장벽 높이를 달성하기 위한 재료들을 선택함으로써 핀의 소스/드레인 영역과 금속 콘택의 계면에서의 저항이 감소한다. 이러한 본 발명의 양태들은 콘택 저항 및 구동 전류의 추가적인 제어를 가능하게 하여, 장치 치수들이 계속 줄어들 때 장치 성능을 개선한다.
도 1a-b는 랩어라운드 소스/드레인 트렌치 콘택들을 갖는 다중 핀 트랜지스터의 다수의 모습을 나타낸다. 도 1a는 도 1b의 라인 A-A'를 따라 취해진 장치의 소스/드레인 영역들을 통과하는 단면도를 나타낸다. 도 1b는 다중 핀 트랜지스터의 게이트 부분 및 대향하는 소스/드레인 영역들을 나타내는 삼차원 사시도이다.
본 발명의 일 실시예에 따르면, 다중 핀 트랜지스터가 도 1a-b에 도시된다. 핀들(102)은 반도체 기판(110)으로부터 연장한다. 일례로서, 예시적인 목적으로 3핀 장치가 도시되지만, 대안 실시예들은 더 많거나 적은 핀을 포함할 수 있다는 것을 이해해야 한다. 핀의 하부로부터의 전류 누설을 줄이기 위해 절연 영역들(101)이 각각의 핀(102)의 하부를 분리한다. 핀들(102)은 높은 종횡비를 가지며, 이는 작은 장치 풋프린트에 대해 더 넓은 유효 게이트 폭을 가능하게 한다. 핀 종횡비는 핀 높이(Hf) 대 핀 폭(Wf)의 비율로서 정의된다. 도 1a에 도시된 바와 같이, 핀 높이(Hf)는 기판(110)의 표면에 수직 방향에서의 분리 영역(101) 위로 연장하는 핀의 높이이다. 핀 폭(Wf)은 핀 높이에 수직이고, 도 1a에 도시된 소스/드레인 영역의 단면과 평행한 핀 치수이다. 핀들(102)은 10-100nm의 높이 및 5-20nm의 폭을 가질 수 있으며, 4보다 큰 종횡비를 가질 수 있다. 일 실시예에서, 핀들(102)은 50nm의 높이 및 10nm의 폭을 가질 수 있다.
게이트 구조(126)는 핀의 일부의 측면 및 상면을 둘러싸서, 핀 내에 배치되는 채널 영역(114)을 정의한다. 게이트 구조(126)는 게이트 유전체 및 게이트 전극을 포함할 수 있다. 게이트 유전체는 핀으로부터 게이트 전극을 절연한다. 게이트 유전체 재료들은 반도체 분야에 잘 알려져 있으며, 예를 들어 하프늄 산화물, 하프늄 산질화물, 하프늄 실리케이트, 란타늄 산화물, 지르코늄 산화물, 지르코늄 실리케이트, 탄탈륨 산화물, 바륨 스트론튬 티타네이트, 바륨 티타네이트, 스트론튬 티타네이트, 이리듐 산화물, 알루미늄 산화물, 납 스칸듐 탄탈륨 산화물, 납 주석 니오베이트 또는 이들의 조합과 같은 높은 k의 재료이다. 게이트 유전체는 핀 표면 상의 자연 산화물도 포함할 수 있다. 게이트 전극은 금속 질화물, 금속 탄화물, 금속 실리사이드, 금속 알루미나이드, 하프늄, 지르코늄, 티타늄, 탄탈륨, 알루미늄, 루테늄, 팔라듐, 백금, 코발트, 니켈 또는 도전성 금속 산화물과 같은, 그러나 이에 한정되지 않는 금속층을 포함할 수 있다. 특정 실시예에서, 게이트 전극은 일함수 설정 재료 위에 형성되는 비-일함수 설정 충전 재료를 포함한다.
한 쌍의 소스/드레인 영역들(112)이 채널 영역(114)의 대향 측면들 상에 배치된다. 일 실시예에서, 각각의 소스/드레인 영역(112)은 핀의 일부 및 핀 표면 상에 성장된 에피택셜 반도체 층(103)을 포함한다. 에피택셜 반도체 층(103)은 소스/드레인 콘택들을 형성하는 데 이용될 수 있는 표면적을 증가시키기 위해 소스/드레인 영역들(112) 내의 핀들(102)의 상면 및 측면 상에 성장된다. 게다가, 에피택셜 반도체 층(103)은 이전의 에칭 프로세스들에 의해 유발된 핀들에 대한 손상을 제거함으로써 핀들과 소스/드레인 트렌치 콘택들 간의 금속-반도체 계면을 개선할 수 있다. 일 실시예에서, 핀 표면으로부터의 직접적인 에피택셜 반도체 층(103)의 성장은 등각 또는 거의 등각인 재료 층을 제공한다. 일 실시예에서, 에피택셜 반도체 층(103)은 균일한 두께를 갖는다. 에피택셜 반도체 층(103)은 최대 20nm의 두께를 가질 수 있다. 일 실시예에서, 에피택셜 반도체 층(103)은 5nm의 두께를 갖는다.
소스/드레인 영역들(112)은 도핑되거나 도핑되지 않을 수 있다. 특정 실시예에서, 소스/드레인 영역들은 PMOS 장치를 형성하기 위해 p형 도핑된다. 다른 특정 실시예에서, 소스/드레인 영역들은 NMOS 장치를 형성하기 위해 n형 도핑된다. 채널 영역(114)은 소스/드레인 영역들과 반대로 도핑될 수 있다. 게다가, 에피택셜 반도체 층(103)은 측벽 스페이서들(121)에 의해 게이트 구조(126)의 측벽들로부터 절연된다. 일 실시예에서, 측벽 스페이서들(121)은 실리콘 이산화물, 실리콘 산질화물 또는 실리콘 질화물과 같은, 그러나 이에 한정되지 않는 절연성 유전성 재료를 포함한다.
핀들은 작은 장치 풋프린트를 유지하기 위해 가까이 이격된다. 핀들(102)은 25-70nm만큼 이격될 수 있다. 특정 실시예에서, 핀들(102)은 50nm만큼 이격될 수 있다. 핀들(102)은 그 위에 형성된 에피택셜 반도체 층(103)과 더불어 인접 핀들(102) 사이에 높은 종횡비의 갭(116)을 정의한다. 갭 종횡비는 도 1a에 도시된 바와 같이 분리 영역(101) 표면으로부터 에피택셜 반도체 층(103)의 상부까지의 갭(116)의 높이(Hg) 대 갭(116)의 폭(Wg)의 비율로서 정의된다. 갭들(116)은 10 내지 120nm의 높이 및 15 내지 50nm의 폭을 가질 수 있다. 일 실시예에서, 갭(116)은 60nm의 높이 및 20nm의 폭을 갖는다. 본 발명의 일 실시예에서, 갭(116)은 3의 종횡비를 갖는다.
핀들(102)은 기판(110)으로부터 연장한다. 일 실시예에서, 각각의 핀(102)은 연속적이며, 기판(110)의 전체 길이로 연장한다. 기판(110) 및 핀들(102)은 반도체 장치 제조에 적합한 임의의 재료를 포함한다. 일 실시예에서, 다중 핀 구조는 단결정 실리콘 기판과 같은 벌크 기판으로부터 직접 형성된다. 다른 실시예들에서, 벌크 기판은 게르마늄, 실리콘-게르마늄 또는 III-V 화합물 반도체 재료를 포함한다. 또한, 기판(110)은 격자 상수를 하부 기판으로부터 그 위에 형성되는 핀들에 필요한 재료까지 그레이딩(grading)하는 데 사용되는 버퍼층을 포함할 수 있다. 대안으로서, 구조(100)는 실리콘-온-절연체(SOI) 기판으로부터 제조될 수 있다. SOI 기판은 하부 벌크 기판, 중간 절연층 및 상부 단결정 층을 포함한다. 일 실시예에서, SOI 기판은 웨이퍼 트랜스퍼(wafer transfer)에 의해 형성된다. 일 실시예에서, 핀들(102)은 SOI 기판의 상부 단결정 층으로부터 형성된다.
본 발명의 일 실시예에서는, 한 쌍의 소스/드레인 트렌치 콘택들이 소스/드레인 영역들(112)을 둘러싸서, 에피택셜 반도체 층들(103)을 따르고, 높은 종횡비의 갭들(116)을 채운다. 일 실시예에서는, 에피택셜 반도체 층이 성장되지 않고, 핀들(102)의 표면 상에 바로 소스/드레인 트레인 콘택들이 형성된다. 일 실시예에서, 소스/드레인 트렌치 콘택들은 등각의 콘택 금속층(105) 및 충전 금속을 포함한다. 도 1a-b에 도시된 실시예에서, 충전 금속은 플러그(106) 및 장벽층(107)을 포함한다. 일 실시예에서, 콘택 금속층(105)은 도 1a-b에 도시된 바와 같이 에피택셜 반도체 층들(103), 유전층(104), 측벽 스페이서(121) 및 분리 영역들(101)과 같은 콘택 트렌치를 정의하는 표면들을 따른다. 핀들(102) 상에 에피택셜 반도체 층(103)이 존재하지 않는 실시예에서는, 핀들(102)의 표면 상에 바로 소스/드레인 트렌치 콘택들이 형성된다. 일 실시예에서, 콘택 금속층(105)은 인접하는 에피택셜 반도체 층들(103) 사이의 높은 종횡비의 갭들을 완전히 또는 거의 완전히 채워서, 각각의 핀(102)의 하부로의 낮은 도전성의 경로를 형성한다. 일 실시예에서는, 도 1a에 도시된 바와 같이 갭들(116) 내에 충전 금속 재료가 존재하지 않는다. 다른 실시예에서, 충전금속은 갭들(116) 내로 연장한다. 일 실시예에서는, 금속-반도체 계면에 공간(void)이 존재하지 않는다. 큰 콘택 표면적은 전류 밀집에 의해 유발되는 저항을 줄이며, 따라서 장치 풋프린트의 증가 없이 장치 성능을 개선한다. 일 실시예에서, 콘택 금속층(105)은 갭들(116)을 채우기에 충분한 두께로 형성되며, 소스/드레인 영역들(112)을 균일하게 커버한다. 콘택 금속층(105)의 두께는 1 내지 10nm의 범위에 걸칠 수 있다. 본 발명의 일 실시예에서, 콘택 금속층(105)은 5nm의 두께를 갖는다.
콘택 금속층(105)은 에피택셜 반도체 층(103)과 콘택 금속층(105) 간의 장벽 높이를 최소화하는 높은 도전성의 재료이며, 따라서 콘택들에서의 저항을 더 줄인다. 본 발명의 일 실시예에서는, 콘택 금속층(105)과 에피택셜 반도체 층(103) 사이의 금속-반도체 계면에 실리사이드가 형성되지 않는다. 일 실시예에서, 콘택 금속층(105)은 트랜지스터 구동 전류의 손실을 방지하기 위해 예를 들어 1.6-200 μΩ-cm의 높은 도전성을 갖는 재료를 포함한다. 일 실시예에서, 트랜지스터는 NMOS 트랜지스터이며, 콘택 금속층(105)은 n형 일함수를 갖는다. 특정 실시예에서, NMOS 트랜지스터는 n형 도핑된 소스/드레인 영역들 및 p형 도핑된 채널 영역을 갖는다. n형 소스/드레인 영역 상의 소스/드레인 콘택들을 위한 n형 일함수 금속의 사용은 금속-반도체 계면에서의 장벽 높이를 최소화한다. n형 콘택 금속층(105)은 3.9eV 내지 4.2eV의 일함수를 가질 수 있다. n형 콘택 금속층(105)을 위해 사용될 수 있는 금속들은 하프늄, 지르코늄, 티타늄, 탄탈륨, 알루미늄, 이러한 금속들의 합금들, 및 이러한 금속들의 탄화물들, 예를 들어 하프늄 탄화물, 지르코늄 탄화물, 티타늄 탄화물, 탄탈륨 탄화물 및 알루미늄 탄화물을 포함하지만 이에 한정되지 않는다. PMOS 장치는 p형 또는 n형 일함수 콘택 금속층(105)을 이용하여 제조될 수 있다. p형 콘택 금속층(105)은 5.1eV 내지 5.4eV의 일함수를 가질 수 있다. 특정 실시예에서, PMOS 트랜지스터는 p형 소스/드레인 영역들 및 n형 채널 영역을 갖는다. 콘택 금속층(105)은 전술한 임의의 n형 일함수 금속, 또는 루테늄, 팔라듐, 백금, 코발트, 니켈, 루테늄 산화물과 같은 도전성 금속 산화물들 또는 티타늄 질화물과 같은 도전성 금속 질화물들과 같은 p형 일함수 금속을 포함할 수 있다. 특정 실시예에서, 집적 회로는 n형 트랜지스터 및 p형 트랜지스터 양자를 포함하며, n형 트랜지스터는 n형 일함수를 갖는 콘택 금속을 포함하는 소스/드레인 콘택을 갖고, p형 트랜지스터는 p형 일함수를 갖는 콘택 금속을 포함하는 소스/드레인 콘택을 갖는다.
일 실시예에서, 콘택 금속층(105)의 상부에 형성된 충전 금속은 플러그(106) 및 장벽층(107)을 포함한다. 플러그(106)는 콘택 금속층(105) 위에 형성되어, 소스/드레인 영역(112)과의 전기적 접촉을 형성한다. 일 실시예에서, 플러그(106)는 콘택 금속층(105)보다 낮은 비저항을 갖는다. 일 실시예에서, 플러그(106)는 텅스텐, 알루미늄, 구리 또는 이들의 조합을 포함한다. 콘택 금속층(105)의 일함수를 변경하고, 금속-반도체 계면에서의 저항을 잠재적으로 증가시킬 수 있는 콘택 금속층(105)과 플러그(106)의 상호 확산을 방지하기 위해, 장벽층(107)은 플러그(106)를 라이닝한다. 장벽층(107)은 소스/드레인 콘택의 도전성을 과도하게 손상시키지 않고서 상호 확산을 방지하기에 적합한 임의의 재료 및 두께를 가질 수 있다. 일 실시예에서, 장벽층(107)은 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 하프늄 또는 란타늄을 포함한다. 장벽층(107)은 1 내지 5nm의 두께를 가질 수 있다. 일 실시예에서, 장벽층(107)은 2nm의 두께를 갖는다.
층간 유전체(ILD)(104)는 도시된 구조와 이웃 컴포넌트들 간의 층내 절연 및 도시된 구조를 포함하는 층과 임의의 추가적인 상부 또는 하부 장치 층들 간의 층간 절연 양자를 제공한다. 층간 유전체(104)는 실리콘 이산화물, 실리콘 질화물 또는 실리콘 산질화물과 같은, 그러나 이에 한정되지 않는 임의의 적절한 낮은 k의 재료일 수 있다.
본 발명의 일 실시예에 따른, 핀 기반 반도체 장치 상에 랩어라운드 소스/드레인 콘택들을 형성하기 위한 방법이 도 2a-h에 도시된다. 도 2a-e는 게이트 스택 및 소스/드레인 영역들을 포함하는 트랜지스터 컴포넌트들의 형성의 삼차원 사시도를 나타낸다. 도 2f-h는 장치의 소스/드레인 영역들 상의 소스/드레인 트렌치 콘택의 형성의 단면도를 나타낸다.
도 2a에 도시된 실시예에 도시된 바와 같이, 기판(210)으로부터 연장하는 복수의 핀(202) 및 채널 영역(214)을 정의하도록 핀들을 둘러싸는 게이트 구조(220)를 포함하는 구조가 제공된다. 한 쌍의 소스/드레인 영역들(212)이 각각의 핀(202) 내에 채널 영역의 대향 측면들 상에 배치된다. 핀들(202)은 높은 종횡비를 가지며, 장치 풋프린트를 최소화하기 위해 가까이 이격된다.
일 실시예에서, 핀들(202)은 벌크 기판(210)으로부터 형성되며, 핀들(202) 및 기판(210)은 반도체 장치 제조에 적합한 단결정 재료이다. 다른 실시예에서, 핀들은 SOI 기판으로부터 제조된다. 전술한 바와 같이, SOI 기판은 하부 벌크 층, 중간 절연층 및 상부 단결정 층을 포함한다. 일 실시예에서, 핀들(202)은 SOI 기판의 상부 단결정 층으로부터 형성되며, 중간 절연층은 핀들의 하부에서 분리 영역을 형성한다. 핀들(202)은 실리콘, 게르마늄, 실리콘-게르마늄, 하프늄 질화물 또는 III-V 화합물 반도체 재료일 수 있다. 특정 실시예에서, 핀들(202)은 실리콘이다.
분리 영역들(201)은 기판(210)의 표면에서 핀들(202)의 하부들 사이에 형성되어 핀들로부터의 누설을 방지한다. 분리 영역들(201)은 실리콘 이산화물, 실리콘 질화물 또는 실리콘 산질화물과 같은 낮은 k의 유전성 재료들을 포함할 수 있지만 이에 한정되지 않는 재료로 구성된다.
게이트 구조(220)는 핀들(202)을 둘러싼다. 게이트 구조(220)를 형성하기 위한 방법들이 이 분야에 공지되어 있다. 일 실시예에서, 게이트 구조(220)는 기능 게이트 전극 및 게이트 유전체를 포함한다. 다른 실시예에서, 게이트 구조(220)는 대체 게이트 프로세스를 위한 희생 게이트 전극 및 희생 게이트 유전체이다. 게이트 구조(220)의 형성에 이어서, 이 분야에 공지된 바와 같이, 예를 들어 팁(tip) 이온 주입 또는 할로(halo) 이온 주입에 의해 핀들(202)이 도핑될 수 있다. 일 실시예에서, 팁 및 할로 영역들은 진성 실리콘과 같은 진성 반도체로서 남겨질 수 있다.
도 2b에 도시된 실시예에 도시된 바와 같이, 희생 게이트(220)의 측벽들 상에 측벽 스페이서들(221)이 형성된다. 일 실시예에서, 측벽 스페이서들(221)은 각각의 핀의 소스/드레인 영역들 상에 후속 형성될 에피택셜 층으로부터 게이트를 격리시킨다. 측벽 스페이서들(221)은 실리콘 이산화물, 실리콘 산질화물, 실리콘 질화물 또는 실리콘 탄화물과 같은, 그러나 이에 한정되지 않는 절연성 유전성 재료를 포함할 수 있다. 측벽 스페이서들(221)은 스페이서 층의 블랭킷 퇴적(blanket deposition)에 이어지는 이방성 에칭 기술에 의해 형성될 수 있으며, 여기서 스페이서 재료는 게이트 측벽들 상에는 유지되지만, 핀 표면으로부터는 제거된다. 일 실시예에서는, 오버에치를 이용하여 핀들(202)의 측벽들로부터 스페이서 재료를 제거함으로써, 핀 표면들 상의 에피택셜 층의 후속 성장을 가능하게 한다.
이어서, 일 실시예에서, 도 2c에 도시된 바와 같이, 핀들(202)의 소스/드레인 영역들의 상면 및 측면에 에피택셜 반도체 층(203)이 형성된다. 일 실시예에서, 에피택셜 반도체 층(203)은 실리콘, 게르마늄 또는 실리콘-게르마늄과 같은, 그러나 이에 한정되지 않는, 핀들(202)과 동일한 재료이다. 에피택셜 반도체 층(203)은 핀들(202)의 표면적을 증가시켜, 더 큰 소스/드레인 콘택 영역을 가능하게 한다. 에피택셜 반도체 층들은 핀들의 반도체 표면들 상에 선택적으로 형성되지만, 유전성 재료 표면들 상에는 형성되지 않는다. 일 실시예에서, 인접 에피택셜 반도체 층들(203)은 별개인데, 즉 에피택셜 층은 인접하는 에피택셜 층과 접촉하거나 합체되지 않는다. 에피택셜 반도체 층들(203)의 형성은 인접하는 핀들(202) 사이의 갭을 좁히고, 핀들의 높이를 연장시키며, 따라서 갭들(216)은 더 큰 종횡비를 갖는다. 에피택셜 반도체 층들(203)은 핀들(202)의 상면 및 측면의 표면적을 증가시키기에 충분한 두께로 형성되어, 핀들에서의 전류 밀집을 줄인다. 에피택셜 반도체 층(203)은 0-25nm의 두께를 갖는다. 특정 실시예에서, 에피택셜 반도체 층(203)은 10nm의 두께를 갖는다. 화학 기상 퇴적(CVD)과 같은 임의의 공지 기술을 이용하여 에피택셜 층들을 선택적으로 성장시킬 수 있다. 다른 특정 실시예에서는, 핀들(202) 상에 에피택셜 반도체 재료가 성장되지 않는다.
소스/드레인 영역들(212)은 도핑되거나 도핑되지 않을 수 있다. 소스/드레인 영역들(212) 내로 고농도의 소스/드레인 이온 주입이 행해질 수 있다. 일 실시예에서, 이 분야에 공지된 바와 같이, 희생 게이트 구조(220)는 도핑 프로세스로부터 채널 영역을 보호하며, 스페이서들(221)은 채널 영역으로부터 도핑을 오프셋시킨다. 특정 실시예에서, 소스/드레인 영역들(212)은 n형 장치를 위해 n형 도핑된다. 다른 특정 실시예에서, 소스/드레인 영역들(212)은 p형 장치를 위해 p형 도핑된다. 도핑은 예를 들어 p형 도펀트를 위한 붕소 또는 n형 도펀트를 위한 인을 이용하는 이온 주입에 의해 행해질 수 있다. 일 실시예에서, 소스/드레인 영역들(212)은 에피택셜 반도체 층(203)의 형성 전에 도핑된다. 다른 실시예에서, 에피택셜 반도체 층(203)은 에피택셜 성장 프로세스 동안 그대로(in situ) 도핑된다.
이어서, 본 발명의 일 실시예에서, 유전층(204)이 구조 위에 블랭킷 퇴적된다. 도 2d에 도시된 바와 같이, 대체 게이트 프로세스를 위해 게이트 구조(220)를 노출시키기 위해 유전층(204)이 폴리싱될 수 있다. 게이트 구조(220)는 희생 게이트 유전체 및 희생 게이트 전극을 포함할 수 있다. 게이트 대체 프로세스 동안, 게이트 구조(220)의 전부 또는 일부가 제거되어, 측벽 스페이서들(221)이 남겨질 수 있다. 일 실시예에서는, 희생 게이트 전극 재료 및 희생 게이트 유전체 양자가 제거된다. 다른 실시예에서는, 희생 게이트 전극 재료가 제거되고, 게이트 유전성 재료는 유지되어, 후속 형성되는 게이트 스택(226)의 게이트 유전체를 형성한다. 이어서, 측벽 스페이서들(221) 사이에 게이트 스택(226)이 형성될 수 있다. 게이트 스택 재료들은 이 분야에 공지되어 있으며, 도 1a-b에 관련하여 위에서 설명되었다. 게이트 스택(226)의 일 실시예는 게이트 전극 및 게이트 유전체를 포함한다. 게이트 전극은 일함수 설정 층 및 비-일함수 설정 충전 재료를 포함할 수 있다. 일함수 설정 층은 p형, n형 또는 중간 갭 재료를 포함할 수 있다. 게이트 스택(226)은 게이트 스택의 상면이 유전층(204)과 편평해질 때까지 예를 들어 화학 기계 평탄화(CMP)에 의해 평탄화될 수 있다.
본 발명의 일 실시예에서, 도 2e에 도시된 바와 같이, 유전체(204)를 에칭하여, 소스/드레인 콘택 트렌치(240)를 형성한다. 소스/드레인 콘택 트렌치(240)는 분리층(201)까지 아래로 연장하여, 다수의 핀들 상의 에피택셜 반도체 층들(203)을 노출시킨다. 에피택셜 반도체 층이 존재하지 않는 실시예에서는 핀들(202)의 표면들이 노출된다. 특정 실시예에서, 노출된 핀들은 단일 장치를 포함한다. 다른 특정 실시예에서, 콘택 트렌치(240)는 인접하는 장치들을 함께 접속하는 콘택을 형성하기 위해 개별 장치들에 대응하는 핀들을 노출시킨다. 유전체(204)는 습식 에치와 같은 임의의 적절한 프로세스에 의해 에칭될 수 있다.
도 2f는 라인 A-A'를 따라 취해진, 도 2e에 도시된 구조의 이차원 단면도를 나타낸다. 콘택 트렌치(240)는 분리 영역들(201) 및 유전체(204)의 부분들과 함께 핀들(202) 상의 에피택셜 반도체 층들(203)을 노출시킨다. 핀들(202)은 기판(210)으로부터 연장한다. 에피택셜 반도체 층들(203)은 2보다 큰 종횡비를 갖는 갭(216)에 의해 분리된다.
도 2g에 도시된 실시예에 도시된 바와 같이, 콘택 트렌치(240) 내에 콘택 금속층(205)이 형성된다. 특정 실시예에서, 콘택 금속(205)은 콘택 트렌치(240)를 완전히 채운다. 다른 실시예에서, 콘택 금속층(205)은 균일한 두께를 가지며, 콘택 트렌치(240) 내에 노출된 분리 영역들(201) 및 유전층(204)의 부분들과 함께 에피택셜 반도체 층(203)의 표면들을 따른다. 일 실시예에서, 콘택 금속층(205)은 갭들(216)을 완전히 채우며, 에피택셜 반도체 층(203)의 상면 위를 채워서, 콘택 영역을 최대화하고, 핀들(202)의 하부로의 낮은 도전성의 경로를 형성한다. 일 실시예에서는, 금속-반도체 계면에 공간이 존재하지 않는다. 콘택 금속층(205)은 도 1a-b와 관련하여 전술한 바와 같이 에피택셜 반도체 층(203)에 대한 장벽 높이를 최소화하도록 선택된 재료를 포함한다. 일 실시예에서, 콘택 금속층(205)의 등각 특성은 원자 층 퇴적(ALD) 또는 CVD에 의한 퇴적을 통해 달성된다.
일 실시예에서, 콘택 금속층(205)은 도 2h에 도시된 바와 같이 핀들(202) 위에 플러그 트렌치(230)를 형성한다. 다른 실시예에서, 플러그 트렌치(230)는 핀들(202) 사이의 갭들(216) 내로 연장한다. 일 실시예에서, 플러그 트렌치(230)는 장벽층(207)으로 라이닝되고, 플러그(206)로 채워진다. 장벽층(207)은 플러그(206)의 표면을 따름으로써 콘택 금속층(205)과 플러그(206)의 상호 확산을 방지한다. 장벽층(207)은 또한 콘택 금속층(205)에 대한 플러그(206)의 접착을 개선한다. 장벽층은 또한 플러그 금속(206)의 퇴적 동안 콘택 금속층(205)의 화학적 공격을 방지한다. 일 실시예에서, 장벽층(207)은 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 하프늄 또는 란타늄을 포함한다. 플러그 트렌치(230) 내의 장벽층(207)의 등각 퇴적이 ALD 또는 CVD에 의해 달성된다. 플러그(206)는 콘택 금속층(205)을 형성하는 재료보다 낮은 저항을 갖는 재료를 포함할 수 있다. 일 실시예에서, 플러그(206)는 텅스텐, 알루미늄, 구리 또는 이들의 조합을 포함한다. 일 실시예에서, 플러그(206)는 기판 위에 블랭킷 퇴적되어, 플러그 트렌치(230)를 채운다. 플러그(206)는 예를 들어 CVD와 같은 임의의 적절한 방법에 의해 퇴적될 수 있다.
이어서, 본 발명의 일 실시예에 따라, 플러그(206), 장벽층(207) 및 콘택 금속층(205)이 화학적으로 기계적으로 평탄화된다. 일 실시예에서, 상호접속이 소스/드레인 트렌치 콘택에 전기적으로 결합되어 집적 회로를 형성한다. 따라서, 랩어라운드 소스/드레인 트렌치 콘택이 개시되었다.
도 3은 본 발명의 일 실시예에 따른 컴퓨팅 장치(300)를 나타낸다. 컴퓨팅 장치(300)는 보드(302)를 내장한다. 보드(302)는 프로세서(304) 및 적어도 하나의 통신 칩(306)을 포함하지만 이에 한정되지 않는 다수의 컴포넌트를 포함할 수 있다. 프로세서(304)는 보드(302)에 물리적으로 전기적으로 결합된다. 일부 구현들에서, 적어도 하나의 통신 칩(306)이 보드(302)에 물리적으로 전기적으로 결합된다. 추가적인 구현들에서, 통신 칩(306)은 프로세서(304)의 일부이다.
컴퓨팅 장치(300)는 그의 응용들에 따라 보드(302)에 물리적으로 전기적으로 결합되거나 결합되지 않을 수 있는 다른 컴포넌트들을 포함할 수 있다. 이러한 다른 컴포넌트들은 휘발성 메모리(예로서, DRAM), 비휘발성 메모리(예로서, ROM), 플래시 메모리, 그래픽 프로세서, 디지털 신호 프로세서, 암호 프로세서, 칩셋, 안테나, 디스플레이, 터치스크린 디스플레이, 터치스크린 제어기, 배터리, 오디오 코덱, 비디오 코덱, 전력 증폭기, 글로벌 포지셔닝 시스템(GPS) 장치, 컴퍼스, 가속도계, 자이로스코프, 스피커, 카메라 및 대용량 저장 장치(예로서, 하드 디스크 드라이브, 컴팩트 디스크(CD), 디지털 다기능 디스크(DVD) 등)를 포함하지만 이에 한정되지 않는다.
통신 칩(306)은 컴퓨팅 장치(300)로의 그리고 그로부터의 데이터의 전송을 위한 무선 통신을 가능하게 한다. 용어 "무선" 및 그의 파생어들은 변조된 전자기파를 이용하여 무형 매체를 통해 데이터를 통신할 수 있는 회로, 장치, 시스템, 방법, 기술, 통신 채널 등을 설명하는 데 사용될 수 있다. 이 용어는 일부 실시예들에서는 아닐 수도 있지만 관련 장치들이 임의의 유선을 포함하지 않는다는 것을 의미하지 않는다. 통신 칩(306)은 Wi-Fi(IEEE 802.11 패밀리), WiMAX(IEEE 802.16 패밀리), IEEE 802.20, 롱텀 에볼루션(LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, 블루투스, 이들의 파생물들은 물론, 3G, 4G, 5G 이상으로서 지시되는 임의의 다른 무선 프로토콜들을 포함하지만 이에 한정되지 않는 임의의 다양한 무선 표준 또는 프로토콜을 구현할 수 있다. 컴퓨팅 장치(300)는 복수의 통신 칩(306)을 포함할 수 있다. 예를 들어, 제1 통신 칩(306)은 Wi-Fi 및 블루투스와 같은 단거리 무선 통신에 전용화될 수 있고, 제2 통신 칩(306)은 GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO 등과 같은 장거리 무선 통신에 전용화될 수 있다.
컴퓨팅 장치(300)의 프로세서(304)는 프로세서(304) 내에 패키징된 집적 회로 다이를 포함한다. 본 발명의 일부 구현들에서, 프로세서의 집적 회로 다이는 본 발명의 구현들에 따라 형성되는 덮개 층들과의 에어갭 상호접속들과 같은 하나 이상의 장치를 포함한다. 용어 "프로세서"는 레지스터들 및/또는 메모리로부터의 전자 데이터를 처리하여 전자 데이터를 레지스터들 및/또는 메모리에 저장될 수 있는 다른 전자 데이터로 변환하는 임의의 장치 또는 장치의 일부를 지칭할 수 있다.
통신 칩(306) 또한 통신 칩(306) 내에 패키징된 집적 회로 다이를 포함한다. 본 발명의 다른 구현에 따르면, 통신 칩의 집적 회로 다이는 본 발명의 구현들에 따라 형성되는 덮개 층들과의 에어갭 상호접속들과 같은 하나 이상의 장치를 포함한다.
추가적인 구현들에서, 컴퓨팅 장치(300) 내에 내장되는 다른 컴포넌트는 본 발명의 구현들에 따라 형성되는 덮개 층들과의 에어갭 상호접속들과 같은 하나 이상의 장치를 포함하는 집적 회로 다이를 포함할 수 있다.
다양한 구현들에서, 컴퓨팅 장치(300)는 랩탑, 넷북, 노트북, 울트라북, 스마트폰, 태블릿, 개인용 휴대 단말기(PDA), 울트라 모바일 PC, 모바일 폰, 데스크탑 컴퓨터, 서버, 프린터, 스캐너, 모니터, 셋톱 박스, 엔터테인먼트 제어 유닛, 디지털 카메라, 휴대용 뮤직 플레이어 또는 디지털 비디오 레코더일 수 있다. 추가적인 구현들에서, 컴퓨팅 장치(300)는 데이터를 처리하는 임의의 다른 전자 장치일 수 있다.

Claims (20)

  1. 반도체 구조물로서,
    단결정 실리콘 기판에 연속하고 분리 영역을 통해 단결정 실리콘 기판으로부터 연장되는 제1 실리콘 바디 - 상기 제1 실리콘 바디는 상부 및 횡방향으로 대향하는 측벽들을 가짐 -;
    상기 단결정 실리콘 기판에 연속하고 상기 분리 영역을 통해 상기 단결정 실리콘 기판으로부터 연장되는 제2 실리콘 바디 - 상기 제2 실리콘 바디는 상부 및 횡방향으로 대향하는 측벽들을 가지며, 상기 제2 실리콘 바디는 상기 제1 실리콘 바디와 평행함 -;
    상기 제1 실리콘 바디의 상기 상부 위 및 상기 횡방향으로 대향하는 측벽들에 인접하고, 상기 제2 실리콘 바디의 상기 상부 위 및 상기 횡방향으로 대향하는 측벽들에 인접하고, 상기 분리 영역의 일부 위의 게이트 전극 - 상기 게이트 전극은 상기 제1 실리콘 바디 내의 제1 채널 영역 및 상기 제2 실리콘 바디 내의 제2 채널 영역을 정의함 -;
    상기 게이트 전극의 제1 측면에서 상기 제1 채널 영역의 제1 단부에서의 제1 에피택셜 실리콘 게르마늄 소스 영역;
    상기 게이트 전극의 상기 제1 측면에서 상기 제2 채널 영역의 제1 단부에서의 제2 에피택셜 실리콘 게르마늄 소스 영역 - 상기 제2 에피택셜 실리콘 게르마늄 소스 영역은 상기 제1 에피택셜 실리콘 게르마늄 소스 영역에 횡방향으로 인접하지만 상기 제1 에피택셜 실리콘 게르마늄 소스 영역과 접촉하거나 상기 제1 에피택셜 실리콘 게르마늄 소스 영역에 합체되지 않음 -;
    상기 제1 에피택셜 실리콘 게르마늄 소스 영역 및 상기 제2 에피택셜 실리콘 게르마늄 소스 영역의 횡방향으로 인접하는 부분들 사이의 제1 갭 - 상기 제1 갭은 상기 제1 실리콘 바디와 상기 제2 실리콘 바디 사이의 상기 분리 영역의 상부면의 제1 부분 위에 있음 -;
    상기 제1 에피택셜 실리콘 게르마늄 소스 영역 및 상기 제2 에피택셜 실리콘 게르마늄 소스 영역 위의 제1 콘택 - 상기 제1 콘택은, 상기 제1 에피택셜 실리콘 게르마늄 소스 영역과 상기 제2 에피택셜 실리콘 게르마늄 소스 영역의 위, 및 상기 제1 갭의 내부가 아닌 상기 제1 갭 위의 제1 티타늄 질화물 장벽층; 및 상기 제1 티타늄 질화물 장벽층의 횡방향으로 대향하는 측벽들 사이 및 상기 제1 티타늄 질화물 장벽층의 최하단 부분 상의 제1 텅스텐 플러그를 포함함 -;
    상기 게이트 전극의 상기 제1 측면에 대향하는 상기 게이트 전극의 제2 측면에서 상기 제1 채널 영역의 제2 단부에서의 제1 에피택셜 실리콘 게르마늄 드레인 영역;
    상기 게이트 전극의 상기 제2 측면에서 상기 제2 채널 영역의 제2 단부에서의 제2 에피택셜 실리콘 게르마늄 드레인 영역 - 상기 제2 에피택셜 실리콘 게르마늄 드레인 영역은 상기 제1 에피택셜 실리콘 게르마늄 드레인 영역에 횡방향으로 인접하지만 상기 제1 에피택셜 실리콘 게르마늄 드레인 영역과 접촉하거나 상기 제1 에피택셜 실리콘 게르마늄 드레인 영역에 합체되지 않음 -;
    상기 제1 에피택셜 실리콘 게르마늄 드레인 영역 및 상기 제2 에피택셜 실리콘 게르마늄 드레인 영역의 횡방향으로 인접하는 부분들 사이의 제2 갭 - 상기 제2 갭은 상기 제1 실리콘 바디와 상기 제2 실리콘 바디 사이의 상기 분리 영역의 상기 상부면의 제2 부분 위에 있음 -; 및
    상기 제1 에피택셜 실리콘 게르마늄 드레인 영역 및 상기 제2 에피택셜 실리콘 게르마늄 드레인 영역 위의 제2 콘택 - 상기 제2 콘택은, 상기 제1 에피택셜 실리콘 게르마늄 드레인 영역과 상기 제2 에피택셜 실리콘 게르마늄 드레인 영역의 위, 및 상기 제2 갭의 내부가 아닌 상기 제2 갭 위의 제2 티타늄 질화물 장벽층; 및 상기 제2 티타늄 질화물 장벽층의 횡방향으로 대향하는 측벽들 사이 및 상기 제2 티타늄 질화물 장벽층의 최하단 부분 상의 제2 텅스텐 플러그를 포함함 -;
    을 포함하는 반도체 구조물.
  2. 제1항에 있어서,
    상기 제1 티타늄 질화물 장벽층의 최하단 부분은 평평하고(flat), 상기 제2 티타늄 질화물 장벽층의 최하단 부분은 평평한 반도체 구조물.
  3. 제1항에 있어서,
    상기 제1 콘택은 상기 제1 에피택셜 실리콘 게르마늄 소스 영역 위에 상기 제1 에피택셜 실리콘 게르마늄 소스 영역과 이격하여 배치되고, 상기 제1 콘택은 상기 제2 에피택셜 실리콘 게르마늄 소스 영역 위에 상기 제2 에피택셜 실리콘 게르마늄 소스 영역과 이격하여 배치되고,
    상기 제2 콘택은 상기 제1 에피택셜 실리콘 게르마늄 드레인 영역 위에 상기 제1 에피택셜 실리콘 게르마늄 드레인 영역과 이격하여 배치되고, 상기 제2 콘택은 상기 제2 에피택셜 실리콘 게르마늄 드레인 영역 위에 상기 제2 에피택셜 실리콘 게르마늄 드레인 영역과 이격하여 배치되는 반도체 구조물.
  4. 제3항에 있어서,
    상기 제1 콘택과 상기 제1 에피택셜 실리콘 게르마늄 소스 영역 사이, 및 상기 제1 콘택과 상기 제2 에피택셜 실리콘 게르마늄 소스 영역 사이의 제1 콘택 금속층; 및
    상기 제2 콘택과 상기 제1 에피택셜 실리콘 게르마늄 드레인 영역 사이, 및 상기 제2 콘택과 상기 제2 에피택셜 실리콘 게르마늄 드레인 영역 사이의 제2 콘택 금속층
    을 더 포함하는 반도체 구조물.
  5. 제4항에 있어서,
    상기 제1 텅스텐 플러그 및 상기 제2 텅스텐 플러그는 상기 제1 콘택 금속층 및 상기 제2 콘택 금속층보다 낮은 비저항을 갖는 반도체 구조물.
  6. 제1항에 있어서,
    상기 제1 티타늄 장벽층 및 상기 제2 티타늄 장벽층 각각은 1 나노미터 내지 5 나노미터의 두께를 갖는 반도체 구조물.
  7. 제1항에 있어서,
    상기 제1 티타늄 장벽층 및 상기 제2 티타늄 장벽층 각각은 2 나노미터의 두께를 갖는 반도체 구조물.
  8. 제1항에 있어서,
    상기 게이트 전극과 상기 제1 실리콘 바디 사이, 및 상기 게이트 전극과 상기 제2 실리콘 바디 사이의 게이트 유전층을 더 포함하는 반도체 구조물.
  9. 제8항에 있어서,
    상기 게이트 유전층은 하프늄 산화물층을 포함하고, 상기 게이트 전극은 금속을 포함하는 반도체 구조물.
  10. 제1항에 있어서,
    상기 게이트 전극의 측벽들에 횡방향으로 인접하는 유전성 측벽 스페이서를 더 포함하고, 상기 유전성 측벽 스페이서는 실리콘 질화물을 포함하는 반도체 구조물.
  11. 반도체 구조물로서,
    단결정 실리콘 기판 상의 절연층 상의 제1 실리콘 바디 - 상기 제1 실리콘 바디는 상부 및 횡방향으로 대향하는 측벽들을 가짐 -;
    상기 단결정 실리콘 기판 상의 상기 절연층 상의 제2 실리콘 바디 - 상기 제2 실리콘 바디는 상부 및 횡방향으로 대향하는 측벽들을 가지며, 상기 제2 실리콘 바디는 상기 제1 실리콘 바디와 평행함 -;
    상기 제1 실리콘 바디의 상기 상부 위 및 상기 횡방향으로 대향하는 측벽들에 인접하고, 상기 제2 실리콘 바디의 상기 상부 위 및 상기 횡방향으로 대향하는 측벽들에 인접하고, 상기 절연층의 일부 위의 게이트 전극 - 상기 게이트 전극은 상기 제1 실리콘 바디 내의 제1 채널 영역 및 상기 제2 실리콘 바디 내의 제2 채널 영역을 정의함 -;
    상기 게이트 전극의 제1 측면에서 상기 제1 채널 영역의 제1 단부에서의 제1 에피택셜 실리콘 게르마늄 소스 영역;
    상기 게이트 전극의 상기 제1 측면에서 상기 제2 채널 영역의 제1 단부에서의 제2 에피택셜 실리콘 게르마늄 소스 영역 - 상기 제2 에피택셜 실리콘 게르마늄 소스 영역은 상기 제1 에피택셜 실리콘 게르마늄 소스 영역에 횡방향으로 인접하지만 상기 제1 에피택셜 실리콘 게르마늄 소스 영역과 접촉하거나 상기 제1 에피택셜 실리콘 게르마늄 소스 영역에 합체되지 않음 -;
    상기 제1 에피택셜 실리콘 게르마늄 소스 영역 및 상기 제2 에피택셜 실리콘 게르마늄 소스 영역의 횡방향으로 인접하는 부분들 사이의 제1 갭 - 상기 제1 갭은 상기 제1 실리콘 바디와 상기 제2 실리콘 바디 사이의 상기 절연층의 상부면의 제1 부분 위에 있음 -;
    상기 제1 에피택셜 실리콘 게르마늄 소스 영역 및 상기 제2 에피택셜 실리콘 게르마늄 소스 영역 위의 제1 콘택 - 상기 제1 콘택은, 상기 제1 에피택셜 실리콘 게르마늄 소스 영역과 상기 제2 에피택셜 실리콘 게르마늄 소스 영역의 위, 및 상기 제1 갭의 내부가 아닌 상기 제1 갭 위의 제1 티타늄 질화물 장벽층; 및 상기 제1 티타늄 질화물 장벽층의 횡방향으로 대향하는 측벽들 사이 및 상기 제1 티타늄 질화물 장벽층의 최하단 부분 상의 제1 텅스텐 플러그를 포함함 -;
    상기 게이트 전극의 상기 제1 측면에 대향하는 상기 게이트 전극의 제2 측면에서 상기 제1 채널 영역의 제2 단부에서의 제1 에피택셜 실리콘 게르마늄 드레인 영역;
    상기 게이트 전극의 상기 제2 측면에서 상기 제2 채널 영역의 제2 단부에서의 제2 에피택셜 실리콘 게르마늄 드레인 영역 - 상기 제2 에피택셜 실리콘 게르마늄 드레인 영역은 상기 제1 에피택셜 실리콘 게르마늄 드레인 영역에 횡방향으로 인접하지만 상기 제1 에피택셜 실리콘 게르마늄 드레인 영역과 접촉하거나 상기 제1 에피택셜 실리콘 게르마늄 드레인 영역에 합체되지 않음 -;
    상기 제1 에피택셜 실리콘 게르마늄 드레인 영역 및 상기 제2 에피택셜 실리콘 게르마늄 드레인 영역의 횡방향으로 인접하는 부분들 사이의 제2 갭 - 상기 제2 갭은 상기 제1 실리콘 바디와 상기 제2 실리콘 바디 사이의 상기 절연층의 상기 상부면의 제2 부분 위에 있음 -; 및
    상기 제1 에피택셜 실리콘 게르마늄 드레인 영역 및 상기 제2 에피택셜 실리콘 게르마늄 드레인 영역 위의 제2 콘택 - 상기 제2 콘택은, 상기 제1 에피택셜 실리콘 게르마늄 드레인 영역과 상기 제2 에피택셜 실리콘 게르마늄 드레인 영역의 위, 및 상기 제2 갭의 내부가 아닌 상기 제2 갭 위의 제2 티타늄 질화물 장벽층; 및 상기 제2 티타늄 질화물 장벽층의 횡방향으로 대향하는 측벽들 사이 및 상기 제2 티타늄 질화물 장벽층의 최하단 부분 상의 제2 텅스텐 플러그를 포함함 -;
    을 포함하는 반도체 구조물.
  12. 제11항에 있어서,
    상기 제1 티타늄 질화물 장벽층의 최하단 부분은 평평하고, 상기 제2 티타늄 질화물 장벽층의 최하단 부분은 평평한 반도체 구조물.
  13. 제11항에 있어서,
    상기 제1 콘택은 상기 제1 에피택셜 실리콘 게르마늄 소스 영역 위에 상기 제1 에피택셜 실리콘 게르마늄 소스 영역과 이격하여 배치되고, 상기 제1 콘택은 상기 제2 에피택셜 실리콘 게르마늄 소스 영역 위에 상기 제2 에피택셜 실리콘 게르마늄 소스 영역과 이격하여 배치되고,
    상기 제2 콘택은 상기 제1 에피택셜 실리콘 게르마늄 드레인 영역 위에 상기 제1 에피택셜 실리콘 게르마늄 드레인 영역과 이격하여 배치되고, 상기 제2 콘택은 상기 제2 에피택셜 실리콘 게르마늄 드레인 영역 위에 상기 제2 에피택셜 실리콘 게르마늄 드레인 영역과 이격하여 배치되는 반도체 구조물.
  14. 제13항에 있어서,
    상기 제1 콘택과 상기 제1 에피택셜 실리콘 게르마늄 소스 영역 사이, 및 상기 제1 콘택과 상기 제2 에피택셜 실리콘 게르마늄 소스 영역 사이의 제1 콘택 금속층; 및
    상기 제2 콘택과 상기 제1 에피택셜 실리콘 게르마늄 드레인 영역 사이, 및 상기 제2 콘택과 상기 제2 에피택셜 실리콘 게르마늄 드레인 영역 사이의 제2 콘택 금속층
    을 더 포함하는 반도체 구조물.
  15. 제14항에 있어서,
    상기 제1 텅스텐 플러그 및 상기 제2 텅스텐 플러그는 상기 제1 콘택 금속층 및 상기 제2 콘택 금속층보다 낮은 비저항을 갖는 반도체 구조물.
  16. 제11항에 있어서,
    상기 제1 티타늄 장벽층 및 상기 제2 티타늄 장벽층 각각은 1 나노미터 내지 5 나노미터의 두께를 갖는 반도체 구조물.
  17. 제11항에 있어서,
    상기 제1 티타늄 장벽층 및 상기 제2 티타늄 장벽층 각각은 2 나노미터의 두께를 갖는 반도체 구조물.
  18. 제11항에 있어서,
    상기 게이트 전극과 상기 제1 실리콘 바디 사이, 및 상기 게이트 전극과 상기 제2 실리콘 바디 사이의 게이트 유전층을 더 포함하는 반도체 구조물.
  19. 제18항에 있어서,
    상기 게이트 유전층은 하프늄 산화물층을 포함하고, 상기 게이트 전극은 금속을 포함하는 반도체 구조물.
  20. 제11항에 있어서,
    상기 게이트 전극의 측벽들에 횡방향으로 인접하는 유전성 측벽 스페이서를 더 포함하고, 상기 유전성 측벽 스페이서는 실리콘 질화물을 포함하는 반도체 구조물.
KR1020167030997A 2011-12-30 2011-12-30 반도체 구조물 KR101792086B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/068218 WO2013101219A1 (en) 2011-12-30 2011-12-30 Wrap-around trench contact structure and methods of fabrication

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020147017850A Division KR101675121B1 (ko) 2011-12-30 2011-12-30 랩어라운드 트렌치 콘택을 포함하는 장치, 구조물 및 제조 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177030778A Division KR20170121335A (ko) 2011-12-30 2011-12-30 반도체 구조물

Publications (2)

Publication Number Publication Date
KR20160130873A KR20160130873A (ko) 2016-11-14
KR101792086B1 true KR101792086B1 (ko) 2017-11-01

Family

ID=48698451

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020167030997A KR101792086B1 (ko) 2011-12-30 2011-12-30 반도체 구조물
KR1020147017850A KR101675121B1 (ko) 2011-12-30 2011-12-30 랩어라운드 트렌치 콘택을 포함하는 장치, 구조물 및 제조 방법
KR1020187017196A KR20180070718A (ko) 2011-12-30 2011-12-30 집적 회로 구조물 및 집적 회로 구조물의 제조 방법
KR1020177030778A KR20170121335A (ko) 2011-12-30 2011-12-30 반도체 구조물

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020147017850A KR101675121B1 (ko) 2011-12-30 2011-12-30 랩어라운드 트렌치 콘택을 포함하는 장치, 구조물 및 제조 방법
KR1020187017196A KR20180070718A (ko) 2011-12-30 2011-12-30 집적 회로 구조물 및 집적 회로 구조물의 제조 방법
KR1020177030778A KR20170121335A (ko) 2011-12-30 2011-12-30 반도체 구조물

Country Status (5)

Country Link
US (4) US9876016B2 (ko)
KR (4) KR101792086B1 (ko)
CN (3) CN104160511B (ko)
TW (1) TWI546963B (ko)
WO (1) WO2013101219A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10964791B2 (en) 2017-11-17 2021-03-30 Samsung Electronics Co., Ltd. Semiconductor device having silicides and methods of manufacturing the same

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9397217B2 (en) * 2012-12-28 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of non-planar semiconductor device
US8941156B2 (en) * 2013-01-07 2015-01-27 International Business Machines Corporation Self-aligned dielectric isolation for FinFET devices
CN104282561B (zh) * 2013-07-02 2018-11-06 中国科学院微电子研究所 FinFET器件及其制作方法
US9685509B2 (en) 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US9704880B2 (en) * 2013-11-06 2017-07-11 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US20150187915A1 (en) * 2013-12-26 2015-07-02 Samsung Electronics Co., Ltd. Method for fabricating fin type transistor
CN110610866B (zh) * 2013-12-27 2023-05-30 英特尔公司 扩散的尖端延伸晶体管
US9431492B2 (en) 2014-02-21 2016-08-30 Samsung Electronics Co., Ltd. Integrated circuit devices including contacts and methods of forming the same
US9653461B2 (en) * 2014-03-28 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
US9406804B2 (en) * 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US20160002784A1 (en) 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure
TWI659457B (zh) * 2014-07-07 2019-05-11 美商瓦里安半導體設備公司 在基底上選擇性地摻雜三維基底特徵的方法
US9362285B2 (en) * 2014-10-02 2016-06-07 International Business Machines Corporation Structure and method to increase contact area in unmerged EPI integration for CMOS FinFETs
US9953979B2 (en) 2014-11-24 2018-04-24 Qualcomm Incorporated Contact wrap around structure
US9559207B2 (en) 2015-03-23 2017-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having epitaxy structure
KR102340329B1 (ko) 2015-03-25 2021-12-21 삼성전자주식회사 반도체 소자
US9443853B1 (en) * 2015-04-07 2016-09-13 International Business Machines Corporation Minimizing shorting between FinFET epitaxial regions
KR102366976B1 (ko) 2015-05-04 2022-02-24 삼성전자주식회사 콘택 플러그를 갖는 반도체 소자 및 그 형성 방법
KR102415327B1 (ko) * 2015-06-01 2022-06-30 삼성전자주식회사 비활성-핀을 갖는 반도체 소자 및 그 형성 방법
US10355005B2 (en) * 2015-06-26 2019-07-16 Intel Corporation Semi-volatile embedded memory with between-fin floating-gate device and method
KR102422430B1 (ko) 2015-07-16 2022-07-18 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9397197B1 (en) * 2015-09-23 2016-07-19 International Business Machines Corporation Forming wrap-around silicide contact on finFET
US10181527B2 (en) * 2015-10-16 2019-01-15 Samsung Electronics Co., Ltd. FinFet having dual vertical spacer and method of manufacturing the same
US10340348B2 (en) * 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US9997522B2 (en) * 2015-12-03 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
EP3394883A4 (en) * 2015-12-22 2019-08-14 Intel Corporation PRUDENT INTEGRATION III-V / CMOS IF OR GE-BASED FIN
US9601379B1 (en) 2015-12-23 2017-03-21 Globalfoundries Inc. Methods of forming metal source/drain contact structures for semiconductor devices with gate all around channel structures
US11189700B2 (en) * 2015-12-23 2021-11-30 Intel Corporation Fabrication of wrap-around and conducting metal oxide contacts for IGZO non-planar devices
US9589847B1 (en) 2016-02-18 2017-03-07 International Business Machines Corporation Metal layer tip to tip short
US9755019B1 (en) 2016-03-03 2017-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10002962B2 (en) * 2016-04-27 2018-06-19 International Business Machines Corporation Vertical FET structure
US9818652B1 (en) * 2016-04-27 2017-11-14 Globalfoundries Inc. Commonly-bodied field-effect transistors
US10134905B2 (en) * 2016-06-30 2018-11-20 International Business Machines Corporation Semiconductor device including wrap around contact, and method of forming the semiconductor device
CN107799522B (zh) * 2016-08-29 2020-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11088033B2 (en) 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
JP2018107317A (ja) * 2016-12-27 2018-07-05 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US10084094B1 (en) 2017-03-17 2018-09-25 International Business Machines Corporation Wrapped source/drain contacts with enhanced area
US11063151B2 (en) * 2017-03-30 2021-07-13 Intel Corporation Metal chemical vapor deposition approaches for fabricating wrap-around contacts and resulting structures
US20200144374A1 (en) * 2017-06-30 2020-05-07 Intel Corporation Transistor with wide bandgap channel and narrow bandgap source/drain
EP3480842A1 (en) 2017-11-02 2019-05-08 IMEC vzw Method for forming source/drain contacts
US10355103B2 (en) * 2017-12-18 2019-07-16 International Business Machines Corporation Long channels for transistors
CN109994418A (zh) * 2017-12-29 2019-07-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10916638B2 (en) * 2018-09-18 2021-02-09 International Business Machines Corporation Vertical fin field effect transistor devices with reduced top source/drain variability and lower resistance
US11011422B2 (en) * 2018-10-11 2021-05-18 International Business Machines Corporation Self-aligned wrap-around trench contacts
CN111162044B (zh) * 2018-11-08 2022-09-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法、半导体器件和电子装置
KR20210022814A (ko) 2019-08-20 2021-03-04 삼성전자주식회사 반도체 소자
US11004984B2 (en) 2019-09-23 2021-05-11 International Business Machines Corporation Low resistivity epitaxially formed contact region for nanosheet external resistance reduction
US11177366B2 (en) * 2020-01-13 2021-11-16 International Business Machines Corporation Gate induced drain leakage reduction in FinFETs
CN112201623A (zh) * 2020-09-21 2021-01-08 上海华力集成电路制造有限公司 FinFET中接触插塞的形成工艺
KR20220049175A (ko) 2020-10-14 2022-04-21 삼성전자주식회사 반도체 장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110175165A1 (en) * 2010-01-19 2011-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin device and method for forming the same using high tilt angle implant

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6967351B2 (en) * 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
JP2003289134A (ja) * 2002-03-28 2003-10-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6833556B2 (en) * 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6857478B1 (en) * 2003-01-29 2005-02-22 Junata E. Weber Packaged residential fire sprinkler system
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US6969656B2 (en) 2003-12-05 2005-11-29 Freescale Semiconductor, Inc. Method and circuit for multiplying signals with a transistor having more than one independent gate structure
KR100539008B1 (ko) * 2003-12-30 2005-12-27 동부아남반도체 주식회사 핀 트랜지스터 제조 방법
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7056773B2 (en) * 2004-04-28 2006-06-06 International Business Machines Corporation Backgated FinFET having different oxide thicknesses
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7190050B2 (en) * 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
DE102006027178A1 (de) * 2005-11-21 2007-07-05 Infineon Technologies Ag Multi-Fin-Bauelement-Anordnung und Verfahren zum Herstellen einer Multi-Fin-Bauelement-Anordnung
US7456471B2 (en) * 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US7968952B2 (en) * 2006-12-29 2011-06-28 Intel Corporation Stressed barrier plug slot contact structure for transistor performance enhancement
US7692254B2 (en) * 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7615831B2 (en) * 2007-10-26 2009-11-10 International Business Machines Corporation Structure and method for fabricating self-aligned metal contacts
KR101471858B1 (ko) * 2008-09-05 2014-12-12 삼성전자주식회사 바 타입의 액티브 패턴을 구비하는 반도체 장치 및 그 제조방법
WO2010043275A1 (en) 2008-10-17 2010-04-22 Huntsman Advanced Materials (Switzerland) Gmbh Improvements for rapid prototyping apparatus
JP2010147282A (ja) 2008-12-19 2010-07-01 Renesas Technology Corp 半導体集積回路装置
US8110877B2 (en) * 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US8860124B2 (en) * 2009-01-15 2014-10-14 Macronix International Co., Ltd. Depletion-mode charge-trapping flash device
US8507996B2 (en) * 2009-09-22 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Block contact plugs for MOS devices
US8592918B2 (en) * 2009-10-28 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Forming inter-device STI regions and intra-device STI regions using different dielectric materials
US20110147840A1 (en) * 2009-12-23 2011-06-23 Cea Stephen M Wrap-around contacts for finfet and tri-gate devices
US8310013B2 (en) * 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US20110260282A1 (en) * 2010-04-23 2011-10-27 Toshiba America Electronic Components, Inc. Semiconductor device and manufacturing methods
US8551874B2 (en) * 2010-05-08 2013-10-08 International Business Machines Corporation MOSFET gate and source/drain contact metallization
US8729627B2 (en) * 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8362574B2 (en) * 2010-06-04 2013-01-29 Kabushiki Kaisha Toshiba Faceted EPI shape and half-wrap around silicide in S/D merged FinFET
CN102310008A (zh) 2010-06-30 2012-01-11 农业部农药检定所 一种容量瓶支架
US8415751B2 (en) * 2010-12-30 2013-04-09 Intel Corporation Method to reduce contact resistance of N-channel transistors by using a III-V semiconductor interlayer in source and drain
US8561003B2 (en) * 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9397217B2 (en) * 2012-12-28 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of non-planar semiconductor device
US8927373B2 (en) * 2013-03-13 2015-01-06 Samsung Electronics Co, Ltd. Methods of fabricating non-planar transistors including current enhancing structures
US9379185B2 (en) * 2014-04-24 2016-06-28 International Business Machines Corporation Method of forming channel region dopant control in fin field effect transistor
KR102365109B1 (ko) * 2017-08-22 2022-02-18 삼성전자주식회사 집적회로 장치

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110175165A1 (en) * 2010-01-19 2011-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin device and method for forming the same using high tilt angle implant

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10964791B2 (en) 2017-11-17 2021-03-30 Samsung Electronics Co., Ltd. Semiconductor device having silicides and methods of manufacturing the same
US11538913B2 (en) 2017-11-17 2022-12-27 Samsung Electronics Co., Ltd. Semiconductor device having silicides and methods of manufacturing the same

Also Published As

Publication number Publication date
CN107195684A (zh) 2017-09-22
US20230387121A1 (en) 2023-11-30
TW201342615A (zh) 2013-10-16
CN107195684B (zh) 2020-12-08
US10861851B2 (en) 2020-12-08
KR20140097502A (ko) 2014-08-06
KR101675121B1 (ko) 2016-11-10
CN106887459A (zh) 2017-06-23
WO2013101219A1 (en) 2013-07-04
CN104160511B (zh) 2017-06-23
CN106887459B (zh) 2020-07-17
KR20180070718A (ko) 2018-06-26
TWI546963B (zh) 2016-08-21
US9876016B2 (en) 2018-01-23
KR20170121335A (ko) 2017-11-01
CN104160511A (zh) 2014-11-19
US20210043627A1 (en) 2021-02-11
US20180097003A1 (en) 2018-04-05
KR20160130873A (ko) 2016-11-14
US11776959B2 (en) 2023-10-03
US20140159159A1 (en) 2014-06-12

Similar Documents

Publication Publication Date Title
KR101792086B1 (ko) 반도체 구조물
KR102114761B1 (ko) 반도체 디바이스를 제조하는 방법 및 반도체 디바이스
US10784376B2 (en) Semiconductor device and method of fabricating the same
US10090193B1 (en) Integrated circuit structure incorporating a stacked pair of field effect transistors and a buried interconnect and method
US9431478B2 (en) Semiconductor device and method of fabricating the same
US9735059B2 (en) Method of fabricating semiconductor device including an etch barrier pattern
EP3588544A1 (en) Interconnect techniques for electrically connecting source/drain regions of stacked transistors
US20190148376A1 (en) Integrated circuit structure incorporating stacked field effect transistors and method
US11894262B2 (en) Back side processing of integrated circuit structures to form insulation structure between adjacent transistor structures
US8916936B2 (en) Transistor structure of a semiconductor device
US9478536B2 (en) Semiconductor device including fin capacitors
CN112018184B (zh) 带铁电或负电容材料的器件及其制造方法及电子设备
US11322495B2 (en) Complementary metal-oxide-semiconductor device and method of manufacturing the same
TWI826836B (zh) 半導體裝置與其形成方法
TW202213625A (zh) 用於製造先進積體電路結構之閘極與鰭片微調隔離
US20240047522A1 (en) Semiconductor structure and manufacturing method thereof
EP4391066A1 (en) Elongated contact for source or drain region
CN117790503A (zh) 具有由栅极切口限定的鳍状物隔离区域的集成电路结构

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant