KR101492467B1 - 베리어층 제거 방법 및 장치 - Google Patents

베리어층 제거 방법 및 장치 Download PDF

Info

Publication number
KR101492467B1
KR101492467B1 KR1020117006278A KR20117006278A KR101492467B1 KR 101492467 B1 KR101492467 B1 KR 101492467B1 KR 1020117006278 A KR1020117006278 A KR 1020117006278A KR 20117006278 A KR20117006278 A KR 20117006278A KR 101492467 B1 KR101492467 B1 KR 101492467B1
Authority
KR
South Korea
Prior art keywords
oxide film
barrier layer
tantalum oxide
etching reagent
layer
Prior art date
Application number
KR1020117006278A
Other languages
English (en)
Other versions
KR20110051251A (ko
Inventor
지안 왕
자오웨이 지아
준핑 우
리앙쯔 시에
후에이 황
Original Assignee
에이씨엠 리서치 (상하이) 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이씨엠 리서치 (상하이) 인코포레이티드 filed Critical 에이씨엠 리서치 (상하이) 인코포레이티드
Publication of KR20110051251A publication Critical patent/KR20110051251A/ko
Application granted granted Critical
Publication of KR101492467B1 publication Critical patent/KR101492467B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

본 발명은 무응력 전기화학적 구리 폴리싱(SFP), SFP처리 동안 형성된 탄탈륨 산화물 또는 티타늄 산화물의 제거 및 베리어층 Ta/TaN 또는 Ti/TiN을 XeF2 가스상 에칭처리의 반도체 제조 공정을 통합하는 방법 및 장치에 관한 것이다. 우선, 도금된(plated) 구리층의 적어도 일부가 SFP에 의해 폴리싱된다. 두번째로, SFP 처리 동안 형성된 베리어 금속 산화물층이 부식제에 의해 에칭된다. 마지막으로, 베리어층 Ta/TaN 또는 Ta/TiN이 XeF2 가스상 에칭에 의해 제거된다. 상기 장치는 이에 따라, 무응력 구리 전해폴리싱 시스템, 베리어층 산화물 필름 제거 시스템 및 베리어층 Ta/TaN 또는 Ta/TiN 가스상 에칭 시스템:의 세가지 서브 시스템으로 이루어진다.

Description

베리어층 제거 방법 및 장치{BARRIER LAYER REMOVAL METHOD AND APPARATUS}
본 발명은 일반적으로 반도체 처리 방법 및 장치에 관한 것으로, 보다 상세하게는, 무응력(stress free) 구리 폴리싱과 선택적인 베리어 제거 공정을 포함하는 통합 공정에 관한 것이다. 보다 더 상세하게는, 본 발명은 통합 장치의 제조 동안 무응력적으로(stress-freely) 구리와 Ta/TaN 또는 Ti/TiN 층을 선택적으로 제거하는데 효과적으로 사용될 수 있으며, 저k 유전 물질들과 양립가능한 공정에 관한 것이다.
반도체 장치는 트랜지스터와 접속소자(interconnetion element)를 제조하는 다수의 상이한 공정 단계를 사용해서 반도체 웨이퍼 상에 제조되거나 제작된다. 상기 반도체 웨이퍼에 연계된 트랜지스터 단자(transistor terminal)들을 전기적으로 연결하기 위해서, 도전성(예를 들어, 금속) 트랜치(trench), 비아(via)와 같은 것들이 반도체 장치의 일부로서 유전 물질내에 형성된다. 상기 트랜치와 비아는 트랜지스터, 반도체 장치의 내부 회로, 및 반도체 장치 외부의 회로 사이에 전기적인 신호와 전력을 연결한다.
접속소자를 형성할 때, 반도체 웨이퍼는 반도체 트랜지스터와 이러한 트래지스터 단자들을 접속하는 소망하는 전기적 회로를 형성하기 위해서, 예를 들어, 마스킹, 에칭, 및 증착(deposition) 처리를 거칠 수 있다. 특히, 다중 마스킹, 이온 주입, 어닐링, 플라즈마 에칭과, 화학적 및 물리적 기상 증착 단계는 셸로우 트랜치(shallow trench), 트랜지스터 우물(transistor well), 게이트, 폴리-실리콘 라인, 및 접속 라인(interconnection line) 구조를 형성하기 위해서 수행될 수 있다.
상기 반도체 웨이퍼상 유전층의 비-함몰(non-recessed)된 영역상에 증착된 금속 필름을 제거하는 전통적인 방법은, 예를 들면, 화학적 기계적 폴리싱(chemical mechanical polishing, CMP)을 포함한다. CMP방법은 상기 반도체 산업에서 접속 라인을 형성하기 위해서 상기 유전층의 비-함몰된 영역을 갖는 상기 트랜치와 비아 내부의 상기 금속층을 폴리싱 및 평판화하는데 광범위하게 사용된다. CMP공정에서, 웨이퍼 어셈블리는 플래튼(platon) 또는 웹(web)상에 위치된 CMP 패드상에 위치된다. 상기 웨이퍼 어셈블리는 유전층내에 형성된 접속 소자와 같은, 하나 이상의 층 및/또는 특징을 갖는 기판을 포함한다. 그 다음에 상기 CMP 패드에 대하여 어셈블리를 압박하기 위해서 힘이 가해진다. 상기 웨이퍼의 표면을 폴리싱 및 평탄화하기 위하여 물리력이 적용되는 동안, 상기 CMP 패드와 상기 기판 어셈블리는 서로에 대하여 그리고 서로에 상대적으로 움직이게 된다. 때때로 폴리싱 슬러리로 지칭되는, 폴리싱 용액이 상기 폴리싱을 가능하게 하기 위해 상기 CMP 패드상에 분배된다. 상기 슬러리는 원치 않는 물질(예를 들어, 금속층)을 다른 물질(예를 들어, 유전 물질)보다 빠르게 웨이퍼로부터 선택적으로 제거하기 위해서 전형적으로 연마재를 포함하고, 화학적으로 반응성이다.
그러나, CMP방법은 관련된 상대적으로 강한 기계적 물리력 때문에 밑에 깔린 반도체 구조상에 몇 가지 해로운 효과를 갖는다. 예를 들면, 접속 기하학적 구조(interconnection geometries)는 0.13미크론 이하로 변화하므로, 예를 들어 전형적인 다마신 공정에 사용되는 저 k 필름 및 구리와 같은 도전물질의 기계적 특성 사이에 큰 차이가 존재한다. 예를 들어, 저 k 유전 필름의 영률은 구리 및/또는 베리어 물질의 그것보다 10자릿수 크다. 결과적으로, CMP공정에서 상기 유전 필름과 구리/베리어 층에 적용된 상대적인 강한 기계적 물리력은 상기 저 k 유전체에 영구적인 손상을 야기할 수 있다.
유전층의 비-함몰된 영역상에 증착된 금속 필름을 제거하기 위한 또다른 대안적인 방법은 전해폴리싱(electroplishing)이다. 상기 전기화학적 구리 폴리싱 시스템은 높은 균일성을 가지고 구리를 제거할 수 있고, Ta/TaN 베리어층에 높은 선택성을 갖는다. 이것은 기계적 무응력 처리이다. 그러나 베리어는 탄탈륨 산화물 또는 티타늄 산화물의 형성 때문에 전해폴리싱될 수 없다.
Ta/TaN을 제거하는 일예는 Ta/TaN 에칭에 HF 습식 에칭을 사용하는 것이다. 그러나, 상기 HF는 Ta/TaN이 제거된 후에 대부분의 유전층을 손상시킬 것이다.
Sood, 등의, “Wet etching of sputtered tantalum thin films in NaOH and KOH based solutions”, 2007, J Mater Sci: Mater Electron, 18, 535-539 에서는 탄탈륨 박막을 에칭하기 위해서 KOH/H2O2 또는 NaOH/H2O2 베이스 용액을 사용하는 것이 개시되어 있다. KOH 또는 NaOH 같은 강염기는 탄탈륨의 용해를 촉진한다. 그러나, NaOH 및 KOH 둘다 상기 트랜치내의 구리를 에칭 및 손상할 것이다.
IBM 특허는 모범적인 새롭게 요구된 공정 기법이 CMP Cu 공정 후에 탄탈륨, 탄탈륨 질화물, 티타늄 및 티타늄 질화물과 같은 베리어 물질을 제거하기 위해서 XeF2 가스상 에칭을 사용하는 것을 개시한다.
본 발명은 기판, 유전층, 상기 유전층상의 Ta/TaN 또는 Ti/TiN 베리어층, 및 상기 베리어층상의 얇은 구리막을 포함하는 타입의 반도체 구조를 처리하기 위한 방법과 장치에 관한 것이다. 바람직한 구현예들에서, 본 발명은: 무응력 전기화학적 구리 폴리싱(SFP) 공정, SFP 공정 동안 형성된 탄탈륨 산화물 또는 티타늄 산화물의 제거, 및 마지막으로 XeF2를 사용하여 베리어층 Ta/TaN 또는 Ti/TiN을 제거하는 것을 포함하는 공정들을 통합하는 것이다.
우선, 도금된 구리막은 SFP 기술에 의해 폴리싱된다. 본 발명은 BEOL의 기본적 “금속 폴리싱 공정”인 구리의 화학적 기계적 폴리싱(Chemical Mechanical Polishing, CMP)의 대안으로 SFP 구리 폴리싱을 사용한다. 이것은 웨이퍼 기판상의 구리는 양극(anode)이고, 전해액 노즐은 음극(cathode)인 화학적-전기적 공정이다. 양전압이 상기 양극과 음극 사이에 인가될 때 접촉된 전해액에 의해 상기 구리는 폴리싱된다. 베리어 위의 구리막이 전해폴리싱되면, 증착된 Ta 또는 Ti 베리어층은 표면에 안정한 탄탈륨 산화물 또는 티타늄 산화물을 형성하여 부동태화된다.
상기 탄탈륨 산화물 또는 티타늄 산화물은 매우 높은 화학적 안정성을 갖는다. 그리고 이것은 구리 폴리싱동안 상기 베리어층의 보호물로 작용하지만, 후속된 처리에서 베리어층의 제거를 더 어렵게 만든다. XeF2 가스는 Ta/TaN 또는 Ti/TiN을 효과적으로 에칭할 수 있지만, 탄탈륨 산화물 또는 티타늄 산화물을 매우 느리게 에칭하고 어떤 조건하에서는 전혀 에칭하지 못한다. 상기 베리어를 효과적으로 제거하고 탄탈륨 산화물 또는 티타늄 산화물에 의해 야기된 마스크 효과를 방지하기 위해서, 본 발명은 XeF2를 사용하여 Ta/TaN 또는 Ti/TiN을 제거하기 전에 상기 베리어층 표면상의 탄탈륨 산화물 또는 티타늄 산화물를 제거하기 위해서 부식제(etchant)를 사용한다. 베리어층 표면상의 탄탈륨 산화물 또는 티타늄 산화물을 에칭하는 다양한 부식제(예를 들면, HF와 완충된 HF(BHF), KOH, NaOH, 구연산 및 옥살산)가 있다. 상기 언급된 부식제의 구현예외에, CF4/O2 플라즈마 및 아르곤 스퍼터링 방법이 또한 베리어층의 표면상의 탄탈륨 산화물 또는 티타늄 산화물의 제거를 위해 사용될 수 있다.
마지막으로, 베리어층 Ta/TaN 또는 Ti/TiN은 XeF2 가스상 에칭에 의해 제거된다. 본 발명은 기본적 베리어 제거 처리로서 전통적인 Ta/TaN 또는 Ti/TiN 화학적 기계적 폴리싱의 대안으로 XeF2 가스상 에칭을 사용한다. 전체 공정은 무응력이고, 저 k 물질과 장치 구조 모두에 기계적 손상을 가지지 않는다.
나아가, 본 발명의 혜택 및 장점은 후술하는 상세한 설명 및 수반된 도면의 고려 사항으로부터 분명해진다.
도 1은 전기화학적 구리 무응력 폴리싱(stress-free polishing, SPF)전의 접속 구조의 단면도이다.
도 2는 SFP 공정이 구리를 제거한 후의 접속 구조의 단면도이다. 탄탈륨 산화물 필름이 SFP 공정 동안 베리어층 위에 형성된다.
도 3은 부식제로 Ta 산화물 필름이 제거된 후의 단면도이다.
도 4는 XeF2 에칭에 의해 제거된 베리어층 Ta-TaN의 단면도이다.
도 5는 본 발명의 모범적인 공정의 플로우 챠트이다.
도 6은 본 발명의 모범적인 장치의 블록 다이어그램이다.
도 7은 포스트-SFP(Post-SPF) 샘플의 SEM 평면도(top-view)이다. 상기 샘플은 탄탈륨 산화물 제거의 전처리 없이 XeF2를 사용하여 에칭된 것이다.
도 8은 포스트-SFP 샘플의 SEM 평면도이다. 상기 샘플은 강한 부식제를 사용하여 에칭된 것이다.
도 9는 포스트-SFP 샘플의 SEM 평면도이다. 상기 샘플은 탄탈륨 산화물 제거의 전처리가 행해지고 XeF2를 사용하여 에칭된 것이다.
본 발명은, 일반적으로, 반도체 장치를 처리하기 위한 방법 및 장치에 관한 것이다. 보다 상세하게는 본 발명은 Ta/TaN과 같은 베리어층을 제거 또는 에칭하기 위한 공정에 관한 것이고, 베리어층은 저 k 유전 물질과 양립가능하다. 이것은 차례대로 반도체 장치내 다양한 적용을 위한 저 k 유전 물질의 사용을 가능하게 한다.
구리를 제거하기 위해 무응력 전기화학적 방법을 사용하는 단계, 상기 구리 제거 공정 동안 형성된 탄탈륨 산화물을 제거하기 위해 부식제를 사용하는 단계 및 베리어층 Ta/TaN을 제거하기 위해 선택적인 XeF2 가스상 에칭을 사용하는 단계:를 포함하는 새로운 통합 공정이 도 1 내지 4에 도시되어 있다. 구리의 전기화학적 폴리싱이나 탄탈륨 산화물의 제거 공정이나 XeF2 베리어층 제거 공정 어느 것도 기계적 응력을 갖지 않는다. 이 통합된 공정은 구조의 기계적 악화를 최소화하고, 탄탈륨 산화물에 의해 야기된 마스크 효과를 최소화하고, 구조의 화학적 변경을 최소화하며, 저 k 유전체의 손실을 최소화한다.
도 1에 나타난 것은 구리 다마신 구조의 모범적인 단면이다. 반도체 구조는 웨이퍼 기판 또는 사전에 반도체 장치 구조(101)상에 형성된 일반적으로 저 k 유전층(102)인, 유전층을 포함한다. 일구현예에 따르면, 상기 저 k 유전층은 1.2보다 크고 4.2보다 작은 유전상수를 갖는다. 상기 구조는 상기 저 k 유전층(102)상에, 보통 Ta/TaN 당해 기술분야에서 알려진 다른 물질인 베리어층(103)을 추가적으로 포함할 수 있다. 구조는 유전층(102)에 의해 분리된 트랜치 및/또는 비아(vias)의 패턴을 포함한다. 상기 베리어층(103)상의 금속 또는 구리층(104)은 함몰된(recessed) 영역을 채우면서 상기 구조에 걸쳐 형성되고, 비-함몰된(non-recessed) 영역에 걸쳐 형성된다. 베리어층(103) 및 유전층(102)내 구조에 걸쳐 위치된 구리 또는 금속층(104)의 평면의 표면 형태는 출원번호 PCT/US03/11417의 특허출원에 개시된 바와 같은 더미(dummy) 구조를 갖는 평면 도금(plating) 방법을 사용하여 도금될 수 있거나, 일련번호 60/738205인 미국 가출원에 개시된 접촉 패드 노즐(contacting pad nozzle)을 가지고 전자 폴리싱될 수 있는데, 이들 모두는 출원인에게 양도 되었으며, 참고로 여기서 협조된다.
무응력 전해폴리싱(stress-free electropolishing, SFP)은 금속층(204)에 수행된다(도 5의 502단계). 도 2는 전해폴리싱 공정 후의 구조를 보여준다. 금속 또는 구리층(204)은 함몰된 영역, 즉 트랜치 및/또는 비아들내의 금속층이 안접하는 함롤 영역으로부터 고립되도록 전형적으로 비함몰된 영역의 표면까지 연마된다. 이것은 화학적-전기적 공정으로서: 상기 웨이퍼 기판상의 구리는 양극(anode)이고, 전해액 노즐은 음극(cathode)이다. 양전압이 상기 양극과 음극사이에 인가될 때, 접촉된 전해액에 의해 상기 구리는 용해되고 폴리싱된다. 이것은 무응력 및 선택적인 구리 제거 공정이다. Ta/TaN 층인 베리어층(203)은 상기 표면에 안정한 탄탈륨 산화물 필름(205)을 형성하는 것에 의해 부동태화된다. 베리어 Ta/TaN층(203)의 표면상의 탄탈륨 산화물 필름(205)는 후속 공정에서 베리어층(203)을 제거하는 것을 어렵게 만든다.
탄탈륨 표면상의 탄탈륨 산화물 필름(205)은 두 부분으로 이루어진다: 한 부분은 공기중의 노출에 의해 야기된 자연적 산화물이다. 특출한 안정성을 가진 탄탈륨 산화물은 Ta가 공기중에 노출되어 있을 때, Ta상에 형성된다. TaO, Ta2O, TaO2, Ta2O5 및 Ta2O7을 포함하는 다양한 탄탈륨 산화물은 원자가 전자(electron valence)에 따라 형성될 수 있지만, Ta2O5만은 웨이퍼의 존재하에서 열역학적으로 안정하다.
그 밖의 더 중요한 부분은 SFP 공정에서 양극 산화에 의해 야기된다. 구리 양극 용해 공정 동안 전극에서 Ta의 전기화학적 반응은 다음과 같이 기술될 수 있다:
2Ta + 5H2O = Ta2O5 + 10H+ + 10e-
구리 전해-폴리싱 공정의 전해액내의 물 때문에, 구리 폴리싱 후에, 탄탈륨 산화물은 지배적으로 탄탈륨 5산화물(pentoxide)이 된다. 상기 탄탈륨 5산화물은 매우 높은 화학적 안정성을 갖는다. 이것은 구리 폴리싱동안 베리어층의 보호물로서 작용하지만, 후속하는 단계에서 베리어층의 제거를 보다 어렵게 만든다. XeF2 가스는 적절한 속도로 Ta 및 TaN(203)을 에칭할 수 있지만, 탄탈륨 산화물(205)은 거의 에칭하지 못하고, 일부 상태하에서는 전혀 에칭할 수 없다. 탄탈륨 산화물(205)는 XeF2에 의해 Ta 및 TaN(203)가 에칭제거되는 것을 방지하는 마스크층으로서 작용한다. 극단적으로 긴 시간 XeF2는 Ta 및 TaN층의 일부를 제거할 수 있지만, 이것은 도 7에 나타난 바와 같이, 핀 홀(pin hole)을 야기한다. 도 7은 구리(204)가 SFP에 의해 폴리싱된 후 탄탈륨 산화물 필름(205) 제거 단계없이 베리어층 Ta/TaN(203)이 XeF2에 의해 에칭된 것을 보여줄 수 있다. 일정 시간동안 XeF2 에칭 후에, 베리어층(203)은 핀홀 지역 주변을 제외하면 제거되지 않음을 보여준다. 베리어를 효과적이고 균일하게 제거하기 위해서, 베리어층(203)상의 탄탈륨 산화물 필름(205)은 우선 제거되어야 한다.
따라서, 도 5에 나타난 두번째 단계는 베리어층의 탄탈륨 산화물 필름을 제거하는 것이다(504 단계). 후술하는 예들은 본 발명에서 탄탈륨 산화물 필름 제거 단계의 다양한 구현예들을 보여주기 위해서 제공되는 것이고 이로 인해 범위를 제한하고자 하는 것은 아니다.
베리어층의 최상 표면상의 탄탈륨 산화물을 제거하기 위한 첫번째 모범적인 방법은 플루오르화 수소산(HF) 또는 완충된 HF(BHF)가 선호되는 F-를 포함하는 용액으로 그 표면을 처리하는 것이다. HF/BHF 용액은 탄탈륨 산화물과 반응한다. 탄탈륨 5산화물을 예로서 사용하면, 반응식은 다음과 같다.
Ta2O5 + 14F- + 10H+ = 2TaF7 2 - + 5H2O
HF/BHF의 농도는 0.1w%에서 30 w%이고, 0.5%-4%가 적절하다. 공정온도는 0℃부터 50℃까지이고, 실온이 적절하다. 처리시간은 HF/BHF의 농도 및 온도에 의존한다. 상기 용액은 여기서 탄탈륨 산화물 필름(205)과 베리어층 Ta(203)의 일부를 에칭하고, 구리층(204)에 손상을 야기하지 않는다. 그러나, 상기 처리가 너무 강하거나, 에칭 시간이 너무 길거나 또는 상기 부식제 농도가 너무 높으면 상기 베리어층 Ta/TaN(203)이 제거될 것이다. 도 8은 부식제가 너무 강하면, 패드의 측벽(side wall)의 베리어 Ta/TaN(203)이 손상될 것임을 보여준다. 이것은 측벽의 베리어층의 적어도 일부가 손상되는 것을 분명히 보여줄 수 있다. 따라서 저 k 유전층(202)이 상기 용액에 의해 손상될 것이다. 모범적인 적절한 처리는 Ta 산화물 필름(205)을 에칭하는 것이다. 도 9에 나타난 바와 같이, 탄탈륨 산화물 필름(205)을 에칭한 후에, 잔류한 베리어층 Ta/TaN(203)은 도 7에 도시된 것과 비교되는 XeF2를 사용하는 방법에 의해 효과적으로 제거된다.
상기 F- 함유 용액은 HF/BHF에 한정하는 것은 아니다. F-를 포함하고, pH값이 7보다 작고, 구리를 손상시키지 않는 용액이 산화물 필름 부식제로서 사용될 수 있다. 예를 들어, 황산 또는 염산(HCl) 용액을 첨가한 NH4F 역시 탄탈륨 산화물(205)을 에칭할 수 있다. 그리고, 다른 산을 첨가하는 것은 낮아진 pH로 인하여 탄탈륨 산화물의 제거를 더 효과적으로 만들 수 있다. 탄탈륨 산화물(205)의 제거속도는 F- 농도 및 pH값을 통해 제어될 수 있다.
탄탈륨 산화물 필름을 제거하는 두번째 모범적인 방법은 강한 염기 용액을 사용하는 것이다. 탄탈륨 산화물(205)은 그것의 무기산, 이 경우에는 탄탈산(H2Ta2O6),을 형성하는 것에 의해 강한 염기 용액에 용해될 수 있다. Ta2O5의 용해는 더 높은 pH와 상승된 온도에서 가속화된다. 일예로서 KOH를 선택하면, 상기 용액은 pH가 10 초과인 상온의 물에서 포화된다. 0.1%에서 50%의 농도에서 확인될 수 있고, 10%~40%가 바람직하다. 온도는 0℃에서 90℃까지이고, 40~80℃가 바람직하다. 상기 염기 용액은 구리층(204)의 에칭속도에 대한 탄탈륨 산화물 필름(205) 에칭 속도의 양호한 선택성을 가진다.
탄탈륨 산화물 필름(205)의 적어도 일부를 제거하는 세번째 모범적인 방법은 약 100℃에서 약 150℃의 온도에서 약 1torr 에서 약 1.5torr의 압력하에서 약 300sccm 에서 약 400sccm까지의 CF4와 약 200sccm 내지 약 600 sccm의 산소를 포함하는 에칭 가스 혼합물을 사용하는 것이다. 상기 에칭 가스 혼합물은 반응성 이온 에칭(reactive ion etch, RIE) 장치 또는 전자 사이클로트론 공명(electron cyclotron resonance, ECR) 플라즈마 반응기를 통해 플라즈마의 형태로 탄탈륨 산화물 필름과 접촉한다. RIE 장치 및 ECR 플라즈마 원자로는 상업적으로 이용가능하다. 평행한 판 RIE(a parallel plate RIE)가 적절하다. 탄탈륨 산화물 에칭 가스 혼합물로 탄탈륨 산화물 필름(205)을 에칭하는 것은 등방성 에칭이다. 이것은 탄탈륨 산화물 필름(205)을 양호한 균일성을 가지고 제거할 수 있다.
탄탈륨 산화물(205) 및 Ta(203)의 적어도 일부를 제거하는 네번째 모범적인 방법은 단지 필름 증착의 반대처럼, Ar 가스 스퍼터링과 같은 가스 스퍼터링을 사용하는 것이다. 상기 스퍼터링은 Ar, He, Ne, Xe 및 Kr로 이루어지는 그룹으로부터 선택되지만 Ar이 바람직한 비활성가스를 사용하여 수행된다. 상기 스퍼터링 장치는 상업적으로 이용가능하다.
탄탈륨 산화물(205)를 제거하는 다섯번째 모범적인 방법은 부식제로서 구연산(citric acid) 및/또는 옥살산(oxalic acid)을 사용하는 것이다. 상기 구연산 및/또는 옥살산은 베리어층(203)의 제거를 보다 효과적으로 만드는 탄탈륨 산화물(205)의 적어도 일부를 제거한다. 상기 산의 농도는 0.1%에서 10%까지 달라지고 5~8%가 바람직하다. 에칭온도는 0℃에서 80℃까지이지만, 20℃~60℃가 바람직하다.
상기 모든 모범적인 방법은 탄탈륨 산화물 필름(205)을 에칭하는데 사용될 수 있으나, HF/BHF가 바람직하다. 앞서 언급한 바와 같이, 상기 예들은 본 발명에서 탄탈륨 산화물(205)의 적어도 일부 및 심지어 베리어층 Ta(203)의 일부를 제거하는 504단계의 다양한 구현예를 보여주기 위해서 제공된다. 이 단계 후에, 도 3에 나타난 바와 같이, 베리어층(205)의 탄탈륨 산화물은 제거되어, Ta/TaN 층(303) 및 구리층(304)가 노출된다.
상기 표면상에서 탄탈륨 산화물(205)의 제거 후에, 웨이퍼의 최상 표면상에 잔류하는 베리어층 Ta/TaN(303)은 XeF2 가스상 에칭에 의해 제거된다(도 5의 506 단계). 상기 XeF2는 특정 온도 및 압력에서 베리어층 Ta/TaN(303)과 자발적으로 반응한다. XeF2는 등방성의 선택적인 Ta/TaN(303)의 에칭방법이다. 상기 XeF2 가스는 구리(404)와 SiO2, SiLK, 및 k는 1.2에서 4.2까지 달리지지만, 1.3~2.4가 바람직한 저 k Si-C-O-H계 물질과 같은 유전물질(402) 모두에 좋은 선택성을 갖는다. 전체 공정동안, 베리어층(403) 또는 유전층(402)에 직접적으로 적용되는 기계적 응력은 없으므로, 구리(404) 또는 저 k 유전물질(402)에 손상이 없다. 기판의 온도는 0℃에서 300℃까지 변화하고 25~200℃가 바람직하다. 상기 공정동안 XeF2 가스의 압력은 0.1Torr과 100Torr 사이지만, 0.5Torr~20Torr가 바람직하다.
XeF2와 베리어층 Ta/TaN(303)의 화학적 반응물은, 예를 들면 Xe와 같은 가스상이거나 또는 예를 들면 탄탈륨 불화물과 같은 처리 압력에서 휘발성이다. 웨이퍼의 표면상에 잔유물은 없다.
도 4에 나타난 바와 같이, 최상표면에 노출된 베리어층이 XeF2 가스상 에칭(506)에 의해 완전하게 제거되면, 반도체 장치내의 트랜치 및/또는 비아는 전기적으로 완전하게 분리된다. 금속 또는 구리층(404), 베리어층(403)은 저 k 유전층(402)에 의해 완전하게 분리된다.
도 6은 무응력 전해폴리싱 시스템(602), 탄탈륨 산화물 제거 시스템(604) 및 XeF2 에칭 시스템(606):을 포함하는 본 발명의 모범적인 장치의 블록 다이어그램을 보여준다. 상기 블록 602-606의 기능은 각각 도 5의 블록 502-506에 상응한다.
일 모범적인 구현예에서, 전해폴리싱 시스템은 웨이퍼상 상이한 방사상의 위치에서 금속층에 전해액의 스트림을 적용하기 위해 설정된 노즐을 포함한다. 전해액의 스트림에 전해폴리싱 음전하를 가하기 위해 파워 서플라이가 노즐에 연결된다. 파워 서플라이는 또한 웨이퍼에 전해폴리싱 양전하를 가하기 위해서 웨이퍼에 연결된다. 따라서 전해폴리싱 공정 동안, 노즐은 음극(cathode)으로서 작용하고, 웨이퍼는 양극으로서 작용한다. 전해액의 스트림이 금속층에 적용될 때, 전해액과 금속층 사이의 전위차는 웨이퍼로부터 금속층의 전해폴리싱을 야기한다. 파워 서플라이가 웨이퍼에 직접 연결된 것으로 묘사되더라도, 임의의 수의 중간 접속(intervening connection)이 파워 서플라이와 웨이퍼 사이에 존재할 수 있음을 인지하여야 한다. 예를들어, 파워 서플라이는 척(chuck)에 연결될 수 있는데, 이것은 웨이퍼, 보다 특별하게는 금속층에 연결된다. 전자 폴리싱의 추가적인 설명을 위해2000년 2월 4일 출원된 "METHOD AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTIONS ON SEMICONDUCTOR DEVICES”라는 제목의 미국특허출원 일련번호 09/497,894를 참조하기 바라며, 이것은 그 전체가 여기서 참고로 포함되어 있다.
일 모범적인 구현예에서, 베리어 산화물 필름 제거 시스템은 반도체 웨이퍼를 고정하는 회전 척(rotating chuck) 축주위로 척이 회전하도록 구동하는 회전 수단, 웨이퍼의 표면상으로 액체 부식제(etchant)를 주입하는 노즐, 챔버 몸체(chamber body) 및 부식제 운반 시스템을 포함한다. 구리 SFP 처리 후에, 웨이퍼는 척에 적재된다. 부식제는 척이 회전을 시작한 후에 운반되고 웨이퍼 표면상에 방사된다. 부식제는 웨이퍼 표면상에 균일하게 분배된다. 일회의 시간동안 에칭후에, 웨이퍼 기판상의 베리어 산화물 필름은 제거된다.
본 발명의 XeF2 에칭 시스템은 적어도 하나의 펌프, 하나의 에칭 챔버, 하나의 팽창 챔버 및 하나의 고체 XeF2 소스 챔버로 이루어지는 Silicon MEMS용의 상업적으로 이용가능한 XeF2 에칭 시스템과 매우 유사하다. 각 챔버사이에는 뉴매틱 스로틀(pneumatic throttle) 밸브가 있으며, 또한 팽창 및 공정 에칭 챔버에 압력 게이지(gauges)가 있다. 상기 시스템은 펄스 모드와 연속 흐름 모드 양쪽 모두에서 작동할 수 있다. 연속 흐름 모드에서, 압력은 에칭 속도가 제어될 수 있도록 에칭 챔버내에서 일정하게 유지된다. 펄스 모드에서, 양 챔버는 우선 N2에 의해 퍼지(purge)되고, 이후 모두 진공이 된다. 그리고나서 고체 XeF2 및 이후 XeF2 가스로 충진될 팽창 챔버 사이의 밸브를 개방한다. 고체 소스와 팽창 챔버 사이의 밸브를 폐쇄하고, 팽창 챔버와 에칭 챔버 사이의 밸브를 개방하라. 적정한 압력에 도달하면 상기 밸브는 폐쇄된다. 샘플이 3에서 30초와 같은, 시간 구간 동안 XeF2 가스에 노출된 후에, XeF2는 에칭 챔버의 밖으로 배출된다. 이것이 일“사이클”이라 불리고, 유전층에 대하여 Ta/TaN 베리어층의 적어도 일부를 완전하게 제거하기 위해서 2회 이상 반복될 수 있다. 본 발명의 XeF2 에칭 시스템은 또한 무응력이다.
본 발명이 특정 구현예, 예시, 및 적용의 측면에서 기술되었더라도, 본 발명으로부터 출발함이 없이 다양한 수정 및 변경이 만들어 질 수 있음은 본 발명의 기술분야에서 통상의 지식을 가진 자에게 분명하다.

Claims (23)

  1. 기판, 유전층, 상기 유전층상의 베리어층 및 상기 베리어층위의 금속층을 포함하는 반도체 구조이고, 상기 구조는 패턴(pattern)을 가지며, 상기 금속층이 상기 패턴을 채우는 반도체 구조 처리 방법으로서,
    무응력 전해폴리싱(stress free electropolishing, SFP)에 의해 상기 베리어층위의 금속층을 제거하는 단계;
    상기 무응력 전해폴리싱 동안 형성된 상기 베리어층의 탄탈륨 산화물 필름 또는 티타늄 산화물 필름을 제거하는 단계이며, 상기 베리어층의 탄탈륨 산화물 필름 또는 티타늄 산화물 필름은, HF/BHF를 포함하는 용액인, 에칭 시약에 의해 제거되는 단계;
    상기 구조내 상기 패턴을 완벽하게 분리하기 위해서 XeF2 가스를 사용하여 상기 베리어층을 제거하는 단계
    를 포함하는 반도체 구조 처리 방법.
  2. 청구항 1에 있어서,
    상기 베리어층이 순원소, 화합물 또는 질소 또는 실리콘이 첨가된 Ta, Ti로 이루어진 그룹에서 선택되는 반도체 구조 처리 방법.
  3. 삭제
  4. 청구항 1에 있어서,
    상기 금속층은 구리층인 반도체 구조 처리 방법.
  5. 청구항 1에 있어서,
    상기 유전층은 4.2보다 작고 1.2보다 큰 유전상수를 갖는 유전 물질로 이루어지는 반도체 구조 처리 방법.
  6. 삭제
  7. 청구항 1에 있어서,
    상기 에칭 시약의 농도는 0.1% 내지 30% 범위이고,
    상기 에칭 시약의 온도는 0℃에서 50℃까지이고, 그리고
    상기 에칭 시약은 또한 HCl 또는 H2SO4가 첨가되고 불소 이온(F-)을 포함하는 반도체 구조 처리 방법.
  8. 청구항 1에 있어서,
    상기 베리어층의 상기 탄탈륨 산화물 필름 또는 티타늄 산화물 필름이, KOH 또는 NaOH 또는 양자 모두를 포함하는 강염기 용액인, 에칭 시약에 의해 제거되고, 그리고
    상기 용액의 농도는 0.1%에서 50%의 범위이며,
    상기 용액의 온도는 0℃에서 90℃까지인 반도체 구조 처리 방법.
  9. 삭제
  10. 삭제
  11. 청구항 1에 있어서,
    상기 베리어층의 상기 탄탈륨 산화물 필름 또는 티타늄 산화물 필름은, 구연산 또는 옥살산 또는 이들의 혼합물인, 에칭 시약에 의해 제거되고,
    상기 에칭 시약의 농도는 0.1%에서 10%의 범위이며,
    상기 에칭 시약의 온도는 0℃에서 80℃인 반도체 구조 처리 방법.
  12. 청구항 1에 있어서,
    상기 XeF2 가스의 압력은 0.1Torr과 100Torr 사이인 반도체 구조 처리 방법.
  13. 청구항 12에 있어서,
    상기 기판의 온도는 0℃에서 300℃까지인 반도체 구조 처리 방법.
  14. 기판, 유전층, 상기 유전층상의 베리어층 및 상기 베리어층위의 금속층을 포함하는 반도체 구조이고, 상기 구조는 패턴을 가지며, 상기 금속층은 상기 패턴을 채우는 반도체 구조를 처리하는 장치로서,
    상기 베리어층위의 금속층을 제거하기 위한 무응력 전해폴리싱 시스템;
    상기 무응력 전해폴리싱을 행하는 동안 형성된 상기 베리어층의 탄탈륨 산화물 필름 또는 티타늄 산화물 필름을 제거하기 위한 시스템이며, 상기 탄탈륨 산화물 필름 또는 티타늄 산화물 필름을 제거하기 위한 HF/BHF를 포함하는 용액인 에칭 시약을 사용하는, 탄탈륨 산화물 필름 또는 티타늄 산화물 필름 제거 시스템;
    상기 구조내 상기 패턴을 완전히 분리하도록 XeF2 가스를 사용하여 베리어층을 제거하기 위한 에칭 시스템
    을 포함하는 반도체 구조 처리 장치.
  15. 청구항 14에 있어서,
    상기 베리어층은 순원소, 화합물 또는 질소 또는 실리콘이 첨가된 Ta, Ti로 이루어진 그룹에서 선택되는 반도체 구조 처리 장치.
  16. 삭제
  17. 청구항 14에 있어서,
    상기 에칭 시약의 농도는 0.1%부터 30%의 범위이고,
    상기 에칭 시약의 온도는 0℃에서 50℃까지이고,
    상기 에칭 시약은 또한 HCl 또는 H2SO4가 첨가되고 불소 이온(F-)을 포함하는 반도체 구조 처리 장치.
  18. 청구항 14에 있어서,
    탄탈륨 산화물 필름 또는 티타늄 산화물 필름 제거 시스템은 탄탈륨 산화물 필름 또는 티타늄 산화물 필름을 제거하기 위해서, KOH, NaOH 또는 양자의 혼합물을 포함하는 용액인 에칭 시약을 사용하고, 상기 용액의 농도는 0.1%에서 50%의 범위이고, 상기 용액의 온도는 0℃에서 90℃인 반도체 구조 처리 장치.
  19. 삭제
  20. 삭제
  21. 청구항 14에 있어서,
    상기 탄탈륨 산화물 필름 또는 티타늄 산화물 필름 제거 시스템은, 상기 탄탈륨 산화물 필름 또는 티타늄 산화물 필름을 제거하기 위해서, 구연산 또는 옥살산 또는 둘의 혼합물인 에칭 시약을 사용하고,
    상기 에칭 시약의 농도는 0.1% 에서 10%의 범위이고,
    상기 에칭 시약의 온도는 0℃에서 80℃인 반도체 처리 장치.
  22. 청구항 14에 있어서,
    상기 베리어층 에칭 시스템은 베리어층 Ta/TaN 또는 Ti/TiN을 제거하기 위해서 XeF2를 사용하고,
    상기 XeF2 가스의 압력은 0.1Torr와 100Tor사이인 반도체 처리 장치.
  23. 청구항 22에 있어서,
    상기 기판의 온도는 0℃에서 300℃인 반도체 처리 장치.
KR1020117006278A 2008-08-20 2008-08-20 베리어층 제거 방법 및 장치 KR101492467B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2008/072059 WO2010020092A1 (en) 2008-08-20 2008-08-20 Barrier layer removal method and apparatus

Publications (2)

Publication Number Publication Date
KR20110051251A KR20110051251A (ko) 2011-05-17
KR101492467B1 true KR101492467B1 (ko) 2015-02-11

Family

ID=41706824

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117006278A KR101492467B1 (ko) 2008-08-20 2008-08-20 베리어층 제거 방법 및 장치

Country Status (4)

Country Link
US (2) US8598039B2 (ko)
JP (1) JP5412517B2 (ko)
KR (1) KR101492467B1 (ko)
WO (1) WO2010020092A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020138976A1 (ko) * 2018-12-26 2020-07-02 한양대학교에리카산학협력단 반도체 소자의 제조 방법

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5648392B2 (ja) * 2010-09-22 2015-01-07 凸版印刷株式会社 反射型フォトマスクブランクおよびその製造方法
CN102251270B (zh) * 2011-05-20 2013-07-03 北京科技大学 一种剥离材料表面镀覆的金属薄膜的方法
WO2013040751A1 (en) * 2011-09-20 2013-03-28 Acm Research (Shanghai) Inc. Method for forming air gap interconnect structure
CN103117245A (zh) * 2011-11-17 2013-05-22 盛美半导体设备(上海)有限公司 空气隙互联结构的形成方法
CN103367138B (zh) * 2012-03-30 2018-04-13 盛美半导体设备(上海)有限公司 二氟化氙气相刻蚀阻挡层的工艺
US9058868B2 (en) 2012-12-19 2015-06-16 International Business Machines Corporation Piezoelectronic memory
CN103236403B (zh) * 2013-04-28 2015-11-11 京东方科技集团股份有限公司 防扩散层及制备方法、薄膜晶体管、阵列基板、显示装置
US9368360B2 (en) * 2013-04-28 2016-06-14 Boe Technology Group Co., Ltd Anti-diffusion layer, preparation method thereof, thin-film transistor (TFT), array substrate, display device
JP2014216623A (ja) * 2013-04-30 2014-11-17 株式会社村田製作所 電子部品の製造方法及び電子部品
JP6353636B2 (ja) 2013-06-21 2018-07-04 東京エレクトロン株式会社 酸化チタン膜の除去方法および除去装置
JP2015005660A (ja) 2013-06-21 2015-01-08 東京エレクトロン株式会社 酸化タンタル膜の除去方法および除去装置
CN104347481B (zh) * 2013-07-31 2019-10-25 盛美半导体设备(上海)有限公司 金属镀层处理方法
US9941472B2 (en) 2014-03-10 2018-04-10 International Business Machines Corporation Piezoelectronic device with novel force amplification
US9251884B2 (en) 2014-03-24 2016-02-02 International Business Machines Corporation Non-volatile, piezoelectronic memory based on piezoresistive strain produced by piezoelectric remanence
KR102247940B1 (ko) * 2014-07-08 2021-05-07 에이씨엠 리서치 (상하이) 인코포레이티드 금속 상호접속을 형성하는 방법
CN105321871A (zh) * 2014-07-29 2016-02-10 盛美半导体设备(上海)有限公司 铜与低k介质材料的整合工艺
US9570320B2 (en) * 2014-10-09 2017-02-14 Lam Research Corporation Method to etch copper barrier film
CN107078065A (zh) * 2014-10-17 2017-08-18 盛美半导体设备(上海)有限公司 阻挡层的去除方法以及半导体结构的形成方法
SG11201703033RA (en) * 2014-10-17 2017-05-30 Acm Res Shanghai Inc Barrier layer removal method and semiconductor structure forming method
US9293687B1 (en) 2014-10-31 2016-03-22 International Business Machines Corporation Passivation and alignment of piezoelectronic transistor piezoresistor
US10615073B2 (en) 2015-02-15 2020-04-07 Acm Research (Shanghai) Inc. Method for removing barrier layer for minimizing sidewall recess
WO2017024540A1 (en) * 2015-08-12 2017-02-16 Acm Research (Shanghai) Inc. Method for processing interconnection structure for minimizing barrier sidewall recess
US9530737B1 (en) 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
SG11201808636TA (en) * 2016-04-07 2018-10-30 Acm Res Shanghai Inc Tsv structure planarization process and apparatus
US10121660B2 (en) 2016-08-18 2018-11-06 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device
KR102628795B1 (ko) * 2018-07-30 2024-01-25 삼성디스플레이 주식회사 표시 기판, 이의 제조 방법 및 이를 포함하는 표시 장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004221261A (ja) * 2003-01-14 2004-08-05 Ebara Corp 半導体基板の処理方法
JP2004276219A (ja) * 2003-03-18 2004-10-07 Ebara Corp 電解加工液、電解加工装置及び配線加工方法
US20060189134A1 (en) * 2005-02-24 2006-08-24 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US20060276030A1 (en) * 2005-06-01 2006-12-07 Jean Wang Novel method to implement stress free polishing

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5254202A (en) * 1992-04-07 1993-10-19 International Business Machines Corporation Fabrication of laser ablation masks by wet etching
JPH0897214A (ja) 1994-09-29 1996-04-12 Nec Corp 半導体装置の製造方法
US6849471B2 (en) 2003-03-28 2005-02-01 Reflectivity, Inc. Barrier layers for microelectromechanical systems
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
JPH11135797A (ja) 1997-10-31 1999-05-21 Matsushita Electric Ind Co Ltd 積層膜の形状加工方法およびそれを利用した薄膜トランジスタの製造方法
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6194323B1 (en) 1998-12-16 2001-02-27 Lucent Technologies Inc. Deep sub-micron metal etch with in-situ hard mask etch
US6207570B1 (en) * 1999-08-20 2001-03-27 Lucent Technologies, Inc. Method of manufacturing integrated circuit devices
US6358855B1 (en) 2000-06-16 2002-03-19 Infineon Technologies Ag Clean method for recessed conductive barriers
US6638863B2 (en) * 2001-04-24 2003-10-28 Acm Research, Inc. Electropolishing metal layers on wafers having trenches or vias with dummy structures
WO2003017330A2 (en) 2001-08-17 2003-02-27 Acm Research, Inc. Forming a semiconductor structure using a combination of planarizing methods and electropolishing
JP2003243391A (ja) 2002-02-15 2003-08-29 Sony Corp 配線の形成方法及び配線
KR101151456B1 (ko) 2002-07-22 2012-06-04 에이씨엠 리서치, 인코포레이티드 두께 측정을 이용한 적정 전해연마 및 장벽층과 희생층의제거방법 및 시스템
ATE353475T1 (de) * 2002-10-11 2007-02-15 Soitec Silicon On Insulator Verfahren und vorrichtung zur herstellung einer haftenden substratoberfläche
US20040188385A1 (en) * 2003-03-26 2004-09-30 Kenji Yamada Etching agent composition for thin films having high permittivity and process for etching
WO2006091582A2 (en) * 2005-02-24 2006-08-31 Implant Innovations, Inc. Surface treatment methods for implants made of titanium or titanium alloy
US7422700B1 (en) * 2005-06-28 2008-09-09 Novellus Systems, Inc. Compositions and methods of electrochemical removal of material from a barrier layer of a wafer
US7935977B2 (en) 2006-07-25 2011-05-03 Lg Chem, Ltd. Method of manufacturing organic light emitting device and organic light emitting device manufactured by using the method
US7560380B2 (en) * 2006-10-27 2009-07-14 Intel Corporation Chemical dissolution of barrier and adhesion layers
CN101589479B (zh) * 2007-01-26 2011-06-22 信越半导体株式会社 发光元件及其制造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004221261A (ja) * 2003-01-14 2004-08-05 Ebara Corp 半導体基板の処理方法
JP2004276219A (ja) * 2003-03-18 2004-10-07 Ebara Corp 電解加工液、電解加工装置及び配線加工方法
US20060189134A1 (en) * 2005-02-24 2006-08-24 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US20060276030A1 (en) * 2005-06-01 2006-12-07 Jean Wang Novel method to implement stress free polishing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020138976A1 (ko) * 2018-12-26 2020-07-02 한양대학교에리카산학협력단 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
US20110177692A1 (en) 2011-07-21
US8598039B2 (en) 2013-12-03
KR20110051251A (ko) 2011-05-17
US20140053978A1 (en) 2014-02-27
JP5412517B2 (ja) 2014-02-12
JP2012500480A (ja) 2012-01-05
WO2010020092A1 (en) 2010-02-25

Similar Documents

Publication Publication Date Title
KR101492467B1 (ko) 베리어층 제거 방법 및 장치
CN101882595B (zh) 阻挡层的去除方法和装置
US11332376B2 (en) Diamond-like carbon film
US9514953B2 (en) Methods for barrier layer removal
KR100711526B1 (ko) 구리 연결선을 갖는 반도체 장치의 제조방법
KR100689916B1 (ko) 반도체 집적 회로 장치의 제조 방법
TW523556B (en) Process for etching thin-film layers of a workpiece used to form microelectronic circuits or components
TWI363255B (en) Method for removing masking materials with reduced low-k dielectric material damage
JP2002110647A (ja) 半導体集積回路装置の製造方法
KR102457878B1 (ko) 패시베이션을 이용한 구리의 이방성 에칭
JP2002513207A (ja) 低k誘電体層をエッチングする方法
US8759214B2 (en) Method of etching a semiconductor wafer
KR20220041244A (ko) 초전도체 상호 접속들을 위한 사전 세정 및 증착 방법
KR100597155B1 (ko) 반도체 장치의 제조 방법
US20040253809A1 (en) Forming a semiconductor structure using a combination of planarizing methods and electropolishing
US6656850B2 (en) Method for in-situ removal of side walls in MOM capacitor formation
TWI501302B (zh) 阻擋層的去除方法和裝置
CN103985670B (zh) 阻挡层的去除方法和装置
US7001641B2 (en) Seed layer treatment
JP2022511650A (ja) 超伝導体配線製造のためのプレクリーンおよび誘電体堆積方法
US20070128553A1 (en) Method for forming feature definitions
US20240055273A1 (en) Wet Etching Solution and Wet Etching Method
JPH06338499A (ja) 半導体装置の製造方法
JP2556373B2 (ja) ドライエッチング方法
CN114988348A (zh) Mems中磁性材料的刻蚀方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180130

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191223

Year of fee payment: 6