KR101355724B1 - 개재물 테스트 구조물 및 방법 - Google Patents

개재물 테스트 구조물 및 방법 Download PDF

Info

Publication number
KR101355724B1
KR101355724B1 KR1020110107668A KR20110107668A KR101355724B1 KR 101355724 B1 KR101355724 B1 KR 101355724B1 KR 1020110107668 A KR1020110107668 A KR 1020110107668A KR 20110107668 A KR20110107668 A KR 20110107668A KR 101355724 B1 KR101355724 B1 KR 101355724B1
Authority
KR
South Korea
Prior art keywords
metallization layer
metallization
seal ring
under test
substrate
Prior art date
Application number
KR1020110107668A
Other languages
English (en)
Other versions
KR20120134996A (ko
Inventor
추안-홍 리우
첸-후아 유
시엔-핀 후
추 유 왕
웨이-쳉 우
샹-윤 호우
신-푸 젱
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20120134996A publication Critical patent/KR20120134996A/ko
Application granted granted Critical
Publication of KR101355724B1 publication Critical patent/KR101355724B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R1/00Details of instruments or arrangements of the types included in groups G01R5/00 - G01R13/00 and G01R31/00
    • G01R1/02General constructional details
    • G01R1/06Measuring leads; Measuring probes
    • G01R1/067Measuring probes
    • G01R1/073Multiple probes
    • G01R1/07307Multiple probes with individual probe elements, e.g. needles, cantilever beams or bump contacts, fixed in relation to each other, e.g. bed of nails fixture or probe card
    • G01R1/07364Multiple probes with individual probe elements, e.g. needles, cantilever beams or bump contacts, fixed in relation to each other, e.g. bed of nails fixture or probe card with provisions for altering position, number or connection of probe tips; Adapting to differences in pitch
    • G01R1/07378Multiple probes with individual probe elements, e.g. needles, cantilever beams or bump contacts, fixed in relation to each other, e.g. bed of nails fixture or probe card with provisions for altering position, number or connection of probe tips; Adapting to differences in pitch using an intermediate adapter, e.g. space transformers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • H01L2221/68331Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding of passive members, e.g. die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0613Square or rectangular array
    • H01L2224/06131Square or rectangular array being uniform, i.e. having a uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0616Random array, i.e. array with no symmetry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81192Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Abstract

본 개시물의 실시예는 개재물을 포함하는 구조물이다. 개재물은 개재물의 주변을 따라 연장하는 테스트 구조물을 갖고, 테스트 구조물의 적어도 일부는 제1 재분배 소자 내에 있다. 제1 재분배 소자는 개재물의 기판의 제1 표면 상에 있다. 테스트 구조물은 적어도 2개의 프로브 패드 사이에 개재되어 전기적으로 결합된다.

Description

개재물 테스트 구조물 및 방법{INTERPOSER TEST STRUCTURES AND METHODS}
본 출원은 발명의 명칭이 "개재물 테스트 구조물 및 방법"이고 2011년 6월 3일에 제출된 미국 가출원 번호 61/492,989의 이득을 청구하며, 본 출원은 참고로 여기에 기재된다.
본 발명은 개재물(interposer)을 포함하는 구조물에 관한 것이다.
집적 회로(IC)의 개발 이후, 반도체 산업은 다양한 전자 구성요소(즉, 트랜지스터, 다이오드, 저항, 커패시터 등)의 집적도에 있어서의 계속적인 향상에 의해 계속적으로 급속히 성장해 왔다. 대부분, 집적도의 향상은 최소 배선폭의 반복적인 감소로 기인하며, 이는 주어진 영역에 더 많은 구성요소를 집적시킬 수 있게 한다.
집적된 구성요소에 의해 차지되는 영역이 근본적으로 반도체 웨이퍼 상의 표면이기 때문에, 이들 집적도 향상은 현실적으로 근본적으로 2차원(2D)이다. 증가된 밀도 및 대응하는 집적 회로의 영역의 감소는 일반적으로 기판 상에 집적 회로 칩을 직접 본딩하는 능력을 능가하였다. 따라서, 칩의 영역으로부터 개재물의 더 큰 영역으로 볼 콘택 영역을 재분배하는데 개재물이 사용되어 왔다. 또한, 개재물은 다수의 칩을 포함하는 3차원(3D) 패키지를 허용한다.
칩의 감소된 사이즈를 허용하는 개재물의 사용의 이점에도 불구하고, 개재물의 사용은 일반적으로 단점을 갖는다. 개재물은 일반적으로 프로세싱이 완료될 때까지 검출되지 않는 새로운 문제를 도입한다. 따라서, 이전의 프로세싱에서의 결함이 개재물을 사용할 수 없게 만들기 때문에 결함있는 개재물을 갖는 패키지는 불필요한 프로세싱을 받을 수 있다. 이러한 문제 중의 일부는 일반적으로 TSV(through subfrate via; 또한 스루-실리콘 비아(through-silicon via) 또는 스루 반도체 비아(through semiconductor via)로 알려짐) 내의 보이드, 에칭 프로세스 후의 금속화층의 비정상 라우팅, 범프 콜드 조인트(bump cold joint)(개방 또는 단락) 및 개재물 볼 내의 크랙을 포함한다.
본 발명의 실시예에 따르면, 개재물의 주변을 따라 연장하는 테스트 구조물을 갖는 개재물을 포함하고, 상기 테스트 구조물의 적어도 일부가 제1 재분배 소자 내에 있고, 상기 제1 재분배 소자는 상기 개재물의 기판의 제1 표면 상에 있고, 상기 테스트 구조물은 적어도 2개의 프로브 패드 사이에 개재되어 전기적으로 결합되는 구조물을 제공한다.
본 발명의 다른 실시예에 따르면, 개재물; 다이; 상기 다이를 상기 개재물에 전기적으로 결합시키는 도전성 범프; 상기 도전성 범프의 적어도 하나를 포함하는 테스트 구조물; 및 상기 개재물 상의 적어도 2개의 전기적 종단부를 포함하고, 상기 테스트 구조물은 적어도 2개의 전기적 종단부 사이에 개재되어 전기적으로 결합되는 구조물을 제공한다.
본 발명의 실시예에 따르면, 결함을 발생시키는 프로세스 단계를 식별함으로써, 프로세스는 수율을 증가시키는 방식으로 개선될 수 있다.
본 실시예 및 그 이점의 더 완전한 이해를 위하여, 첨부된 도면와 결합하여 다음의 설명이 이루어진다.
도 1은 제1 측에 부착된 집적 회로 다이를 갖는 개재물의 단면도.
도 2A 내지 2H는 도 1의 제1 측에 부착된 집적 회로 다이를 갖는 개재물을 형성하는 제1 방법을 나타내는 도면.
도 3A 내지 3F는 도 1의 제1 측에 부착된 집적 회로 다이를 갖는 개재물을 형성하는 제2 방법을 나타내는 도면.
도 4는 개재물의 일부의 상세 단면도.
도 5A 및 5B는 개재물 상의 금속화층의 실 링 패턴(seal ring pattern)의 레이아웃도.
도 6은 도 5A 및 5B의 개재물의 주변을 따르는 실 링의 단면도.
도 7은 실시예에 따른 금속화층 내의 실 링 패턴의 레이아웃도.
도 8은 실시예에 따른 도 7의 구조물의 단면도.
도 9는 실시예에 따른 금속화층 내의 실 링 패턴의 레이아웃도.
도 10은 실시예에 따른 도 9의 구조물의 단면도.
도 11은 실시예에 따른 금속화층 내의 실 링 패턴의 레이아웃도.
도 12A 내지 12C는 실시예에 따른 도 11의 구조물의 단면도.
도 13은 실시예에 따른 개재물의 주변을 따르는 실 링의 단면도.
도 14는 실시예에 따른 금속화층 내의 실 링 패턴의 레이아웃도.
도 15는 실시예에 따른 도 14의 구조물의 단면도.
도 16은 실시예에 따른 금속화층 내의 실 링 패턴의 레이아웃도.
도 17은 실시예에 따른 도 16의 구조물의 단면도.
도 18은 실시예에 따른 금속화층 내의 실 링 패턴의 레이아웃도.
도 19A 내지 19C는 실시예에 따른 도 18의 구조물의 단면도.
도 20 및 21은 실시예에 따른 피시험 세그먼트를 테스트하는 일반적인 개념을 나타내는 도면.
도 22는 개재물의 분리 영역 내의 더미 금속 비아 데이지 체인(daisy chain)의 단면도.
도 23은 실시예에 따라 연속적으로 오버레이(overlay)된 금속화층 및 금속화층을 오버레이하는 프로브 패드를 갖는 실 링 패턴의 레이아웃도.
도 24 내지 26은 다양한 실시예에 따라 도 23의 비아 체인 구조물의 상이한 구성의 단면도.
도 27 및 28은 실시예에 따른 피시험 비아 체인을 테스트하는 일반적인 개념을 나타내는 도면.
도 29는 실시예에 따라 개재물의 분리 영역 내의 더미 TSV(through substrate via) 데이지 체인의 일부의 단면도.
도 30은 실시예에 따른 개재물의 후면의 평면도.
도 31은 실시예에 따른 도 30의 개재물의 단면도.
도 32는 실시예에 따른 개재물의 후면의 평면도.
도 33은 실시예에 따라 부착된 다이를 갖는 도 32의 개재물의 단면도.
도 34는 실시예에 따라 부착된 다이를 갖는 개재물의 단면도.
도 35 내지 37은 다양한 실시예에 따른 피시험 TSV 체인을 테스트하는 일반적인 개념을 나타내는 도면.
도 38은 실시예에 따른 개재물의 전면 패턴을 나타내는 도면.
도 39는 실시예에 따른 다이의 액티브 표면을 나타내는 도면.
도 40은 실시예에 따른 도 38의 전면 패턴을 갖는 개재물에 부착된 도 39의 액티브 표면을 갖는 다이의 단면도.
도 41은 실시예에 따른 개재물의 후면 패턴을 나타내는 도면.
도 42는 실시예에 따른 도 41의 후면 패턴을 갖는 개재물에 부착된 도 39의 액티브 표면을 갖는 다이의 단면도.
도 43은 실시예에 따른 개재물에 부착된 도 39의 액티브 표면을 갖는 다이의 단면도.
도 44는 실시예에 따른 기판에 부착된 개재물에 부착된 도 39의 액티브 표면을 갖는 다이의 단면도.
도 45 내지 48은 다양한 실시예에 따른 피시험 범프 패드 및/또는 범프(BPB)를 테스트하는 일반적인 개념을 나타내는 도면.
도 49는 실시예에 따라 개재물에 부착된 다이를 형성하고 개재물 및/또는 다이의 구조물을 테스트하는 방법을 나타내는 도면.
본 실시예의 제조 및 사용이 이하에서 상세히 설명된다. 그러나, 본 개시물은 다양한 특정 컨텍스트에서 실시될 수 있는 많은 적용가능한 신규 개념을 제공함을 이해해야 한다. 개시된 특정 실시예는 개시된 주체를 만들어 사용하는 특정 방법을 설명하기 위한 것이며 상이한 실시예의 범위를 제한하는 것은 아니다.
실시예는 특정 컨텍스트, 즉, 개재물 및 개재물에 부착된 다이에 대하여 설명할 것이다. 이들 실시예는 다양한 프로세싱 단계 동안 테스트 구조물에 대응하는 구조물에 대한 프로세싱의 신뢰성을 테스트하는 테스트 구조물을 포함한다. 실시예의 형태가 개별적으로 설명되지만, 실시예가 개별적으로 설명된 형태의 상당수를 포함할 수 있음을 당업자는 쉽게 이해할 것이다. 또한 다른 실시예가 다른 패키징 프로세스 및 패키지에 적용될 수 있다.
도 1은 도전성 범프(14)를 통해 개재물(10)의 제1 면에 부착된 집적 회로 다이(12)를 갖는 개재물(10)의 단면도를 나타낸다. 다이(12)는 하나 이상의 금속화층을 포함하는 배선 소자(16)를 포함한다. 다이 본드 패드(18)는 기계적으로 다이(12)에 결합되고 배선 소자(16) 내의 하나 이상의 금속화층을 통해 다이(12) 내의 장치에 전기적으로 결합된다. 도전성 범프(14)는 기계적 및 전기적으로 다이 본드 패드(18)에 결합되고 예를 들어 약 5 미크론 내지 약 50 미크론의 직경을 갖는 마이크로 범프, 필러(pillar) 및/또는 칼럼을 포함할 수 있다.
개재물 범프 패드(24)는 기계적으로 개재물(10)에 결합되고 기계적 및 전기적으로 도전성 범프(14)에 결합된다. 개재물(10)은 하나 이상의 금속화층을 포함하는 재분배층(RDL)(20)을 포함한다. RDL(20)은 상이한 패드 구성 및 더 큰 도전성 볼(30)을 허용한다. 개재물 범프 패드(24)는 RDL(20) 내의 하나 이상의 금속화층을 통해 개재물(10) 내의 TSV(through substrate via; 또한 스루-실리콘 비아 또는 스루-반도체 비아로 알려짐)(22)에 전기적으로 결합된다. TSV(22)는 RDL(20)이형성된 기판의 전면으로부터 기판의 후면으로 개재물(10)의 기판을 관통하여 연장한다. 개재물(10)은 후면 재분배 소자 등의 하나 이상의 금속화층을 포함할 수 있는 패시베이션 구조물(26)을 포함한다. 볼 패드(28)는 개재물(10)의 후면에 기계적으로 결합되고 패시베이션 구조물(26) 내의 하나 이상의 금속화층을 통해 및/또는 직접 TSV(22)에 전기적으로 결합된다. 도전성 볼(30)은 기계적 및 전기적으로 볼 패드(28)에 결합된다. 도전성 볼(30)은 약 60 미크론 내지 약 120 미크론의 직경을 가질 수 있다. 도전성 볼(30)은 다이(12)로부터 외부 전기적 접속부를 제공한다.
도 2A 내지 2H는 도 1에 도시된 구조물 등의 개재물 및 개재물에 부착된 다이를 형성하는 제1 방법을 나타낸다. 이 순서는 단지 설명을 목적으로 제공하는 것이며, 다른 순서가 사용될 수 있음을 인정해야 한다. 먼저 도 2A를 참조하면, 기판(40)의 전면을 관통해 형성된 TSV(42)를 갖는 개재물의 기판(40)이 도시된다. 기판(40)은 일반적으로, 실리콘 등의, 개재물에 부착될 집적 회로 다이를 형성하는데 사용되는 기판과 유사한 물질을 포함한다. 기판(40)은 다른 물질로 형성될 수 있지만, 개재물용 실리콘 기판을 이용하면 실리콘 기판과 다이에 일반적으로 사용되는 실리콘 사이의 열팽창계수(CTE; coefficient of thermal expansion) 부정합이 상이한 물질로 형성된 기판보다 낮기 때문에 스트레스를 줄일 수 있다고 믿는다.
TSV는 예를 들어, 에칭, 밀링, 레이저 기술, 그 조합 등에 의해 기판(40)에 리세스를 형성함으로써 형성된다. 얇은 배리어층이 예를 들어 화학 기상 증착(CVD), 원자층 증착(ALD; atomic layer deposition), 물리적 증착(PVD), 열산화, 그 조합 등에 의해 기판(40)의 전면의 상부 및 개구 내에 순응하여 증착된다. 배리어층은 티타늄 나이트라이드, 티타늄 옥시나이트라이드, 탄탈륨 나이트라이드, 탄탈륨 옥시나이트라이드, 텅스텐 나이트라이드, 그 조합 등의 나이트라이드 또는 옥시나이트라이드를 포함할 수 있다. 도전성 물질이 얇은 배리어층의 상부 및 개구 내에 증착된다. 도전성 물질은 전기화학 도금 프로세스, CVD, ALD, PVD, 그 조합에 의해 형성될 수 있다. 도전성 물질의 예는 구리, 텅스텐, 알루미늄, 은, 금, 그 조합이다. 잉여의 도전성 물질 및 배리어층은 예를 들어 화학 기계적 연마에 의해 기판(40)의 전면으로부터 제거된다. 따라서, TSV(42)는 도전성 물질 및 도전성 물질과 기판(40) 사이의 얇은 배리어층을 포함한다.
RDL(44)을 형성하는 전면 프로세싱이 도 2B에서 계속된다. RDL(44)은 임의의 수의 금속화층, 금속간 유전체(IMD; inter-metal dielectric) 층, 비아 및 패시베이션 층 또는 그 조합을 포함할 수 있다. 도 2B에 도시된 RDL(44)은 IMD 층 내에 제1 금속화층(M1)(46), 제2 금속화층(M2)(48) 및 제3 금속화층(M3)(50) 등의 3개의 금속화층을 포함한다. IMD 층내의 금속화층 사이에 비아가 형성된다. 금속화층은, IMD 층을 증착하고, 예를 들어, 수락가능한 포토리소그래피 기술을 이용하여 IMD 층내의 층의 금속화 패턴을 에칭하고, IMD 내에 금속화를 위한 도전성 물질을 증착하고 예를 들어 CMP에 의해 임의의 잉여의 도전성 물질을 제거함으로써 형성된다. 포토리소그래피 기술은, 특히 비아가 IMD를 통해 하부의 금속화층까지 형성될 때, 단일 다마신(damascene) 프로세스 또는 듀얼 다마신 프로세스를 포함할 수 있다.
IMD 층은 BPSG(borophosphosilicate glass) 또는 다른 유전체 물질 등의 산화물 유전체일 수 있다. 금속화층의 도전성 물질은 예를 들어, 구리, 니켈, 알루미늄, 구리 알루미늄, 텅스텐, 티타늄, 그 조합 등일 수 있다. 금속화층은 도전성 물질 및 IMD 물질 간의 배리어층을 포함할 수 있고, 예를 들어 실리콘 나이트라이드로 형성되는 에치 스톱층 등의 다른 유전체 층은 IMD 층 사이에 형성될 수 있다.
상부 금속화층, 즉, 도 2B의 제3 금속화층(50)의 형성 후에, 하나 이상의 패시베이션층이 금속화층 상에 형성된다. 패시베이션층(들)은 폴리이미드, BPSG, 실리콘 나이트라이드(SiN), 및 그 조합 등일 수 있고, 스핀-온 기술, CVD, ALD, PVD 및 그 조합을 이용하여 형성될 수 있다. 상부 금속화층 상에 범프 패드를 형성하기 위하여, 개구(52)가 패시베이션층에 형성되어 상부 금속화층, 즉, 도 2B의 제3 금속화층(50)을 노출한다. 개구(52)는 예를 들어 수락가능한 포토리소그래피 및 에칭 기술을 이용하여 형성될 수 있다.
도 2C를 참조하면, 범프 본드 패드(54)가 개구(52)를 통해 상부 금속화층 상에 형성되고, 도전성 범프(56)가 범프 본드 패드(54) 상에 형성된다. 범프 본드 패드(54)는, 개구(52) 내에 도전성 물질을 증착하고 범프 본드 패드(54)로 도전성 물질을 패터닝함으로써 형성될 수 있다. 도전성 물질은 구리, 은, 주석, 티타늄, 텅스텐, 및 그 조합을 포함할 수 있고 PVD, CVD, ALD, 및 그 조합 등에 의해 형성될 수 있다. 범프 본드 패드(54)의 패터닝은 수락가능한 포토리소그래피 및 에칭 기술에 의해 수행될 수 있다. 도전성 범프(56)는 전기화학 도금(ECP) 등에 의해 범프 본드 패드(54) 상에 형성되고 구리, 주석, 니켈, 및 그 조합 등을 포함할 수 있다.
도 2D에서, 집적 회로 다이(58)가 도전성 범프(56)에 의해 부착되고, 언더필 물질(60)이 다이(58) 및 개재물, 예를 들어, 상부 패시베이션층 사이에 제공된다. 다이(58)는 피크-앤-플레이스 툴(pick-and-place tool)을 이용하여 부착된 공지된 양호한 다이일 수 있고, 도전성 범프(56)는 언더필 물질(60)이 제공되기 전에 리플로우(reflow)될 수 있다. 언더필 물질(60)은 수락가능한 제공 장비를 이용하여 제공되는 액체 에폭시, 변형가능 겔, 실리콘 러버(rubber), 및 그 조합일 수 있다. 도 2E에서, 언더필 물질(60)이 경화된 후에, 예를 들어 몰딩 복합체(62)를 도포하고 압축 몰딩을 이용함으로써 다이(58)가 캡슐화된다.
기판(40)의 후면 프로세싱은 도 2F에서 시작된다. 도 2E의 어셈블리는 후면 프로세싱 동안 캐리어 기판(67)에 부착된다. 캐리어 기판(67)은 접착제를 이용하여 다이(58) 및/또는 몰딩 복합체(62)에 부착될 수 있다. 일반적으로, 캐리어 기판은 후속의 프로세싱 단계 동안 일시적인 기계적 구조적 지지를 제공한다. 이 방식으로, 개재물에 가해지는 손상을 감소시키거나 방지한다. 캐리어 기판은 예를 들어, 글래스, 실리콘 옥사이드, 알루미늄 옥사이드, 및 그 조합 등을 포함할 수 있다. 접착제는 UV(ultraviolet) 광에 노출될 때 접착 특성을 잃는 UV 접착제 등의 임의의 적절한 접착제일 수 있다.
도 2F에서, 기판(40)을 씨닝(thinning)함으로써, TSV(42)가 기판(40)의 후면으로부터 돌출한다. 씨닝 프로세스는 화학적 기계적 연마(CMP) 프로세스 등의 평탄화 프로세스 및/또는 에칭 프로세스를 사용하여 수행될 수 있다. 예를 들어, CMP 등의 평탄화 프로세스가 초기에 수행되어 TSV(42)의 배리어층을 초기에 노출시킬 수 있다. 그 후, 배리어층 및 기판(40)의 물질 사이의 높은 에칭 속도 선택비를 갖는 하나 이상의 습식 에칭 프로세스가 수행되어, 기판(40)의 후면으로부터 돌출한 TSV(42)를 남길 수 있다. 에칭 프로세스는 또한 예를 들어 건식 에칭 프로세스일 수 있다. 도 2F에 도시된 유전체층(64 및 66) 등의 하나 이상의 유전체층이 기판(40)의 후면 상에 증착된다. 유전체층(64 및 66)은 예를 들어 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 옥시나이트라이드, 및 그 조합 등일 수 있다. 그 후, 후면은 예를 들어 CMP에 의해 평탄화되어 TSV(42)가 후면 상에 노출된다.
도 2G는 패시베이션층(68), 볼 본드 패드(70) 및 도전성 볼(72)의 형성을 나타낸다. 패시베이션층(68)은 후면 상에 유전체층(64 및 66)에 걸쳐 형성되고 예를 들어 스핀-온 기술, CVD, ALD, 및 그 조합 등에 의해 형성된 폴리이미드, BPSG, PBO(polybenzoxazole), 및 그 조합일 수 있다. 볼 본드 패드(70)를 형성하기 위하여 패시베이션층(68)에 개구가 형성되어 예를 들어 TSV(42)를 노출시킨다. 개구는 예를 들어 수락가능한 포토리소그래피 및 에칭 기술을 이용하여 형성될 수 있다. 볼 본드 패드(70)는, 금속 등의 도전성 물질, 예를 들어, 크롬, 크롬-구리 합금, 구리, 금, 티타늄, 티타늄 텅스텐, 니켈, 또는 그 조합의 하나 이상의 층을 개구에 증착하고 도전성 물질을 볼 본드 패드(70)로 패터닝함으로써 형성될 수 있다. 도전성 물질은 ECP 등에 의해 증착될 수 있고 패터닝은 수락가능한 포토리소그래피 및 에칭 기술에 의해 수행될 수 있다. 도전성 볼(72)은 ECP 등에 의해 볼 본드 패드(70) 상에 형성되고 구리, 주석, 공융 솔더(eutectic solder), 무연 솔더(lead free solder), 니켈 및 그 조합 등을 포함할 수 있다.
도 2G는 TSV(42)에 직접 결합되는 볼 본드 패드(70)를 도시하지만, 하나 이상의 금속화층 및 IMD 층이 기판(40)의 후면 상에 형성되어 볼 본드 패드(70)를 TSV(42)에 전기적으로 결합시킬 수 있다. 후면의 금속화층은 ECP, 무전해 도금 등의 적절한 기술, 스퍼터링, 프린팅, CVD, PVD, 및 그 조합 등의 다른 증착 방법 등에 의해 형성된 구리, 구리 합금, 알루미늄, 은, 금 및 그 조합 등의 임의의 적절한 도전성 물질로 형성될 수 있다.
그 후, 도 2H에 도시된 바와 같이, 도전성 볼(72)이 다이싱 프레임(74)에 인접한 상태에서 다이싱 프레임(74)에 어셈블리를 부착하고, 캐리어 기판(67)이 제거된다. 그 후, 어셈블리는 도 1에 도시된 패키지 등의 개재물 및 임의의 수의 다이를 갖는 개별 패키지로 절단된다.
도 3A 내지 3F는 도 1에 도시된 구조물 등의 개재물 및 개재물에 부착된 다이를 형성하는 제2 방법을 나타낸다. 이 순서는 단지 설명을 목적으로 제공하는 것이며, 다른 순서가 사용될 수 있음을 인정해야 한다. 이 방법에서, 상술한 바와 같이 도 2C까지 어셈블리가 프로세싱된다. 도 2C의 어셈블리의 전면은 도 3A에 도시된 바와 같이 접착제(82)에 의해 캐리어 기판(80)에 부착된다. 캐리어 기판(80)은 예를 들어, 글래스, 실리콘 옥사이드, 알루미늄 옥사이드, 및 그 조합 등을 포함할 수 있다. 접착제는 UV 접착제 등의 임의의 적절한 접착제일 수 있다.
도 3B에 도시된 바와 같이 후면 프로세싱이 시작된다. 도 3B에서, 기판(40)을 씨닝함으로써 TSV(42)가 기판(40)의 후면으로부터 돌출한다. TSV(42)를 돌출시키고 유전체층을 형성하는 것은 도 2F에서 설명한 것과 유사하거나 동일하다. 도 3C에서, 패시베이션층(68), 볼 본드 패드(70) 및 도전성 볼(72)이 형성된다. 이들 구성요소를 형성하는 단계는 도 2G에 대하여 설명한 것과 유사하거나 동일하다.
도 3D에서, 예를 들어, 접착제(82)를 UV 방사에 노출시킴으로써, 도 3C의 어셈블리가 캐리어 기판(80)으로부터 제거되고, 어셈블리는 접착제(86)에 의해 다른 캐리어 기판(84)에 부착된다. 어셈블리의 후면은 캐리어 기판(84)에 대향한다. 캐리어 기판(84)은 예를 들어 글래스, 실리콘 옥사이드, 알루미늄 옥사이드, 및 그 조합을 포함할 수 있다. 접착제(86)는 UV 접착제 등의 임의의 적절한 접착제일 수 있다.
도 3E에서, 도 2D와 유사하게, 집적 회로 다이(58)가 어셈블리의 전면에 부착되고, 언더필 물질(60)이 다이(58) 및 개재물, 예를 들어, 상부 패시베이션층 사이에 제공된다. 도 3F에서, 예를 들어 접착제(60)를 UV 방사에 노출시킴으로써 캐리어 기판(84)을 어셈블리로부터 제거한다. 그 후, 후면이 다이싱 프레임(74)로부터 멀리 떨어진 상태, 예를 들어, 도전성 볼(72)이 다이싱 프레임(74)로부터 떨어진 상태에서, 어셈블리는 다이싱 프레임(74)에 부착된다. 그 후, 어셈블리는 도 1에 도시된 패키지 등의 개재물 및 임의의 수의 다이를 갖는 개별 패키지로 절단된다.
도 4는 개재물의 일부의 상세 단면도이다. 개재물은 TSV(92)를 갖는 기판(90)을 포함한다. 기판은 예를 들어 실리콘 기판이다. TSV(92)는 기판(90)의 전면으로부터 후면으로 깊이(96)만큼 연장한다. TSV(92)는 직경일 수 있는 폭(94)를 갖는다. 깊이(96)는 약 50 마이크로미터 내지 약 200 마이크로미터 사이이고, 폭(94)은 약 3 마이크로미터 내지 약 15 마이크로미터 사이일 수 있다. TSV(92)는 약 6 내지 18 사이의 종횡비를 가질 수 있다.
개재물은 또한 전면 상에 형성된 제1 IMD 층(104), 제2 IMD 층(106) 및 제3 IMD 층(108)에 각각 형성된 제1 금속화층(98), 제2 금속화층(100) 및 제 3 금속화층(102)을 더 포함한다. 제1 비아(110)는 제1 금속화층(98)의 일부를 제2 금속화층(100)의 일부에 전기적으로 결합시키고, 제2 비아(112)는 제2 금속화층(100)의 일부를 제3 금속화층(102)의 일부에 전기적으로 결합시킨다.
제1 패시베이션층(114)이 제3 금속화층(102) 및 제3 IMD 층(108) 상에 형성되고 제3 금속화층(102)의 일부를 노출시키도록 패터닝된다. 본드 패드(116)가 제3 금속화층(102)의 노출된 부분 상에 형성되고 제1 패시베이션층(114) 상의 플랜지(flange) 부분을 포함한다. 제2 패시베이션층(118)이 제1 패시베이션층(114) 및 본드 패드(116)의 플랜지 부분 상에 형성된다. 본드 패드(116)가 제2 패시베이션층(118)을 통해 노출된다. 도전성 범프(120)가 본드 패드(116) 상에 있고 예를 들어 약 25 마이크로미터의 직경을 갖는다.
개재물은 또한 후면 상에 형성된 후면 금속화층(122)을 포함한다. 후면 패시베이션층(124)이 후면 금속화층(122) 상에 형성되고 후면 금속화층(122)의 일부를 노출하도록 패터닝된다. 도전성 볼(126)이 후면 금속화층(122)의 노출된 부분 상에 형성되고 예를 들어 약 100 마이크로미터의 직경을 갖는다.
도 5A 및 5B는 개재물 상의 금속화층(들)의 실 링 패턴(seal ring pattern)(130)의 레이아웃도이다. 실 링 패턴(130)은 예를 들어 개재물의 전면 상에 형성된 하나의 금속화층, 모든 금속화층 또는 금속화층의 임의의 조합을 위한 것일 수 있다. 도 5A는 개재물의 에지(132)를 나타내고 도 5B에서 확장된 코너의 도면(134)을 나타낸다. 도 5B는 내부 실 링 패턴(136) 및 외부 실 링 패턴(138)의 패턴을 나타낸다. 내부 실 링 패턴(136) 및 외부 실 링 패턴(138)의 각각은, 코너의 부근의 에지(132)로부터 45도로 연장하는 것을 제외하고, 에지(132)의 내부에서 소정의 거리 만큼 에지(132)를 따라 연장한다. 코너 패턴(140)은 외부 실 링 패턴(138)이 45도로 연장하는 곳의 외부의 코너로 연장하고, 코너 패턴(140)은 외부 실 링 패턴(138)에 결합된다. 코너 패턴(140)은 또한 코너 스트레스 구제(CSR; corner stress relief) 영역이라 할 수 있다.
도 6은 개재물 주변을 따르는 실 링의 단면도를 나타낸다. 개재물은 기판(150)의 전면 상에 형성된 IMD 층(152)을 갖는 기판(150)을 포함한다. IMD 층(152)에는, 상술한 다른 물질 중의 임의의 것이 사용될 수 있지만, 예를 들어 구리로 형성된 제1 금속화층(154), 제2 금속화층(156) 및 제3 금속화층(158)이 형성된다. 금속화층(154, 156 및 158)의 각각은 외부 실 링(162) 및 내부 실 링(164) 내의 부분을 포함한다. 외부 실 링(162) 내의 금속화층(154, 156 및 158)의 부분은 도 5A 및 5B에 도시된 외부 실 링 패턴(138) 및 코너 패턴(140)을 포함한다. 마찬가지로, 내부 실 링(164) 내의 금속화층(154, 156 및 158)의 부분은 도 5A 및 5B에 도시된 내부 실 링 패턴(136)을 포함한다. 개별 비아(166) 및 비아 바(168)는 금속화층(154, 156 및 158)을 함께 전기적으로 결합시킨다. 비아 바(168)는 일반적으로 외부 실 링 패턴(138) 또는 내부 실 링 패턴(136)과 유사하게 에지(132)를 따라 연장한다.
제1 패시베이션층(170)이 IMD 층(152)의 상부 IMD 층 및 제3 금속화층(158) 상에 형성된다. 외부 실 링(162) 내의 제3 금속화층(158)의 부분은 개구에 의해 노출되고 패드(172)가 개구 내에 형성되어 외부 실 링(162) 내의 제3 금속화층(158)의 부분에 전기적으로 결합된다. 패드(172)는, 상술한 다른 물질이 사용될 수 있지만, 예를 들어, 알루미늄 또는 구리 알루미늄을 포함한다. 패드(172)의 플랜지 부분은 제1 패시베이션층(170) 상에 있다. 제2 패시베이션층(174)이 제1 패시베이션층(170) 및 패드(172)의 플랜지 부분의 일부 상에 있다. 패드(172)는 제2 패시베이션층(174)을 통해 노출된다. 임의의 구조에서, 패드(172)는 존재하지 않을 수 있고, 제1 패시베이션층(170) 및 제2 패시베이션층(174)를 통한 다양한 개구가 존재하거나 존재하지 않을 수 있다.
개재물 내의 구조물은 사용되는 기술 노드에 의존하여 변경될 수 있는 다양한 치수를 가질 수 있다. 개재물에 대한 치수는 65 나노미터 기술 노드에 대하여 예시적인 것이며 실시예의 범위를 제한하지 않는다. 도 6은 내부 실 링(164)으로부터 개재물 내부의 분리 영역(176)을 또한 나타낸다. 분리 영역은 개재물의 중앙을 향하여 내부로 연장하는 내부 실 링(164)의 내부 에지로부터 예를 들어 약 10 마이크로미터의 폭(180)을 가질 수 있다. 내부 실 링(164)은 예를 들어 약 3.5 마이크로미터의 폭(182)을 가질 수 있다. 내부 실 링(164)의 외부 에지 및 외부 실 링(162)의 내부 에지 사이의 거리(184)는 예를 들어 약 2.5 마이크로미터일 수 있다. 외부 실 링(162)은 예를 들어 약 2 마이크로미터의 폭(186)을 가질 수 있다. 외부 실 링(162)의 외부 에지로부터 개재물의 에지로(132)의 거리(188)는 예를 들어 약 2 마이크로미터일 수 있다. 패드(172)는 예를 들어 약 2 마이크로미터의 제1 패시베이션층(170)의 측벽 간의 폭(190)을 가질 수 있다. 패드(172)의 플랜지 부분은 예를 들어 약 1 마이크로미터의 에지(132) 부근의 폭(192) 및 예를 들어 약 2 마이크로미터의 반대편 폭(194)을 가질 수 있다.
도 7은 도 5A 및 5B의 실 링 패턴의 변형인 금속화층의 도면(134a)을 나타낸다. 프로브 패드(200)가 코너에 형성되고 선(202)에 의해 내부 실 링 패턴(136)에 접속된다. 외부 실 링 패턴(138)은 선(202)이 외부 실 링 패턴(138)을 교차하는 부분에서 불연속적이다. 유사한 프로브 패드 및 선이 각 코너에 형성될 수 있다.
도 8은 도 7의 구조물의 단면도를 나타낸다. 도 7의 접속부는 일반적으로 단일 단면 평면에서 정렬하지 않기 때문에 도 8은 간략화된 도면임을 주의해야 한다. 도 8은 도 2B와 관련하여 설명한 적절한 금속화 층 및/또는 IMD 층이 형성된 후이지만 위에 형성된 IMD 층 또는 패시베이션층의 형성 전 등의 프로세싱이 완료되기 전의 구조물을 나타낸다. 도 8은, 피시험 세그먼트(204)의 반대 단부의 프로브 패드(200) 및 접속부(202)의 결합과 함께, 도 6의 내부 실 링(164) 내의 금속화층(154, 156 및 158) 중의 하나의 일부 및 도 7의 내부 실 링 패턴(136)의 세그먼트인 피시험 세그먼트(204)를 나타낸다. 피시험 세그먼트(204)는 금속화층 중의 임의의 것 내에 있을 수 있고, 따라서, 기판(150) 또는 IMD 층(152) 중의 임의의 것 (150/152로 표시) 위에 있을 수 있다. 피시험 세그먼트(204)는 피시험 세그먼트(204)의 하부에 있을 수 있는 금속화층과 전기적으로 분리된다.
피시험 세그먼트(204)가 형성된 특정 금속화층을 형성한 후 바로 위에 놓인 IMD 층 또는 패시베이션층을 형성하기 전에, 내부 실 링 패턴(136)의 피시험 세그먼트(204)가 세그먼트의 각 단부에 프로브 패드(200)를 탐침함으로써 테스트된다. 하나의 프로브 패드(200)에 신호를 인가하고 피시험 세그그먼트 단부의 다른 프로브 패드(200)에서 신호를 감지함으로써 피시험 세그먼트(204)가 세그먼트의 금속화부에서 보이드 또는 불연속성을 갖는지를 판단할 수 있다. 일반적으로, 피시험 세그먼트(204)는 다른 전기 경로로부터 전기적으로 분리되거나 독립될 것이다. 예를 들어, 피시험 세그먼트(204)가 형성된 내부 실 링 패턴(136)은 밀폐된 루프를 형성하지 않을 것이다. 그 이유는, 그렇게 하면 피시험 세그먼트(204)가 개방될 때, 예를 들어 보이드 또는 불연속을 가질 때 테스트 신호가 프로브 패드(200) 사이의 루프를 돌아다니게 할 것이기 때문이다. 또한, 피시험 세그먼트(204)는 테스트 신호에 대한 대체 경로를 회피하기 위하여 하부의 금속화부에 결합되지 않을 것이다. 테스트 후에, 후속의 IMD 층 및/또는 패시베이션층이 형성될 수 있다. 금속화층이 피시험 세그먼트(204)가 형성된 금속화층 상에 형성되면, 위에 놓인 금속화층의 형성 전에 테스트가 발생하기 때문에 위에 놓인 금속화층은 피시험 세그먼트(204)에 전기적으로 결합될 수 있다.
도 9는 프로브 패드(210)가 위에 형성된 금속화층을 갖는 다른 도면(134b)을 나타낸다. 도 9는 도 5A 및 5B의 실 링 패턴의 변형이다. 프로브 패드(210)는 도 2C의 범프 본드 패드(54) 등의 범프 본드 패드와 동시에 형성된다. 프로브 패드(210)는 코너에 형성되고 배선 구조물(도 9에는 도시되지 않음) 및 선(202)을 통해 내부 실 링 패턴(136)에 전기적으로 결합된다. 외부 실 링 패턴(138)은 선(202)이 외부 실 링 패턴(138)에 교차하는 곳에서 불연속적이다. 유사한 프로브 패드, 배선 구조물 및 선이 각 코너에 형성될 수 있다.
도 10은 도 9의 구조물의 단면도를 나타낸다. 도 9의 접속부는 단일 단면 평면에서 정렬하지 않기 때문에 도 10은 간략화된 도면임을 주의해야 한다. 도 10은 도 2C에 대하여 설명한 범프 본드 패드(54)를 형성한 후이지만 도 2D 또는 3A에 대하여 설명한 후속의 프로세싱 전 등의 범프 본드 패드가 형성된 후의 구조물을 나타낸다. 도 10은, 이 예에서, 도 6의 내부 실 링(164) 내의 제1 금속화층(154)의 일부 및 도 9의 내부 실 링 패턴(136)의 세그먼트인 피시험 세그먼트(212)를 나타낸다. 피시험 세그먼트(212)의 대향 단부의 각각에는 피시험 세그먼트(212)에 프로브 패드(210)를 전기적으로 접속하는 배선 구조물이 형성된다. 배선 구조물의 각각은 제3 금속화층(158)의 랜딩 부분(214), 제2 금속화층(156)의 랜딩 부분(216), 랜딩 부분(214 및 216)을 접속하는 비아(218) 및 랜딩 부분(216)을 제1 금속화층(154)의 선(202) 및 랜딩 부분의 결합부에 접속하는 비아(220)를 포함한다.
도 10에서는 피시험 세그먼트(212)가 제1 금속화층(154)에 도시되지만, 피시험 세그먼트(212)는 금속화층의 임의의 것에 있을 수 있다. 당업자는 이들 상이한 구조물을 형성하기 위한 배선 구조물 및 금속화 패턴에 대한 변형을 용이하게 이해할 것이다. 도 10의 단면에는 (배선 구조물에 도시된 것 외의) 다른 금속화층의 일부가 도시되어 있지 않지만, 다른 금속화층의 일부가 피시험 세그먼트(212)의 상부 또는 하부에 배치될 수 있다. 피시험 세그먼트(212)는 일반적으로 배선 구조물의 일부를 제외하고 피시험 세그먼트(212)의 하부 또는 상부에 있는 금속화층의 일부와 전기적으로 분리된다.
도 2C에 대하여 설명한 바와 같이 범프 본드 패드(54) 등의 범프 본드 패드의 형성까지 프로세싱이 완료된 후이지만, 도 2D 또는 3A와 관련하여 설명한 바와 같이 후속의 프로세싱 전에, 세그먼트의 각각의 단부에 프로브 패드(210)를 탐침함으로써 내부 실 링 패턴(136)의 피시험 세그먼트(212)가 테스트된다. 하나의 프로브 패드(210)에 신호를 인가하고 피시험 세그먼트(212)의 반대 단부에서 다른 프로브 패드(210)에서 신호를 감지함으로써, 피시험 세그먼트(212)가 세그먼트의 금속화부에서 보이드 또는 불연속을 갖는지를 판단할 수 있다. 일반적으로, 피시험 세그먼트(212)는 다른 전기적 경로로부터 전기적으로 분리되거나 독립된다. 예를 들어, 상기와 유사하게, 피시험 세그먼트(212)가 형성된 내부 실 링 패턴(136)은 밀폐된 루프를 형성하지 않으며, 피시험 세그먼트(212)는 일반적으로 테스트 신호에 대한 대체 경로를 회피하기 위하여 하부 금속화부에 결합되지 않는다.
도 11은 연속적으로 오버레이된 금속화층 및 금속화층에 오버레이된 프로브 패드(230, 232 및 234)를 갖는 추가의 도면(134c)을 나타낸다. 도면(134c)은 도 5A 및 5B의 실 링 패턴의 변형이다. 프로브 패드(230, 232 및 234)는 예를 들어 도 2C의 범프 본드 패드(54) 등의 범프 본드 패드와 동시에 형성된다. 프로브 패드(230, 232 및 234)는 코너에 형성되고 배선 구조물(도 11에는 미도시) 및 각각의 선(236, 238 및 240)을 통해 각각의 금속화층(154, 156 및 158)의 내부 실 링 패턴(136)에 전기적으로 결합된다. 외부 실 링 패턴(138)은 선(236, 238 및 240)이 외부 실 링 패턴(138)과 교차하는 곳에서 불연속이다. 유사한 프로브 패드, 배선 구조물 및 선이 각각의 코너에 형성될 수 있다.
도 12A 내지 12C는 도 11의 구조물의 단면도를 나타낸다. 도 12A 내지 12C는 도 11의 접속부가 일반적으로 단일 단면 평면에 정렬되지 않기 때문에 간략화된 도면이라는 것에 주의해야 한다. 도 12A 내지 12C는 도 2C에 대하여 설명한 바와 같이 범프 본드 패드(54)를 형성한 후이지만 도 2D 또는 3A에 대하여 설명한 바와 같이 후속의 프로세싱 전 등의 범프 본드 패드가 형성된 후의 구조물을 나타낸다.
도 12A는 본 예에서 도 6의 내부 실 링(164) 내의 제1 금속화층(154)의 일부 및 도 11의 내부 실 링 패턴(136)의 세그먼트의 피시험 세그먼트(250)를 나타낸다. 피시험 세그먼트(250)의 대향 단부의 각각에는 프로브 패드(234)를 피시험 세그먼트(250)에 전기적으로 결합하는 배선 구조물이 존재한다. 배선 구조물의 각각은 제3 금속화층(158)의 랜딩부(260), 제2 금속화층의 랜딩부(262), 랜딩부(260 및 262)를 접속하는 비아(264), 랜딩부(262)를 제1 금속화층(154)의 선(240)과 랜딩부의 결합부에 접속하는 비아(266)를 포함한다.
도 12B는 본 예에서 도 6의 내부 실 링(164) 내의 제2 금속화층(156)의 일부 및 도 11의 내부 실 링 패턴(136)의 세그먼트의 피시험 세그먼트(252)를 나타낸다. 피시험 세그먼트(252)의 대향 단부의 각각에는 프로브 패드(232)를 피시험 세그먼트(252)에 전기적으로 결합하는 배선 구조물이 존재한다. 배선 구조물의 각각은 제3 금속화층(158)의 랜딩부(268), 랜딩부(268)를 제2 금속화층(154)의 선(238)과 랜딩부의 결합부에 접속하는 비아(270)를 포함한다.
도 12C는 본 예에서 도 6의 내부 실 링(164) 내의 제3 금속화층(158)의 일부 및 도 11의 내부 실 링 패턴(136)의 세그먼트의 피시험 세그먼트(254)를 나타낸다. 피시험 세그먼트(254)의 대향 단부의 각각에는 프로브 패드(230)를 피시험 세그먼트(254)에 전기적으로 결합하는 배선 구조물이 존재한다. 배선 구조물의 각각은 제3 금속화층(158)의 선(236)과 랜딩부의 결합부를 포함한다.
피시험 세그먼트(250, 252 및 254)가 도 12A 내지 12C에 개별적으로 도시되지만, 피시험 세그먼트(250, 252 및 254)는 일반적으로 오버레이(overlay)되며, 다른 구성이 사용될 수 있다. 당업자는 이러한 다른 구조물을 형성하기 위한 배선 구조물 및 금속화 패턴에 대한 변경을 용이하게 이해할 것이다. 이전의 도면과 마찬가지로, 도 12A 및 12B에서, 다른 금속화층의 일부가 피시험 세그먼트(250, 252 및 254)의 위에 놓이거나 아래에 놓일 수 있다. 피시험 세그먼트(250, 252 및 254)는 일반적으로 배선 구조물의 일부를 제외하고 피시험 세그먼트(250, 252 및 254)의 아래에 놓이거나 위에 놓인 금속화층의 일부로부터 분리된다.
도 2C에 대하여 설명한 바와 같이 범프 본드 패드(54) 등의 범프 본드 패드의 형성까지 프로세싱을 완료한 후이지만, 도 2D 또는 3A에 대하여 설명한 바와 같이 후속의 프로세싱 전에, 내부 실 링 패턴(136)의 피시험 세그먼트(250, 252 및 254)는 각각의 세그먼트의 각각의 단부에서 프로브 패드(230, 232 및 234)를 탐침함으로써 테스트된다. 피시험 세그먼트(250, 252 및 254)의 각각은 도 9 및 10에 도시된 구조물에 대하여 설명한 바와 같이 테스트될 수 있다. 일반적으로 피시험 세그먼트(250, 252 및 254)는 다른 전기 경로로부터 전기적으로 분리되거나 독립적이다. 예를 들어, 상기와 유사하게, 피시험 세그먼트(250, 252 및 254)의 각각이 형성된 내부 실 링 패턴(136)은 밀폐된 루프를 형성하지 않고, 피시험 세그먼트(250, 252 및 254)는 일반적으로 테스트 신호의 대체 경로를 회피하기 위하여 하부 또는 상부의 금속화부에 결합되지 않을 것이다.
도 13은 도 6과 유사하게 개재물의 주변을 따르는 실 링의 다른 단면도이다. 도 13에서, 개재물은 금속화층(154, 156 및 158)의 각각에서 내부 실 링(164) 및 외부 실 링(162) 사이의 선(280, 282 및 284)을 각각 포함한다. 선(280, 282 및 284)은 실 링(162 및 164)처럼 개재물의 주변을 따라 유사하게 연장한다. 또한, 선(280, 282 및 284)은 본 예에서 최소 설계 규칙 폭을 갖는다. 65 나노미터 기술 노드를 갖는 본 예에서, 최소 설계 규칙 폭은 약 0.324 마이크로미터이고, 선(280, 282 및 284)의 각각은 약 0.324 마이크로미터의 폭을 갖는다.
도 14는 도 5A 및 5B의 실 링 패턴의 변형인 금속화층의 다른 도면(134d)를나타낸다. 도 14에서, 선 패턴(290)이 내부 실 링 패턴(136) 및 외부 실 링 패턴(138) 사이에 있다. 도 14의 도면(134d)에서, 프로브 패드(200)는 코너에 형성되고 선(292)에 의해 선 패턴(290)에 접속된다. 외부 실 링 패턴(138)은 선(292)이 외부 실 링 패턴(138)과 교차하는 곳에서 불연속이다. 유사한 프로브 패드 및 선이 각 코너에 형성될 수 있다.
도 15는 도 14의 구조물의 단면도를 나타낸다. 도 15는 예를 들어 도 13의금속화층(154, 156 및 158) 중의 하나의 일부인 선(280, 282 및 284) 중의 하나 및 도 14의 선 패턴(290)의 세그먼트의 피시험 세그먼트(294)를 나타낸다. 피시험 세그먼트(294)는 피시험 세그먼트(294)의 대향 단부에서 프로브 패드(200) 및 접속부(292)의 결합부를 갖는다. 피시험 세그먼트(294)는 금속화층 중의 임의의 것에 있을 수 있고, 따라서, IMD 층(152)("150/152"로 표시)의 임의의 것 중의 하나 또는 기판(150) 위에 있을 수 있다. 피시험 세그먼트(294)는 전기적으로 분리되거나 독립적이며 도 7 및 8에서 피시험 세그먼트(204)에 대하여 설명한 것과 동일한 방식으로 테스트될 수 있다.
도 16은 프로브 패드(210)가 오버레이된 금속화층을 갖는 다른 도면(134e)을 나타낸다. 도 16은 도 5A 및 5B의 실 링 패턴의 변형이다. 도 16에서, 도면(134e)은 내부 실 링 패턴(136) 및 외부 실 링 패턴(138) 사이의 선 패턴(290)을 포함한다. 도 16의 도면(134e)에서, 프로브 패드(210)가 오버레이된 금속화층 이 도시된다. 프로브 패드(210)는 코너에 형성되고 배선 구조물(도 16에는 미도시) 및 선(292)을 통해 선 패턴(290)에 전기적으로 결합된다. 외부 실 링 패턴(138)은 선(292)이 외부 실 링 패턴(138)과 교차하는 곳에서 불연속이다. 유사한 프로브 패드, 배선 구조물 및 선이 각 코너에 형성될 수 있다.
도 17은 도 16의 구조물의 단면도를 나타낸다. 도 17은 도 13의 제1 금속화층(154)의 일부 및 도 16의 선 패턴(290)의 세그먼트의 피시험 세그먼트(300)를 나타낸다. 피시험 세그먼트(300)의 대향 단부의 각각에는 프로브 패드(210)를 피시험 세그먼트(300)에 전기적으로 결합시키는 배선 구조물이 존재한다. 배선 구조물의 각각은 제3 금속화층(158)의 랜딩부(302), 제2 금속화층(156)의 랜딩부(304), 랜딩부(302 및 304)를 접속하는 비아(306), 및 제1 금속화층(154)의 선(292) 및 랜딩부의 결합부에 랜딩부(304)를 접속하는 비아(308)를 포함한다.
피시험 세그먼트(300)는 예를 들어 도 13의 각각의 금속화층(154, 156 및 158) 중의 하나의 일부인 선(280, 282 및 284) 중의 임의의 것일 수 있다. 당업자는 이들 상이한 구조물을 형성하기 위한 배선 구조물 및 금속화 패턴에 대한 변형을 용이하게 이해할 것이다. 다른 금속화층의 일부가 피시험 세그먼트(300) 위에 놓이거나 아래에 놓일 수 있다는 것은 언급할 가치가 있다. 피시험 세그먼트(300)는 일반적으로 배선 구조물의 일부를 제외하고 피시험 세그먼트(300)의 아래에 놓이거나 위에 놓일 수 있는 금속화층의 일부로부터 전기적으로 분리된다. 피시험 세그먼트(300)는 도 9 및 10에서 피시험 세그먼트에 대하여 설명한 것과 동일한 방식으로 테스트될 수 있다.
도 18은, 연속적으로 오버레이된 금속화층 및 금속화층에 오버레이된 프로브 패드(230, 232 및 234)를 갖는 추가의 도면(134f)을 나타낸다. 도면(134f)은 도 5A 및 5B의 실 링 패턴의 변형이다. 도 18에서, 도면(134f)은 내부 실 링 패턴(136) 및 외부 실 링 패턴(138) 사이에 선 패턴(290)을 포함한다. 프로브 패드(230, 232 및 234)가 코너에 형성되고 배선 구조물(도 18에는 미도시) 및 각각의 선(310, 312 및 314)을 통해 각각의 금속화층(154, 156 및 158)의 선 패턴(290)에 전기적으로 결합된다. 외부 실 링 패턴(138)은 선(310, 312 및 314)이 외부 실 링 패턴(138)과 교차하는 곳에서 불연속이다. 유사한 프로브 패드, 배선 구조물 및 선이 각각의 코너에 형성될 수 있다.
도 19A 내지 19C는 도 18의 구조물의 단면도를 나타낸다. 도 19A는 본 예에서 도 13의 제1 금속화층(154) 내의 선(280)의 일부 및 도 18의 선 패턴(290)의 피시험 세그먼트(320)를 나타낸다. 피시험 세그먼트(320)의 대향 단부의 각각에는 프로브 패드(234)를 피시험 세그먼트(320)에 전기적으로 결합하는 배선 구조물이 존재한다. 배선 구조물의 각각은 제3 금속화층(158)의 랜딩부(330), 제2 금속화층(156)의 랜딩부(332), 랜딩부(330 및 332)를 접속하는 비아(334), 및 제1 금속화층(154)의 선(314) 및 랜딩부의 결합부에 랜딩부(332)를 접속하는 비아(336)를 포함한다.
도 19B는 본 예에서 도 13의 제2 금속화층(156) 내의 선(282)의 일부 및 도 18의 선 패턴(290)의 세그먼트의 피시험 세그먼트(322)를 나타낸다. 피시험 세그먼트(322)의 대향 단부의 각각에는 프로브 패드(232)를 피시험 세그먼트(322)에 전기적으로 결합시키는 배선 구조물이 존재한다. 배선 구조물의 각각은 제3 금속화층(158)의 랜딩부(338) 및 제2 금속화층(156)의 선(312) 및 랜딩부의 결합부에 랜딩부(338)를 접속하는 비아(340)를 포함한다.
도 19C는 본 예에서 도 13의 제3 금속화층(158) 내의 선(284)의 일부 및 도 18의 선 패턴(290)의 세그먼트의 피시험 세그먼트(324)를 나타낸다. 피시험 세그먼트(324)의 대향 단부의 각각에는 프로브 패드(230)를 피시험 세그먼트(324)에 전기적으로 결합시키는 구조물이 존재한다. 구조물의 각각은 제3 금속화층(158)의 선(310) 및 랜딩부의 결합부를 포함한다.
피시험 세그먼트(320, 322 및 324)가 도 19A 내지 19C에 각각 도시되어 있지만, 피시험 세그먼트(250, 252 및 254)는 일반적으로 오버레이되며, 다른 구성이 사용될 수 있다. 당업자는 이러한 다른 구조물을 형성하기 위한 배선 구조물 및 금속화 패턴에 대한 변경을 용이하게 이해할 것이다. 이전의 도면과 마찬가지로, 다른 금속화층의 일부가 피시험 세그먼트(320, 322 및 324)의 상부 또는 하부에 놓일 수 있다. 피시험 세그먼트(320, 32 및 324)는 일반적으로 배선 구조물의 일부를 제외하고 피시험 세그먼트(320, 32 및 324)의 아래에 놓이거나 위에 놓인 금속화층의 일부로부터 전기적으로 분리된다. 또한, 피시험 세그먼트(320, 322 및 324)의 각각이 형성된 선 패턴(290)은 테스트 신호에 대한 대체 경로를 회피하기 위하여 밀폐된 루프를 형성하지 않는다. 피시험 세그먼트(320, 322 및 324)의 각각은 도 11 및 12A 내지 12C에 도시된 구조물에 대하여 설명한 바와 같이 테스트될 수 있다.
도 20 및 21은 피시험 세그먼트를 테스트하는 일반적인 개념을 나타낸다. 도 20에서, 프로브 패드(200)는 피시험 세그먼트(350)를 통해 테스트 신호를 인가하고 감지하기 위하여 탐침된다. 프로브 패드(200)는 또한 도 8 및 15에 도시되고 피시험 세그먼트는 각각 도 8 또는 15의 피시험 세그먼트(204 또는 294)일 수 있다. 도 21에서, 프로브 패드(352)는 예를 들어 배선 구조물을 통해 피시험 세그먼트(354)에 전기적으로 결합된다. 프로브 패드(352)는 피시험 세그먼트(354)를 통해 테스트 신호를 인가하고 감지하기 위하여 탐침된다. 프로브 패드(352)는 프로브 패드(210, 230, 232 및 234)일 수 있고, 피시험 세그먼트(354)는 도 10, 12A, 12B, 12C, 17, 19A, 19B 및 19C에 도시된 바와 같이 피시험 세그먼트(212, 250, 252, 254, 300, 320, 322 및 324)일 수 있다.
도 22는 개재물의 분리 영역 내의 더미 금속 비아 데이지 체인의 단면도를 나타낸다. 도 22는 분리 영역(176) 내의 더미 금속 비아 데이지 체인("비아 체인") 구조물(400)을 제외하고 도 6과 유사하다. 비아 체인 구조물(400)은 제1 금속화층(154)의 제1 부분(402), 제2 금속화층(156)의 제2 부분(404), 제1 부분(402) 및 제2 부분(404)을 접속하는 비아(410), 제3 금속화층(158)의 제3 부분(406), 제2 부분(404) 및 제3 부분(406)을 접속하는 비아(412) 및 제2 패시베이션층(174)을 통해 노출되고 제3 부분(406)에 접속되는 프로브 패드(408)를 포함한다. 본 예에서, 상술한 다른 물질이 이들 구성요소에 사용될 수 있지만, 금속화층(154, 156 및 158)은 구리이고, 프로브 패드(408)는 알루미늄 또는 구리 알루미늄이다.
도 23은 연속적으로 오버레이된 금속화층 및 금속화층에 오버레이하는 프로브 패드(408)를 갖는 추가의 도면(134g)을 나타낸다. 도면(134g)은 도 5A 및 5B의 변형이다. 결합된 금속화층의 비아 체인 패턴(414)은 비아 체인 구조물(400)이 형성된 영역을 나타낸다. 비아 체인 패턴(414)은 개재물의 주변을 따라, 즉, 개재물의 각각의 에지를 따라 연장하고, 일반적으로 분리 영역(176) 내의 내부 실 링 패턴(136)의 내부에 있다. 프로브 패드(408)는 비아 체인 패턴(414)에 전기적으로 결합된다. 유사한 프로브 패드 및 선이 각각의 코너에 형성될 수 있다.
도 24 내지 26은 비아 체인 구조물(400)의 상이한 구성의 단면도를 나타낸다. 도 24 내지 26은 도 2C에 대하여 설명한 바와 같이 범프 본드 패드(54)를 형성한 후이지만 도 2D 또는 3A에 대하여 설명한 바와 같이 후속의 프로세싱 전 등의 범프 본드 패드가 형성된 후의 구조물을 나타낸다.
도 24는 프로브 패드(408) 사이의 피시험 비아 체인(420)을 나타낸다. 피시험 비아 체인(420)은 제1 금속화층(154)의 랜딩부(422), 제2 금속화층(156)의 랜딩부(424), 각각의 랜딩부(422 및 424)를 접속하는 비아(4280, 제3 금속화층(158)의 랜딩부(426) 및 각각의 랜딩부(424 및 426)를 접속하는 비아(430)를 포함한다. 이 구성에서, 랜딩부 및 비아는 다양한 IMD 층(152)을 통해 계단 형상으로 형성된다. 예를 들어, 인접하는 금속화층의 랜딩부가 부분적으로 중첩하더라도 랜딩부는 IMD 층(152) 내에서 완전히 수직으로 정렬되지 않고 비아는 수직으로 정렬되지 않는다. 이 구성은 또한 금속화 및 IMD 층을 통해 피시험 비아 체인(420)의 3개의 전체 사이클을 나타낸다. 다른 구성은 상이한 수의 사이클을 가질 수 있고, 또 다른 구성에서는 각 사이클이 각 층을 통해 연장하지 않을 수 있다.
도 25는 프로브 패드(408) 사이의 피시험 비아 체인(440)을 나타낸다. 피시험 비아 체인(440)은 제1 금속화층(154)의 랜딩부(442), 제2 금속화층(156)의 랜딩부(444), 각각의 랜딩부(442 및 444)를 접속하는 비아(448), 제3 금속화층(158)의 랜딩부(446) 및 각각의 랜딩부(444 및 446)를 접속하는 비아(450)를 포함한다. 이 구성에서, 랜딩부 및 비아는 다양한 IMD 층(152)을 통해 "사다리" 또는 "엘리베이터" 구성일 수 있다. 예를 들어, 랜딩부가 IMD 층(152) 내에서 수직으로 정렬하고 비아가 수직으로 정렬한다. 이 구성은 또한 금속화 및 IMD 층을 통해 피시험 비아 체인(440)의 3개의 전체 사이클을 나타낸다. 다른 구성은 상이한 수의 사이클을 포함할 수 있고, 또 다른 구성에서 각각의 사이클은 각 층을 통해 연장하지 않을 수 있다.
도 26은 프로브 패드(408) 사이의 피시험 비아 체인(460)을 나타낸다. 피시험 비아 체인(460)은 제1 금속화층(154)의 선(462), 제2 금속화층(156)의 랜딩부(464), 선(462)을 랜딩부(464)에 접속하는 비아(468), 제3 금속화층(158)의 랜딩부(466) 및 각각의 랜딩부(464 및 466)를 접속하는 비아(470)를 포함한다. 이 구성에서, 랜딩부 및 비아는 도 25에서처럼 다양한 IMD 층(152)을 통해 "사다리" 또는 "엘리베이터" 구성일 수 있다. 선(462)은 전면의 RDL 체인 수율을 대략 10 밀리미터 등의 매우 긴 테스트 선일 수 있다. 선(462)은 임의의 금속화층 상에 있을 수 있다.
피시험 비아 체인(420, 440 또는 460)은 도면에 도시되지 않은 금속화층의 다른 부분과 전기적으로 분리되어 있다. 따라서, 피시험 비아 체인(420, 440 또는 460)은 테스트시 독립적일 수 있다.
도 2C에 대하여 설명한 바와 같이 범프 본드 패드(54) 등의 범프 본드 패드의 형성까지의 프로세싱 완료 후이지만 도 2D 또는 3A에 대하여 설명한 바와 같이 후속 프로세싱 전에, 비아 체인 구조물(400)의 피시험 비아 체인(420, 440 또는 460)은 비아 체인의 각각의 단부에 프로브 패드(408)를 탐침함으로써 테스트된다. 도 27 및 28은 일반적으로 테스트되는 구조물을 나타낸다. 도 27에서, 프로브 패드(408)는 피시험 비아 체인(420/440)을 통해 테스트 신호를 인가하고 감지하도록 탐침된다. 도 27은 비아 체인 구조물(400) 내의 비아 및 랜딩 부분의 사이클을 나타낸다. 도 28에서, 프로브 패드(408)는 도 26에 도시된 바와 같이 비아 및 선을 포함하는 피시험 비아 체인(460)에 전기적으로 결합된다. 프로브 패드(408)는 피시험 비아 체인(460)을 통해 테스트 신호를 인가하고 감지하기 위하여 탐침된다. 하나의 프로브 패드에 신호를 인가하고 피시험 비아 체인(420, 440 또는 460)의 반대 단부의 다른 프로브 패드(408)에서 신호를 감지함으로써, 피시험 비아 체인(420, 440 또는 460)이 비아 체인 구조물(400)의 금속화부 또는 비아 내에 보이드 또는 불연속을 갖는지를 판단할 수 있다. 일반적으로, 피시험 비아 체인(420, 440 또는 460)은 다른 전기 경로로부터 전기적으로 분리되거나 독립적이다. 예를 들어, 피시험 비아 체인(420, 440 또는 460)이 형성된 비아 체인 패턴(414)은 일반적으로 밀폐된 루프를 형성하지 않고, 피시험 비아 체인(420, 440 또는 460)은 일반적으로 테스트 신호에 대한 대체 경로를 회피하기 위하여 금속화층의 다른 부분에 결합되지 않는다.
도 29는 개재물의 분리 영역(176) 내의 더미 TSV 데이지 체인의 일부의 단면도를 나타낸다. 도 29는 분리 영역(176) 내의 더미 TSV 데이지 체인("TSV 체인") 구조물(490)을 제외하고 도 6과 유사하다. TSV 체인 구조물(490)은 후면 유전체층(508) 내의 후면 금속화부(506), 기판(150)을 관통하고 후면 금속화부(506)에 접속된 TSV(504), TSV(504)에 접속된 제1 금속화층(154)의 제1 부분(492), 제2 금속화층(156)의 제2 부분(494), 제1 부분(492) 및 제2 부분(494)를 접속하는 비아(500), 제3 금속화층(158)의 제3 부분(496), 제2 부분(494) 및 제3 부분(496)을 접속하는 비아(502), 제2 패시베이션층(174) 하부에 있으며 제3 부분(496)에 접속된 패드(498)를 포함한다.
본 예에서, TSV(504)는 대략 12 마이크로미터의 폭(510)을 갖는다. TSV 폭(510)의 제1 TSV 부분(512)은 분리 영역(176) 내에 있고 약 8 마이크로미터이다. TSV 폭(510)의 제2 TSV 부분(514)은 분리 영역(176) 밖에 있고 대략 4 마이크로미터이다. 또한, 본 예에서, 제1 금속화층(154)의 제1 부분(492)은 대략 14 마이크로미터의 폭(516) 및 대략 1 마이크로미터의 TSV(504)로부터 연장하는 돌출부(518)를 갖는다. 또한, 패드(498)는 대략 2 마이크로미터의 제1 패시베이션층(170)의 측벽 사이에 폭(520)을 갖는다.
도 30은 점선으로 도시된 전면 패턴(542)을 갖는 개재물의 후면(540)의 평면도를 나타낸다. 후면(540)은 개재물의 후면 금속화부(506) 내의 후면 체인 패턴(544) 및 프로브 패드(546)를 포함한다. 프로브 패드(546)는 개재물의 코너에 형성된다. 후면 체인 패턴(544)은 도 29에 도시된 TSV 체인 구조물(490)의 일부이다. 프로브 패드(546) 중의 2개는 피시험 독립 TSV 체인에 전기적으로 결합된다.
도 31은 도 30의 구조물의 단면도를 나타낸다. 도 31은 다이 및 범프가 일반적으로 TSV 체인 구조물(490)이 형성된 분리 영역의 도시된 평면을 교차하지 않기 때문에 기준점으로서 점선으로 다이 및 범프를 나타낸다는 것을 주의해야 한다. 또한, 도시된 구조물은 동일한 단면 평면에 없을 수 있다. 도 31은 후면 씨닝(thinning) 및 후면 금속화 증착 후이지만 도 2F 및 3B에 대하여 설명한 바와 같이 볼이 형성되기 전 등의 프로세싱이 완료되기 전의 구조물을 나타낸다.
도 31은 피시험 TSV 체인(550)의 대향 단부의 프로브 패드(504)와 함께, 개재물의 전면 상의 각각의 금속화층(154, 156 및 158)의 부분(492, 494 및 496), 후면 금속화층(506)의 부분 및 도 29의 TSV(504)를 포함하는 피시험 TSV 체인(550)을 나타낸다. 도 31에 도시된 바와 같이, TSV(504)는 전면 상의 제3 금속화층(158)의 부분(496) 및 후면 금속화층(506)의 부분을 통해 데이지 체인에서 함께 전기적으로 결합된다. 피시험 TSV 체인(550) 내의 TSV(504)는 제1 금속화층(154)의 부분(492)에 의해 직접 결합 및 후면 금속화층(506)의 부분에 의해 직접 결합되는 등 금속화층의 임의의 것에 의해 전기적으로 결합될 수 있다. 데이지 체인 또는 직렬 전기 결합부를 형성하기 위한 금속화층의 다른 결합은 당업자의 기술 내에 있으며 다른 실시예의 범위 내에 있다. 피시험 TSV 체인(550)은 금속화층의 다른 부분으로부터 전기적으로 분리된다.
후면 금속화층 및 대응 IMD 층 또는 패시베이션층을 형성한 후이지만 도 2G 및 3C의 도전 볼(72) 등의 도전 볼의 형성 전에, 분리 영역(176) 내의 피시험 TSV 체인(550)은 체인의 각 단부의 프로브 패드(546)를 탐침함으로써 테스트된다. 하나의 프로브 패드(546)에 신호를 인가하고 피시험 TSV 체인(550)의 대향 단부의 다른 프로브 패드(546)에서 신호를 감지함으로써 피시험 TSV 체인(550)이 체인 내에 보이드 또는 불연속을 갖는지를 결정할 수 있다. 일반적으로, 피시험 TSV 체인(550)은 다른 전기 경로와 전기적으로 분리되거나 독립적일 것이다. 예를 들어, 피시험 TSV 체인(550)이 형성된 후면 체인 부분(544) 등의 다양한 금속화층은 밀폐된 루프를 형성하지 않을 것이다. 그 이유는 피시험 TSV 체인(550)이 개방될 때, 예를 들어 보이드나 불연속을 가질 때 테스트 신호가 프로브 패드 간의 루프를 이동하도록 하기 때문이다. 테스트 후에, 후속의 IMD 층 및/또는 패시베이션층이 형성될 수 있다. 피시험 TSV(204)가 일부인 후면 금속화 층상에 금속화층이 형성되면, 상부의 금속화층의 형성 전에 테스트가 발생하기 때문에 상부의 후면 금속화층은 피시험 TSV 체인(550)에 전기적으로 결합될 수 있다.
도 32는 점선으로 도시된 전면 패턴(542)을 갖는 개재물의 후면(560)의 평면도이다. 후면(560)은 개재물의 후면 금속화부(506) 내의 프로브 패드(562)를 포함한다. 프로브 패드(562)는 분리 영역(176) 내의 개재물의 주변을 따라 형성된다. 도 32에 특별히 도시되지 않지만, 도 29에 도시되지만 분리 영역(176)에는 존재하지 않는 TSV 체인 구조물(490)과 유사하게, 후면 금속화(506)에는 TSV 체인 구조물의 TSV(504) 사이에 배선이 존재한다. 분리 영역(176) 내의 프로브 패드(562) 중의 2개는 피시험 독립 TSV 체인에 전기적으로 결합된다.
도 33은 도 32의 구조물의 단면도를 나타낸다. 도 33에 도시된 구조물은 간략화를 위하여 단면 평면에서 도시되며 반드시 동일한 단면 평면에 있는 것은 아니다. 도 33은 후면 씨닝 및 후면 금속화 증착후이지만 도 2F 및 3B에 대하여 설명한 바와 같이 볼의 형성 전 등의 프로세싱이 완료되기 전의 구조물을 나타낸다. 도 33은 피시험 TSV 체인(570)을 형성하기 위하여 개재물에 접속된 다이(572)를 나타낸다. 피시험 TSV 체인(570)은 예를 들어 도 31과 유사하게 개재물의 전면 상의 금속화층(154, 156 및 158)의 부분(492, 494 및 496), 후면 금속화층(506)의 부분, TSV(504) 및 피시험 TSV(570)의 대향 단부의 프로브 패드(562)를 포함한다. 피시험 TSV 체인(570)은 다이(572) 및 개재물의 해당 범프 패드를 포함하는 더미 범프 구조물(574) 및 다이(572)의 금속화층의 부분(576)을 더 포함한다. 액티브 범프 구조물(578)은 또한 참조로 도시된다.
도 33에 도시된 바와 같이, TSV(504)는 다이(572)의 금속화층의 부분(576) 및 후면 금속화층(506)의 부분을 통해 데이지 체인에서 함께 전기적으로 결합된다. 피시험 TSV 체인(570) 내의 TSV(504)는 다이(572)의 금속화층의 임의의 것에 의해 전기적으로 결합될 수 있다. 데이지 체인을 형성하기 위한 금속화층의 다른 조합은 다른 실시예의 범위 내에서 고려되는 당업자의 기술 내에 있다. 피시험 TSV 체인(570)은 액티브 부분 등의 금속화층의 다른 부분으로부터 전기적으로 분리된다. 피시험 TSV 체인(570)의 테스트는 도 31에 대하여 상술한 피시험 TSV 체인(550)의 테스트와 동일하거나 유사한 방식으로 수행될 수 있다.
도 34는 도 33의 변형이다. 프로브 패드(546)를 형성하는 대신에, 예를 들어, 해당 하부 본드 패드를 포함하는 더미 볼 구조물(582)이 피시험 TSV 체인(580)을 테스트하기 위하여 형성된다. 액티브 볼 구조물(584)은 또한 참조로 도시된다. 피시험 TSV 체인(580)의 테스트는 도 2G 또는 도 3C의 볼 본드 패드(70) 및/또는 도전 볼(72)의 형성 후 등의 본드 패드 및/또는 볼의 형성 후에 수행될 수 있다. 도 31 및 33의 프로브 패드(546)의 탐침과 유사하게, 더미 볼 구조물(582)은 테스트 신호를 인가하고 감지하도록 탐침될 수 있다.
도 35, 36 및 37은 피시험 TSV 체인을 테스트하는 일반적인 개념을 나타낸다. 도 35에서, 프로브 패드(546)는 도 31에 도시된 바와 같이 피시험 TSV 체인(550)을 통해 테스트 신호를 인가하고 감지하기 위하여 탐침된다. TSV(504)는 다양한 금속화층에 의해 함께 데이지 체인된다. 도 36에서, 프로브 패드(546)는 도 33에 도시된 바와 같이 피시험 TSV 체인(570)을 통해 테스트 신호를 인가하고 감지하기 위하여 탐침된다. TSV(504)는 개재물의 다양한 금속화층, 범프 구조물 및 다이의 금속화층(들)에 의해 함께 데이지 체인된다. 도 37에서, 더미 볼 구조물(582)은 도 34에 도시된 바와 같이 피시험 TSV 체인(580)을 통해 테스트 신호를 인가하고 감지하기 위하여 탐침된다. TSV(504)는 개재물의 다양한 금속화층, 범프 구조물 및 다이의 금속화층(들)에 의해 함께 데이지 체인된다.
도 38 및 39는 피시험 범프에 대한 패턴을 나타낸다. 도 38은 개재물의 전면 패턴(600)을 나타낸다. 전면 패턴(600)은 전면 패턴(600)의 주변을 따르는 프로브 패드(602), 다이 부착 영역(604), 피시험 범프 패드 및/또는 범프("BPB")(606) 및 피시험 BPB(606)의 측면에 배치되는 센스 BPB(608)을 포함한다. 피시험 BPB(606) 및 대응하는 센스 BPB(608)는 다이 부착 영역(604)의 각 코너 및 다이 부착 영역(604)의 사선을 따라 배치된다. 다른 실시예는 피시험 BPB 및 대응하는 센스 BPB의 다른 배치를 고려할 수 있다.
도 39는 다이의 액티브 표면(610)을 나타낸다. 액티브 표면(610)은 피시험 BPB(616) 및 센스 BPB(618)를 포함한다. 액티브 표면(610)의 패턴은 다이 부착 영역(604)에 대응하여, 다이가 개재물에 부착되기 위하여 눌려질 때, 개재물의 피시험 BPB(606) 및 센스 BPB(608)가 다이의 피시험 BPB(616) 및 센스 BPB(618)과 정렬하도록 한다.
도 40은 도 38에 도시된 바와 같이 전면 패턴(600)을 갖는 개재물(622)에 부착된 도 39에 도시된 액티브 영역(610)을 갖는 다이(620)의 단면도를 나타낸다. 본 예의 단면도는 범프 구조물의 외부 행 또는 열을 따른다. 개재물(622)은 본 예에서 프로세싱이 완료되지 않았지만 언더필을 제공하기 전의 도 2D의 프로세싱 등의 전면 프로세싱 및 다이 부착까지 프로세싱되었다. 다른 실시예에서, 개재물은 언더필을 제공하기 전의 도 3e의 프로세싱까지 프로세싱되었다. 도 40의 구조물은 피시험 BPB(624), 센스 BPB(626), 액티브 BPB(634), 프로브 패드(628) 및 개재물(622)의 상부 금속화층의 부분(630) 및 다이(620)의 상부 금속화층의 부분(632)을 포함한다. 본 예에서, 상술한 다른 물질이 사용될 수 있지만, 프로브 패드(628)는 알루미늄 구리이고, 부분(632)은 알루미늄 구리 또는 구리이다.
도 38 내지 40을 참조하면, (도 38 및 39의 606 및 616에 각각 대응하는) 각 피시험 BPB(624)는 (도 38 및 39의 608 및 618에 각각 대응하는) 옆에 놓인 2개의 센스 BPB(626)를 갖는다. 센스 BPB(626)에 대한 개재물(622) 상의 본드 패드의 각각은 각각의 프로브 패드(628)에 전기적으로 결합된다. 하나 이상의 프로브 패드가 사용될 수 있지만, 본 예의 피시험 BPB(624)는 2개의 프로브 패드(628)에 전기적으로 결합된다. 본 예에서, 본드 패드 및 프로브 패드(628) 간의 전기적 결합은 상부 금속화층, 예를 들어, 제3 금속화층(158)의 부분(630) 중의 하나를 통한 접속에 의하지만, 결합은 다양한 다른 금속화층을 통해 이루어질 수 있다. 다른 금속화층의 다양한 조합이 사용될 수 있지만, 피시험 BPB(624) 및 센스 BPB(626)를 위한 다이(620) 상의 본드 패드는 다이(620)의 상부 금속화층 내의 부분(632)에 의한 접속부를 통해 함께 전기적으로 결합된다. 본 예에서, 다이의 상부 금속화층의 부분(632)으로의 피시험 BPB(624)에 대한 본드 패드의 접속부는 상부 금속화층의 부분(632)으로의 감지 BPB(626)에 대한 본드 패드의 접속부 사이에 개재된다.
도 40의 구조물은 다이(620)를 부착한 후이지만 예를 들어 후면 프로세싱 전에 테스트될 수 있다. 센스 BPB(626) 중의 하나의 프로브 패드(628) 및 피시험 BPB(624)의 프로브 패드(628) 중의 하나는 피시험 BPB(624)를 통해 전류를 인가하는데 사용된다. 다른 센스 BPB(626)의 프로브 패드(628) 및 피시험 BPB(624)의 다른 프로브 패드(628)는 피시험 BPB(624) 양단의 전압 강하를 측정하는데 사용된다. 인가된 전류 및 측정된 전압 강하를 이용하여, 피시험 BPB(624)의 저항이 산출될 수 있고, 피시험 BPB(624)가 전기 접속부를 형성하는지를 결정할 수 있다.
도 41 및 42는 도 38 내지 40의 구조물에 대한 변형을 나타낸다. 도 41은 점선으로 도시된 전면의 다이 부착 영역(604)의 패턴을 갖는 개재물의 후면 패턴(640)을 나타낸다. 후면 패턴(640)은 개재물의 주변을 따르는 프로브 패드(642)를 포함한다.
도 42는 도 40에 유사한 단면도를 나타낸다. 구조물은 도 2F의 금속화층의 형성 후이지만 도 2G의 볼 형성 전 등의 다이 부착 단계 및 후면 금속화층의 형성까지 프로세싱되었다. 도 42의 개재물(644)은 제1, 제2 및 제3 금속화층(154, 156 및 158)의 각 부분으로부터 형성된 배선 구조물(646) 및 그 사이에 형성된 비아를 포함한다. 개재물(648)은 배선 구조물(646) 및 후면 금속화층의 부분(648) 사이의 TSV(504)를 더 포함한다. 부분(648)은 각 TSV(504)를 각각의 프로브 패드(642)에 전기적으로 결합시킨다. 본 예에서, 상술한 다른 물질이 사용될 수 있지만, 프로브 패드(642)는 알루미늄 구리이고 부분(648)은 구리이다.
상기와 마찬가지로, 센스 BPB(626)에 대한 개재물(644) 상의 본드 패드 상의 각각은 각각의 프로브 패드(642)에 전기적으로 결합되고, 본 예의 피시험 BPB(624)는 2개의 프로브 패드(642)에 전기적으로 결합된다. 본 예에서, 개재물(644)의 본드 패드 및 프로브 패드(642) 간의 전기적 결합은 배선 구조물(646), TSV(504) 및후면 금속화층의 부분(648)을 통한 접속에 의해 이루어진다. 다이(620) 상의 본드 패드 및 각각의 접속부는 도 40에 대하여 상술한 바와 동일하다.
도 40과 유사하게, 도 42의 구조물은 후면 금속화층의 형성후이지만 예를 들어 도전 볼의 형성 전에 테스트될 수 있다. 센스 BPB(626) 중의 하나의 프로브 패드(642) 및 피시험 BPB(624)의 프로브 패드(642) 중의 하나는 피시험 BPB(624)를 통해 전류를 인가하는데 사용된다. 다른 센스 BPB(626)의 프로브 패드(642) 및 피시험 BPB(624)의 다른 프로브 패드(642)는 피시험 BPB(624) 양단의 전압 강하를 측정하는데 사용된다. 인가된 전류 및 측정된 전압 강하를 이용하여, 피시험 BPB(624)를산출할 수 있고 피시험 BPB(624)가 전기 접속부를 형성하는지를 결정할 수 있다.
도 43은 도 42의 변형이다. 프로브 패드(642)를 TSV(504)로 전기적으로 결합시키는 후면 금속화층의 부분(648)의 대신에, 부분(648)은 개재물(650)의 후면의 볼 본드 패드를 포함하는 볼 구조물(652)에 TSV(504)를 전기적으로 결합시킨다. 다른 실시예에서, 볼 본드 패드는 후면 금속화층의 존재 없이 TSV(504)에 직접 접속될 수 있다. 피시험 BPB(624)의 테스트는 프로브 패드(642) 대신에 전류를 인가하고 전압을 측정하기 위하여 볼 구조물(652)이 탐침되는 것을 제외하고 도 42에서 설명한 것과 유사하게 수행될 수 있다.
도 44는 도 43의 변형이다. 도 44의 구조물은 개재물(650)에 부착된 기판(660)의 부가와 함께 도 43의 구조물과 동일하거나 유사하다. 기판(660)은 볼 그리드 어레이(BGA) 볼 구조물(662)을 포함한다. 테스트는, 개재물(650)의 볼 구조물(652) 대신에 BGA 볼 구조물(662)이 탐침된다는 것을 제외하고, 도 43과 유사하게 수행될 수 있다.
도 45 내지 48은 도 38 내지 44에 대하여 설명한 피시험 BPB(624)의 일반적인 개념을 나타낸다. 도 45는 일반적으로 도 40의 구조물에 대응한다. 테스트에서, 프로브 패드(628a 및 628b)를 통해 전류가 인가되고 프로브 패드(628c 및 628d)를 통해 전압이 감지된다. 도 46은 일반적으로 도 42의 구조물에 대응한다. 테스트에서, 프로브 패드(642a 및 642b)를 통해 전류가 인가되고 프로브 패드(642c 및 642d)를 통해 전압이 감지된다. 도 47 및 48은 일반적으로 도 43 및 44에 각각 대응한다. 테스트에서, 볼 구조물(652a 및 652b) 또는 BGA 볼 구조물(662a 및 662b)를 통해 전류가 인가되고 볼 구조물(652c 및 652d) 또는 BGA 볼 구조물(662c 및 662d)를 통해 전압이 감지된다.
도 49는 개재물에 부착된 다이를 형성하고 개재물 및/또는 다이의 구조물을 테스트하는 방법을 나타낸다. 단계(700)에서, 도 2A에 도시된 바와 같이, 개재물 기판에 TSV가 형성된다. 단계(702)에서, 도 2B에 도시된 바와 같이, 전면 RDL이 형성된다. 단계(704)에서, 도 20, 21, 27 및 28에서 설명한 일반적인 테스트 등의 제1 테스트 또는 테스트 세트가 수행된다. 이들 테스트의 일부는 다양한 금속화층의 형성 사이 등 전면 RDL의 형성시 수행될 수 있음에 주의해야 한다. 단계(706)에서, 도 2C 및 2D 또는 3D 및 3E에 도시된 바와 같이 개재물의 전면 상에 범프 패드 및/또는 범프가 형성되고 개재물에 다이가 부착된다. 단계(708)에서, 도 45에 대하여 설명한 일반적인 테스트 등의 제2 테스트 또는 테스트 세트가 수행된다.
단계(710)에서, 도 2F 또는 3B에 도시된 바와 같이, TSV 후면 돌출부가 형성되고 후면 금속화층이 형성될 수 있다. 단계(721)에서 도 35, 36 및 46에 대하여 설명한 일반적인 테스트 등의 제3 세트 또는 테스트 세트가 수행된다. 단계(714)에서, 도 2G 및 3C에 도시된 바와 같이, 볼 패드 및 볼이 개재물의 후면 상에 형성된다. 단계(716)에서, 도 37 및 47에 대하여 설명한 일반적인 테스트 등의 제4 테스트 또는 테스트 세트가 수행된다.
단계(718)에서, 도 2H 및 3F에 도시된 바와 같이 개재물 및 다이가 절단된다. 단계(720)에서, 도 37 및 47에 대하여 설명한 일반적인 테스트 등의 제5 테스트 또는 테스트 세트가 수행된다. 단계(722)에서, 절단된 구조물은 본 기술에 알려진 바와 같이 테스트를 수행함으로써 샘플링된다. 단계(724)에서, 기판에 개재물을 부착함으로써 패키지가 조립된다. 단계(726)에서, 본 기술에 알려진 방법에 의해 수율이 결정된다. 단계(728)에서, 테스트 결과가 결정된 수율에 상관되어 수율을 감소시키는 결점을 초래하는 프로세싱 단계를 식별한다. 단계(730)에서, 상관에 기초하여 프로세스 또는 프로세스 파라미터가 개선되어 전체 프로세스의 수율을 증가시킨다.
상술한 테스트 구조물 및 테스트 방법을 이용함으로써, 기지의(known) 양호한 개재물 및 다이가 프로세싱시 좀 더 용이하게 식별될 수 있고, 이는 프로세싱이 기지의 양호한 개재물/다이에 초점을 맞추게 할 수 있다. 이것은 기지의 결함있는 개재물/다이에 대하여 시간 또는 물질을 사용하지 않고 프로세싱의 비용 효율을 증가시킬 수 있다. 또한, 결함을 발생시키는 프로세스 단계를 식별함으로써, 프로세스는 수율을 증가시키는 방식으로 개선될 수 있다.
제1 실시예는 개재물을 포함하는 구조물이다. 개재물은 개재물의 주변을 따라 연장하는 테스트 구조물을 포함하며, 테스트 구조물의 적어도 일부는 제1 재분배 소자 내에 있다. 제1 재분배 소자는 개재물의 기판의 제1 표면 상에 있다. 테스트 구조물은 적어도 2개의 프로브 패드 사이에 개재되어 전기적으로 결합된다.
다른 실시예는 개재물, 다이, 도전성 범프, 테스트 구조물 및 적어도 2개의 전기적 종단부를 포함하는 구조물이다. 도전성 범프는 다이를 개재물에 전기적으로 결합시킨다. 테스트 구조물은 도전성 범프의 적어도 하나를 포함한다. 적어도 2개의 전기적 종단부는 개재물 상에 있고, 테스트 구조물은 적어도 2개의 전기적 종단부 사이에 개재되어 전기적으로 결합된다.
또 다른 실시예는 더미 테스트 구조물을 형성하는 방법이다. 더미 테스트 구조물은 개재물 내에 적어도 부분적으로 존재하고, 테스트 신호를 더미 테스트 구조물에 인가하는 단계 및 테스트 신호에 대한 더미 테스트 구조물의 응답을 감지하는 단계를 포함한다.
본 실시예 및 이점이 상세히 기재되어 있지만, 첨부된 청구범위에 의해 정의된 본 개시물의 사상 및 범위를 벗어나지 않는 한도내에서 다양한 변경, 대체, 및 변형이 가능하다. 또한, 본 출원의 범위는 명세서 내에 기재된 프로세스, 머신, 제조, 물질 성분, 수단, 방법 및 단계의 특정 실시예에 한정되도록 의도된 것이 아니다. 당업자는 본 개시물로부터 본 개시물에 따라 이용될 수 있는 여기에 기재된 대응 실시예와 실질적으로 동일한 결과를 달성하거나 실질적으로 동일한 기능을 수행할 수 있는 현재 존재하거나 나중에 개발될 프로세스, 머신, 제조, 물질 성분, 수단, 방법 또는 단계를 인정할 것이다. 따라서 첨부된 청구범위는 그 범위 내의 그러한 프로세스, 머신, 제조, 물질 성분, 수단, 방법 또는 단계를 포함하는 것으로 의도된다.
10: 개재물
12: 다이
14: 도전성 범프
16: 배선 소자
18: 다이 본드 패드
20: 재분배(RDL)층
22: TSV
24: 개재물 범프 패드
26: 패시베이션 구조물
28: 볼 패드
30: 도전성 볼

Claims (15)

  1. 구조물에 있어서,
    개재물(interposer); 및
    테스트되는 대상인 테스트 구조물을 포함하고,
    상기 개재물은 기판과 제1 재분배 소자를 포함하며,
    상기 제1 재분배 소자는 상기 개재물의 상기 기판의 제1 표면에 있고,
    상기 테스트 구조물의 적어도 일 부분은 상기 제1 재분배 소자내에 있으며, 상기 테스트 구조물의 상기 적어도 일 부분은 상기 개재물의 상기 기판의 상기 제1 표면을 따라 연장되고,
    상기 테스트 구조물은 적어도 2개의 프로브 패드들 사이에 개재되어 상기 적어도 2개의 프로브 패드들과 전기적으로 결합되는 것인, 구조물.
  2. 제1항에 있어서,
    상기 테스트 구조물은 상기 제1 재분배 소자의 금속화층(metallization layer)내에 실 링(seal ring)의 일부를 포함하고, 상기 프로브 패드는 상기 금속화층 내에 있는 것인 구조물.
  3. 제1항에 있어서,
    상기 테스트 구조물은 상기 제1 재분배 소자의 금속화층 내에 실 링의 일부를 포함하고, 상기 프로브 패드는 상기 금속화층 상에 있는 것인 구조물.
  4. 제1항에 있어서,
    상기 테스트 구조물은 제1 금속화층 내의 실 링의 제1 부분, 제2 금속화층 내의 실 링의 제2 부분 및 제3 금속화층 내의 실 링의 제3 부분을 포함하고,
    상기 제2 금속화층은 상기 제1 금속화층 상에 있고, 상기 제3 금속화층은 상기 제2 금속화층 상에 있으며,
    상기 제1 부분은 적어도 2개의 제1 프로브 패드들 사이에 개재되고 상기 적어도 2개의 제1 프로브 패드들을 전기적으로 결합하고,
    상기 제2 부분은 적어도 2개의 제2 프로브 패드들 사이에 개재되고 상기 적어도 2개의 제2 프로브 패드들을 전기적으로 결합하며,
    상기 제3 부분은 적어도 2개의 제3 프로브 패드들 사이에 개재되고 상기 적어도 2개의 제3 프로브 패드들을 전기적으로 결합하고,
    상기 적어도 2개의 제1 프로브 패드, 상기 적어도 2개의 제2 프로브 패드 및 상기 적어도 2개의 제3 프로브 패드는 상기 제3 금속화층 상에 있는 것인, 구조물.
  5. 제1항에 있어서,
    상기 테스트 구조물은 제1 실 링과 제2 실 링 사이의 도전선(conductive line)을 포함하고, 상기 도전선은 상기 제1 재분배 소자의 금속화층 내에 있고, 상기 프로브 패드는 상기 금속화층 내에 있는 것인 구조물.
  6. 제1항에 있어서,
    상기 테스트 구조물은 제1 실 링과 제2 실 링 사이의 도전선을 포함하고, 상기 도전선은 상기 제1 재분배 소자의 금속화층 내에 있고, 상기 프로브 패드는 상기 금속화층 상에 있는 것인 구조물.
  7. 제1항에 있어서,
    상기 테스트 구조물은 제1 도전선, 제2 도전선, 및 제3 도전선을 포함하고,
    상기 제1 도전선, 상기 제2 도전선 및 상기 제3 도전선은 각각 제1 실 링과 제2 실 링 사이에 있으며,
    상기 제1 도전선은 제1 금속화층에 있고, 상기 제2 도전선은 제2 금속화층에 있으며, 상기 제3 도전선은 제3 금속화층에 있고,
    상기 제2 금속화층은 상기 제1 금속화층 상에 있으며, 상기 제3 금속화층은 상기 제2 금속화층 상에 있고,
    상기 제1 도전선은 적어도 2개의 제1 프로브 패드들 사이에 개재되어 상기 적어도 2개의 제1 프로브 패드들을 전기적으로 결합하고,
    상기 제2 도전선은 적어도 2개의 제2 프로브 패드들 사이에 개재되어 상기 적어도 2개의 제2 프로브 패드들을 전기적으로 결합하며,
    상기 제3 도전선은 적어도 2개의 제3 프로브 패드들 사이에 개재되어 상기 적어도 2개의 제3 프로브 패드들을 전기적으로 결합하고,
    상기 적어도 2개의 제1, 제2 및 제3 프로브 패드들은 상기 제3 금속화층 상에 있는 것인 구조물.
  8. 제1항에 있어서,
    상기 테스트 구조물은 상기 제1 재분배 소자의 금속화층들 사이의 비아 체인(via chain)을 포함하고, 상기 프로브 패드들은 상기 금속화층들 상에 있는 것인 구조물.
  9. 제8항에 있어서,
    상기 금속화층들은 제1 금속화층, 제2 금속화층 및 제3 금속화층을 포함하고,
    상기 비아 체인은 제1 비아들 및 제2 비아들을 포함하며,
    상기 제1 비아들은 상기 제1 금속화층과 상기 제2 금속화층 사이에 있고,
    상기 제2 비아들은 상기 제2 금속화층과 상기 제3 금속화층 사이에 있으며,
    상기 제1 비아들 중 적어도 하나의 비아는 적어도 상기 제2 비아들 중 대응하는 비아와 수직으로 정렬되어 있지 않는 것인 구조물.
  10. 제8항에 있어서,
    상기 금속화층들은 제1 금속화층, 제2 금속화층 및 제3 금속화층을 포함하고,
    상기 비아 체인은 제1 비아들 및 제2 비아들을 포함하며,
    상기 제1 비아들은 상기 제1 금속화층과 상기 제2 금속화층 사이에 있고,
    상기 제2 비아들은 상기 제2 금속화층과 상기 제3 금속화층 사이에 있으며,
    상기 제1 비아들 각각은 적어도 상기 제2 비아들의 대응하는 비아와 수직으로 정렬되는 것인 구조물.
  11. 제8항에 있어서,
    상기 비아 체인은 적어도 부분적으로 상기 개재물의 실 링 내부의 분리(isolation) 영역 내에 있는 것인 구조물.
  12. 제1항에 있어서,
    상기 테스트 구조물은 복수의 TSV(through substrate via)들을 포함하고,
    상기 복수의 TSV들은 상기 기판의 상기 제1 표면으로부터 상기 기판의 제2 표면으로 연장되며,
    상기 복수의 TSV들은 상기 제1 재분배 소자 및 상기 기판의 상기 제2 표면 상의 제2 재분배 소자에 의해 직렬로 함께 전기적으로 결합되는 것인 구조물.
  13. 구조물에 있어서,
    개재물(interposer);
    다이(die);
    상기 다이를 상기 개재물에 전기적으로 결합시키는 도전성 범프들;
    상기 도전성 범프들 중 적어도 하나를 포함하는, 테스트되는 대상인 테스트 구조물; 및
    상기 개재물 상의 적어도 2개의 전기적 종단부(termination)들을 포함하고,
    상기 개재물은 기판과 재분배 소자를 포함하며,
    상기 테스트 구조물의 적어도 일 부분은 상기 재분배 소자 내에 있고,
    상기 테스트 구조물의 상기 적어도 일 부분은 상기 개재물의 상기 기판의 표면을 따라 연장되며,
    상기 테스트 구조물은 상기 적어도 2개의 전기적 종단부들 사이에 개재되고 상기 적어도 2개의 전기적 종단부들과 전기적으로 결합되는 것인 구조물.
  14. 제13항에 있어서,
    상기 개재물은 TSV(through substrate via)들을 포함하며,
    상기 TSV들은 상기 기판의 제1 표면으로부터 상기 기판의 제2 표면으로 연장되고,
    상기 테스트 구조물은 상기 TSV들을 포함하며,
    상기 TSV들은 상기 도전성 범프들 중 상기 적어도 하나의 도전성 범프, 상기 다이 내의 다이 금속화부 및 상기 기판의 상기 제2 표면상의 재분배 소자에 의해 직렬로 함께 전기적으로 결합되고,
    상기 도전성 범프들은 상기 기판의 제2 표면과 상기 기판의 반대편에 있는 것인 구조물.
  15. 제13항에 있어서,
    상기 테스트 구조물은 상기 다이의 다이 금속화층의 일부에 의해 함께 전기적으로 결합된 적어도 3개의 도전성 범프들을 포함하고,
    상기 적어도 2개의 전기적 종단부들은 적어도 3개의 전기적 종단부들을 포함하며,
    상기 적어도 3개의 전기적 종단부들의 각각은 상기 적어도 3개의 도전성 범프들 중 각각의 도전성 범프와 전기적으로 결합되는 것인 구조물.
KR1020110107668A 2011-06-03 2011-10-20 개재물 테스트 구조물 및 방법 KR101355724B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161492989P 2011-06-03 2011-06-03
US61/492,989 2011-06-03
US13/198,223 2011-08-04
US13/198,223 US9128123B2 (en) 2011-06-03 2011-08-04 Interposer test structures and methods

Publications (2)

Publication Number Publication Date
KR20120134996A KR20120134996A (ko) 2012-12-12
KR101355724B1 true KR101355724B1 (ko) 2014-01-24

Family

ID=47260998

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110107668A KR101355724B1 (ko) 2011-06-03 2011-10-20 개재물 테스트 구조물 및 방법

Country Status (5)

Country Link
US (5) US9128123B2 (ko)
JP (2) JP2012253345A (ko)
KR (1) KR101355724B1 (ko)
CN (1) CN102810528B (ko)
TW (1) TWI502698B (ko)

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8797057B2 (en) * 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
KR101128063B1 (ko) 2011-05-03 2012-04-23 테세라, 인코포레이티드 캡슐화 층의 표면에 와이어 본드를 구비하는 패키지 적층형 어셈블리
US9128123B2 (en) * 2011-06-03 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Interposer test structures and methods
EP2533276A1 (en) * 2011-06-07 2012-12-12 Imec Method for detecting embedded voids in a semiconductor substrate
KR20130022829A (ko) * 2011-08-26 2013-03-07 삼성전자주식회사 칩 적층 반도체 소자의 검사 방법 및 이를 이용한 칩 적층 반도체 소자의 제조 방법
US8836136B2 (en) 2011-10-17 2014-09-16 Invensas Corporation Package-on-package assembly with wire bond vias
US8659144B1 (en) * 2011-12-15 2014-02-25 Marvell International Ltd. Power and ground planes in package substrate
US9040986B2 (en) * 2012-01-23 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit having a resistance measurement structure and method of use
US8835228B2 (en) 2012-05-22 2014-09-16 Invensas Corporation Substrate-less stackable package with wire-bond interconnect
US8866024B1 (en) * 2012-06-22 2014-10-21 Altera Corporation Transceiver power distribution network
US8530997B1 (en) * 2012-07-31 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Double seal ring
US9502390B2 (en) * 2012-08-03 2016-11-22 Invensas Corporation BVA interposer
US20140070404A1 (en) * 2012-09-12 2014-03-13 Shing-Ren Sheu Semiconductor package structure and interposer therefor
US8796829B2 (en) 2012-09-21 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal dissipation through seal rings in 3DIC structure
US8963317B2 (en) * 2012-09-21 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal dissipation through seal rings in 3DIC structure
US9490190B2 (en) 2012-09-21 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal dissipation through seal rings in 3DIC structure
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
KR101419601B1 (ko) * 2012-11-20 2014-07-16 앰코 테크놀로지 코리아 주식회사 Emc 웨이퍼 서포트 시스템을 이용한 반도체 디바이스 및 이의 제조방법
JP6026322B2 (ja) * 2013-03-12 2016-11-16 ルネサスエレクトロニクス株式会社 半導体装置およびレイアウト設計システム
FR3003973A1 (fr) * 2013-03-26 2014-10-03 Commissariat Energie Atomique Procede de generation de fichiers de modelisation d’un flot de conception de circuit integre, programme d’ordinateur et systeme correspondants
US9553070B2 (en) * 2013-04-30 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
KR101538539B1 (ko) * 2013-06-20 2015-07-21 앰코 테크놀로지 코리아 주식회사 반도체 디바이스 및 그 제조 방법
US9368458B2 (en) 2013-07-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Die-on-interposer assembly with dam structure and method of manufacturing the same
US9343431B2 (en) 2013-07-10 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dam structure for enhancing joint yield in bonding processes
US9167710B2 (en) 2013-08-07 2015-10-20 Invensas Corporation Embedded packaging with preformed vias
US9633869B2 (en) * 2013-08-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with interposers and methods for forming the same
US9134366B2 (en) 2013-08-27 2015-09-15 Freescale Semiconductor, Inc. Method for forming a packaged semiconductor device
CN104425419B (zh) * 2013-08-30 2017-11-03 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US9177919B2 (en) * 2013-11-18 2015-11-03 Xintec Inc. Chip package and method for forming the same
US9583456B2 (en) 2013-11-22 2017-02-28 Invensas Corporation Multiple bond via arrays of different wire heights on a same substrate
US9583411B2 (en) 2014-01-17 2017-02-28 Invensas Corporation Fine pitch BVA using reconstituted wafer with area array accessible for testing
US9508703B2 (en) * 2014-04-30 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked dies with wire bonds and method
US10381326B2 (en) 2014-05-28 2019-08-13 Invensas Corporation Structure and method for integrated circuits packaging with increased density
US9831214B2 (en) * 2014-06-18 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device packages, packaging methods, and packaged semiconductor devices
US10177032B2 (en) 2014-06-18 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaging devices, and methods of packaging semiconductor devices
TWI566354B (zh) * 2014-08-13 2017-01-11 矽品精密工業股份有限公司 中介板及其製法
KR102287754B1 (ko) * 2014-08-22 2021-08-09 삼성전자주식회사 칩 적층 반도체 패키지
US10319607B2 (en) * 2014-08-22 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure with organic interposer
US9209142B1 (en) * 2014-09-05 2015-12-08 Skorpios Technologies, Inc. Semiconductor bonding with compliant resin and utilizing hydrogen implantation for transfer-wafer removal
TWI576977B (zh) * 2014-09-10 2017-04-01 欣興電子股份有限公司 中介層結構與其製造方法
US10043774B2 (en) * 2015-02-13 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit packaging substrate, semiconductor package, and manufacturing method
US9888579B2 (en) 2015-03-05 2018-02-06 Invensas Corporation Pressing of wire bond wire tips to provide bent-over tips
CN106548998A (zh) * 2015-09-17 2017-03-29 胡迪群 封装基材的制作方法
US10490528B2 (en) 2015-10-12 2019-11-26 Invensas Corporation Embedded wire bond wires
US9490222B1 (en) 2015-10-12 2016-11-08 Invensas Corporation Wire bond wires for interference shielding
US10332854B2 (en) 2015-10-23 2019-06-25 Invensas Corporation Anchoring structure of fine pitch bva
US10181457B2 (en) 2015-10-26 2019-01-15 Invensas Corporation Microelectronic package for wafer-level chip scale packaging with fan-out
CN108140615B (zh) 2015-10-29 2022-01-25 英特尔公司 使能对用于半导体封装的硅桥的在线测试的保护环设计
WO2017078709A1 (en) * 2015-11-04 2017-05-11 Intel Corporation Three-dimensional small form factor system in package architecture
JP6593136B2 (ja) * 2015-12-02 2019-10-23 凸版印刷株式会社 配線基板積層体、半導体装置、及び半導体装置の製造方法
US9984992B2 (en) 2015-12-30 2018-05-29 Invensas Corporation Embedded wire bond wires for vertical integration with separate surface mount and wire bond mounting surfaces
TWI582432B (zh) * 2016-01-20 2017-05-11 中華精測科技股份有限公司 整合電容模組之ic測試座
US10109540B2 (en) * 2016-06-08 2018-10-23 International Business Machines Corporation Fabrication of sacrificial interposer test structure
US9852971B1 (en) * 2016-06-09 2017-12-26 Advanced Semiconductor Engineering, Inc. Interposer, semiconductor package structure, and semiconductor process
CN107591339B (zh) * 2016-07-07 2019-12-03 中芯国际集成电路制造(上海)有限公司 测试结构以及测试方法
US9935075B2 (en) 2016-07-29 2018-04-03 Invensas Corporation Wire bonding method and apparatus for electromagnetic interference shielding
TWI644408B (zh) * 2016-12-05 2018-12-11 美商美光科技公司 中介層及半導體封裝體
US10299368B2 (en) 2016-12-21 2019-05-21 Invensas Corporation Surface integrated waveguides and circuit structures therefor
US9991215B1 (en) * 2017-01-19 2018-06-05 Nanya Technology Corporation Semiconductor structure with through substrate via and manufacturing method thereof
US9966318B1 (en) * 2017-01-31 2018-05-08 Stmicroelectronics S.R.L. System for electrical testing of through silicon vias (TSVs)
JP2018163087A (ja) * 2017-03-27 2018-10-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置の検査装置ならびに半導体装置
US10468340B2 (en) * 2017-06-16 2019-11-05 Advanced Semiconductor Engineering, Inc. Wiring structure and semiconductor package having the same
US10276461B2 (en) * 2017-08-01 2019-04-30 Globalfoundries Inc. Split probe pad structure and method
TWI677065B (zh) * 2018-06-13 2019-11-11 瑞昱半導體股份有限公司 電子裝置及電路基板
US11705395B2 (en) * 2018-06-25 2023-07-18 Intel Corporation Core fill to reduce dishing and metal pillar fill to increase metal density of interconnects
US11088037B2 (en) * 2018-08-29 2021-08-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device having probe pads and seal ring
US10763199B2 (en) * 2018-12-24 2020-09-01 Nanya Technology Corporation Semiconductor package structure and method for preparing the same
US11088079B2 (en) * 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having line connected via portions
US11309222B2 (en) * 2019-08-29 2022-04-19 Advanced Micro Devices, Inc. Semiconductor chip with solder cap probe test pads
US11256379B2 (en) * 2019-09-26 2022-02-22 Samsung Display Co., Ltd. Display device and a method of fabricating the same
KR20210079543A (ko) * 2019-12-20 2021-06-30 삼성전자주식회사 고대역폭 메모리 및 이를 포함하는 시스템
KR20210103167A (ko) * 2020-02-13 2021-08-23 삼성전자주식회사 반도체 패키지
US20210320040A1 (en) * 2020-04-10 2021-10-14 Mediatek Inc. Semiconductor structure and package structure having multi-dies thereof
KR20210153394A (ko) 2020-06-10 2021-12-17 삼성전자주식회사 반도체 패키지 및 반도체 패키지의 제조 방법
CN113838766A (zh) * 2020-06-23 2021-12-24 祁昌股份有限公司 一种用于封装基板的同侧电性测量方法及一种封装基板
TWI737363B (zh) * 2020-06-23 2021-08-21 祁昌股份有限公司 封裝基板之同側電性量測技術
KR20220014364A (ko) 2020-07-23 2022-02-07 삼성전자주식회사 반도체 패키지
US11610835B2 (en) * 2020-10-30 2023-03-21 Taiwan Semiconductor Manufacturing Company Limited Organic interposer including intra-die structural reinforcement structures and methods of forming the same
US11495534B2 (en) * 2021-04-12 2022-11-08 Nanya Technology Corporation Semiconductor device with test pad and method for fabricating the same
US20220344225A1 (en) * 2021-04-23 2022-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including test line structure
EP4086943A1 (en) * 2021-05-06 2022-11-09 Infineon Technologies AG Semiconductor device with termination structure and field-free region
WO2023079814A1 (ja) * 2021-11-08 2023-05-11 ローム株式会社 半導体装置
US20230307341A1 (en) * 2022-01-25 2023-09-28 Intel Corporation Packaging architecture with edge ring anchoring
US20230352387A1 (en) * 2022-04-29 2023-11-02 Texas Instruments Incorporated Built-In Serial Via Chain for Integrity Monitoring of Laminate Substrate
CN115433912A (zh) * 2022-08-30 2022-12-06 歌尔微电子股份有限公司 Bga产品的磁控溅镀方法及bga产品

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090017248A (ko) * 2007-08-14 2009-02-18 앰코 테크놀로지 코리아 주식회사 반도체 패키지 및 그 제조 방법
KR20100128524A (ko) * 2009-05-28 2010-12-08 삼성전기주식회사 다층 세라믹 기판 및 이의 제조 방법
KR20100129968A (ko) * 2009-06-02 2010-12-10 삼성전기주식회사 세라믹 기판의 전극패턴 형성방법

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0240937A (ja) * 1988-08-01 1990-02-09 Ngk Spark Plug Co Ltd 半導体パッケージ
US5262719A (en) 1991-09-19 1993-11-16 International Business Machines Corporation Test structure for multi-layer, thin-film modules
JPH05226508A (ja) * 1991-12-03 1993-09-03 Nec Corp セラミック半導体容器
JP3313233B2 (ja) 1994-03-17 2002-08-12 株式会社東芝 半導体装置
US6081429A (en) * 1999-01-20 2000-06-27 Micron Technology, Inc. Test interposer for use with ball grid array packages assemblies and ball grid array packages including same and methods
JP2003529921A (ja) * 2000-02-18 2003-10-07 インセップ テクノロジーズ インコーポレイテッド 熱およびemi管理が統合されたマイクロプロセッサに電力を供給するための方法および装置
JP2004311535A (ja) 2003-04-03 2004-11-04 Matsushita Electric Ind Co Ltd チップサイズパッケージ半導体装置
JP3811467B2 (ja) * 2003-05-19 2006-08-23 沖電気工業株式会社 半導体パッケージ
US7462784B2 (en) * 2006-05-02 2008-12-09 Ibiden Co., Ltd. Heat resistant substrate incorporated circuit wiring board
JP2007311676A (ja) * 2006-05-22 2007-11-29 Sony Corp 半導体装置とその製造方法
US7733102B2 (en) * 2007-07-10 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra-fine area array pitch probe card
US8258629B2 (en) * 2008-04-02 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Curing low-k dielectrics for improving mechanical strength
JP4343256B1 (ja) * 2008-07-10 2009-10-14 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2010045177A (ja) * 2008-08-12 2010-02-25 Fujitsu Microelectronics Ltd 多層配線基板及び多層配線基板の試験方法
JP2011082449A (ja) * 2009-10-09 2011-04-21 Elpida Memory Inc 半導体装置
US9128123B2 (en) 2011-06-03 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Interposer test structures and methods

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090017248A (ko) * 2007-08-14 2009-02-18 앰코 테크놀로지 코리아 주식회사 반도체 패키지 및 그 제조 방법
KR20100128524A (ko) * 2009-05-28 2010-12-08 삼성전기주식회사 다층 세라믹 기판 및 이의 제조 방법
KR20100129968A (ko) * 2009-06-02 2010-12-10 삼성전기주식회사 세라믹 기판의 전극패턴 형성방법

Also Published As

Publication number Publication date
KR20120134996A (ko) 2012-12-12
CN102810528B (zh) 2015-07-08
US20150380324A1 (en) 2015-12-31
US9589857B2 (en) 2017-03-07
US20200350221A1 (en) 2020-11-05
CN102810528A (zh) 2012-12-05
US10734295B2 (en) 2020-08-04
US20170178983A1 (en) 2017-06-22
TW201250955A (en) 2012-12-16
US10090213B2 (en) 2018-10-02
US20190057912A1 (en) 2019-02-21
US20120305916A1 (en) 2012-12-06
TWI502698B (zh) 2015-10-01
US11682593B2 (en) 2023-06-20
US9128123B2 (en) 2015-09-08
JP2012253345A (ja) 2012-12-20
JP2015097280A (ja) 2015-05-21
JP6286372B2 (ja) 2018-02-28

Similar Documents

Publication Publication Date Title
KR101355724B1 (ko) 개재물 테스트 구조물 및 방법
US10971483B2 (en) Semiconductor structure and manufacturing method thereof
US10354931B2 (en) Packaging mechanisms for dies with different sizes of connectors
KR101918608B1 (ko) 반도체 패키지
US7781235B2 (en) Chip-probing and bumping solutions for stacked dies having through-silicon vias
US9462692B2 (en) Test structure and method of testing electrical characteristics of through vias
US10685907B2 (en) Semiconductor structure with through silicon via and method for fabricating and testing the same
CN110648995A (zh) 三维集成电路结构
TW201310586A (zh) 半導體結構及其製造方法
TWI721564B (zh) 半導體結構及其製作方法
US20230163102A1 (en) Bonding structure and manufacturing method therefor
US8742776B2 (en) Mechanisms for resistivity measurement of bump structures
TWI598999B (zh) 多元件封裝體與其製備方法
US20220359488A1 (en) Die Stacking Structure and Method Forming Same
US9601424B2 (en) Interposer and methods of forming and testing an interposer
TWI575691B (zh) 柱頂互連(pti)之半導體封裝構造

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170113

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180108

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190109

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20200109

Year of fee payment: 7