KR101183273B1 - 집적회로 및 그의 제조방법 - Google Patents

집적회로 및 그의 제조방법 Download PDF

Info

Publication number
KR101183273B1
KR101183273B1 KR1020077020426A KR20077020426A KR101183273B1 KR 101183273 B1 KR101183273 B1 KR 101183273B1 KR 1020077020426 A KR1020077020426 A KR 1020077020426A KR 20077020426 A KR20077020426 A KR 20077020426A KR 101183273 B1 KR101183273 B1 KR 101183273B1
Authority
KR
South Korea
Prior art keywords
film
silicon
epitaxial
silicon substrate
crystal direction
Prior art date
Application number
KR1020077020426A
Other languages
English (en)
Other versions
KR20070110072A (ko
Inventor
앤드류 엠. 웨이트
스코트 루닝
Original Assignee
글로벌파운드리즈 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 글로벌파운드리즈 인크. filed Critical 글로벌파운드리즈 인크.
Publication of KR20070110072A publication Critical patent/KR20070110072A/ko
Application granted granted Critical
Publication of KR101183273B1 publication Critical patent/KR101183273B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Element Separation (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

집적회로 및 그의 제조방법이 제공된다. 상기 집적회로(20)는, <100> 결정 방향을 갖는 제 1 영역(64, 66) 및 <110> 결정 방향을 갖는 제 2 영역(66, 64)을 갖는 벌크 실리콘 기판(24)을 포함하여 이루어진다. 상기 벌크 실리콘 기판 부분 위에 SOI 막(62)이 놓여진다. 적어도 하나의 전계 효과 트랜지스터들(96, 98)이 상기 SOI 막(62)에 형성되며, 적어도 하나의 P-채널 전계 효과 트랜지스터들(90, 92)이 <110> 결정 방향의 상기 제 2 영역(66, 64)에 형성되며, 적어도 하나의 N-채널 전계 효과 트랜지스터들(90, 92)이 <100> 결정 방향의 상기 제 1 영역(64, 66)에 형성된다.
SOI, 결정 방향, 이동도, CMOS, <100>, <110>

Description

집적회로 및 그의 제조방법{INTEGRATED CIRCUIT AND METHOD FOR ITS MANUFACTURE}
일반적으로, 본 발명은 전계효과트랜지스터 IC(FET IC) 및 그 제조방법에 관한 것이며, 좀더 상세하게는 PFET 및 NFET 하이브리드 방향(Hybrid Orientation : HOT) 디바이스들 뿐만 아니라 SOI 디바이스들을 갖는 FET IC와 그의 제조방법에 관한 것이다.
오늘날, 대다수의 집적회로들(Integrated Circuits : IC)은, 배선 연결된 많은 수의 전계 효과 트랜지스터(Field Effect Transistor : FET)를 사용하여 구현되고 있으며, 전계 효과 트랜지스터는 또한 금속 산화물 반도체 전계 효과 트랜지스터(Metal Oxide Semiconductor Field Effect Transistor : MOSFET 또는 MOS)라고 불리우기도 한다. 이러한 집적회로들은 통상적으로 P-채널 FET 및 N-채널 FET 모두를 사용하여 형성되며, 이러한 집적회로들은 상보적 MOS 또는 CMOS 라고 지칭된다. 절연막 상의 얇은 실리콘 막에 FET를 형성함으로써, FET IC의 성능에 있어서 상당한 향상이 이루어질 수 있게 되었다. 이러한 실리콘-온-인슐레이터(Silicon-On-Insulator : 이하, SOI) FET 들은, 예를 들면, 더 낮은 접합 캐패시턴스를 나타내며 따라서 더 높은 속도에서 동작할 수 있다. 이러한 FET 들이 제조되는 실리콘 기판은 벌크(bulk) 실리콘 기판 또는 SOI 이던지 간에, 통상적으로 <100> 결정 방향(crystalline orientation)을 갖는다. <100> 결정 방향이 더 높은 전자 이동도를 야기하며 따라서 더 빠른 속도의 N-채널 FET 을 얻을 수 있기 때문에, 이러한 결정 방향이 선택되었다. P-채널 FET의 홀들(holes)의 이동도를 향상시키게 되면, CMOS 회로에서 추가적인 성능 향상을 얻을 수 있다. <110> 결정 방향을 갖는 실리콘 위에 P-채널 FET을 제조함으로써, 홀들의 이동도를 향상시킬 수 있다. 하이브리드 방향 기술(Hybrid Orientation Technique : 이하, HOT)에서는, N-채널 FET 에 대해서는 <100> 결정 방향을 사용하고, P-채널 FET 에 대해서는 <110> 결정 방향을 사용한다.
따라서, 하이브리드 방향 기술(HOT)로 실현될 수 있는 유익한 특성들과 SOI FET 의 유익한 특성들을 하나의 집적회로 내에 결합하는 것이 바람직하다. 더 나아가, 동일 기판 상의 SOI FET과 벌크 HOT N-채널 및 P-채널 FET 들을 결합한 CMOS 집적회로를 제조하는 방법을 제공하는 것이 바람직하다. 또한, 첨부된 도면들 및 앞서 설명된 본 발명의 기술분야 및 배경기술을 참고로 하여, 본 발명의 또 다른 바람직한 특질들 및 특성들은 후술되는 발명의 상세한 설명 및 첨부된 청구범위로부터 명확해질 것이다.
Silicon-On-Insulator(SOI) 트랜지스터들과 결합된 벌크 하이브리드 방향 기술(HOT) 트랜지스터들의 장점을 갖는 CMOS 집적회로가 제공된다. 본 발명의 집적회로는, <110> 결정 방향의 벌크 실리콘에서 홀들의 이동도가 증가되는 장점과 <100> 결정 방향의 벌크 실리콘에서 전자들의 이동도가 증가되는 장점을 갖는다. 이러한 집적회로는 <100> 결정 방향의 제 1 영역과 <110> 결정 방향의 제 2 영역을 갖는 벌크 실리콘 기판을 포함하여 이루어진다. SOI 막이 벌크 실리콘 기판의 부분위에 놓여진다. 적어도 하나의 전계 효과 트랜지스터가 SOI 막에 형성되며, 적어도 하나의 P-채널 전계 효과 트랜지스터가 <110> 결정 방향의 제 2 영역에 형성되며, 그리고 적어도 하나의 N-채널 전계 효과 트랜지스터가 <100> 결정 방향의 제 1 영역에 형성된다.
이러한 CMOS 집적회로를 제조하는 방법이 제공된다. 이러한 방법은 제 2 결정 방향의 실리콘 막 위에 놓이며 제 1 결정 방향을 갖는 실리콘 기판을 제공하는 단계 등을 포함하여 이루어진다. SOI 막은 상기 실리콘 막의 부분위에 놓이도록 형성된다. 제 1 결정 방향을 갖는 제 1 에피택셜(epitaxial) 막이 상기 실리콘 기판의 부분 위에 성장되며, 제 2 결정 방향을 갖는 제 2 에피택셜 막이 상기 실리콘 막의 부분 위에 성장된다. 제 1 HOT 전계 효과 트랜지스터가 제 1 에피택셜 막에 형성되며, 제 2 HOT 전계 효과 트랜지스터가 제 2 에피택셜 막에 형성되며, 그리고 제 3 전계 효과 트랜지스터가 SOI 막에 형성된다.
이제부터 본 발명은 다음과 같은 도면들을 참조로 하여 설명될 것이며, 여기서 유사한 참조번호들은 유사한 구성요소들을 나타낸다.
도1 내지 도13은 본 발명의 일실시예에 따른 집적회로 및 그 제조 단계들의 단면을 도식적으로 보여주는 도면들이다.
도14 내지 도18은 본 발명의 다른 실시예에 따른 집적회로 및 그 제조 단계들의 단면을 도식적으로 보여주는 도면들이다.
다음에 설명된 발명의 상세한 설명은 단지 예시적인 목적을 위한 것이며, 본 발명이나 또는 응용예 및 본 발명의 사용예를 제한하고자 함이 아니다. 더 나아가, 전술한 기술분야, 배경기술, 발명의 상세한 설명 또는 후술될 발명의 상세한 설명에서 제공되는, 명시적으로 표현되거나 또는 암시되는 그 어떤 이론(theory)에도 속박되고자 함이 아님을 유의해야 한다.
도1 내지 도13은, 본 발명의 다양한 실시예에 따라서, CMOS 집적회로(20) 및 이러한 CMOS 집적회로를 제조하는 단계들을 도시적으로 예시한 도면들이다. 이러한 예시적인 실시예에서는, CMOS 집적회로(20)의 단지 일부분만이 도시되어 있다. CMOS 디바이스들을 제조하는 많은 단계들은 공지된 기술이며, 따라서 설명의 간략화를 위해서, 통상적인 많은 제조 단계들은 본 명세서에서 간단하게만 언급될 수도 있으며 또는 공지된 제조 공정을 상세하게 제공함이 없이 전부 다 생략할 수도 있다.
도1에 도시된 바와같이, 본 발명의 일실시예에 따른 방법은, 실리콘 캐리어(carrier) 기판(24)에 접착된 실리콘 막(22)에서 시작된다. 본 명세서에서 사용되는 "실리콘 막" 및 "실리콘 기판" 이라는 용어는, 결정질 반도체 물질을 형성하기 위해서 가령 게르마늄, 탄소 등등과 같은 다른 성분들이 혼합된 실리콘을 포함하도록 사용될 뿐만 아니라, 반도체 산업에서 전형적으로 사용되는 상대적으로 순수한 실리콘 물질을 포함하도록 사용된다. 실리콘 막(22) 및 실리콘 캐리어 기판(24)이 벌크 하이브리드 방향(HOT) 트랜지스터를 형성하기 위해 사용될 것이다. 실리콘 막(22)은 공지된 웨이퍼 접착 기술을 이용하여 실리콘 캐리어 기판(24)에 접착되며, 그리고 상기 실리콘 막은 얇게 가공되는바, 예를 들면, 화학적 기계적 평탄화(Chemical Mechanical Planarization : CMP)에 의해 약 300 나노미터(nm) 정도의 두께까지 얇아진다. 실리콘 막과 실리콘 캐리어 기판은 서로 다른 결정 방향들을 갖는다. 실리콘 막 또는 실리콘 캐리어 기판 중 어느 하나는 <100> 결정 방향을 갖도록 선택되며, 다른 하나는 <110> 결정 방향을 갖도록 선택된다. 바람직하지만 이에 한정되지는 않는 실시예에서, 실리콘 막은 <100> 결정 방향을 가질 것이며, 실리콘 캐리어 기판은 <110> 결정 방향을 가질 것이다. 다른 대안적인 실시예에서, 실리콘 막은 <110> 결정 방향을 가질 것이며, 실리콘 캐리어 기판은 <100> 결정 방향을 가질 것이다. <100> 결정 방향 또는 <110> 결정 방향은, 실제(true) 결정 방향에서 대략 ±2°내에 있는 결정 방향을 의미한다. 실리콘 막 및 실리콘 캐리어 기판은 양자 모두 적어도 약 18-33 옴/평방(Ohms per square)의 저항을 갖는 것이 바람직하다. 실리콘은 N형 또는 P형 중 어느 하나로 불순물 도핑될 수도 있지만, P형으로 도핑되는 것이 바람직하다.
도2는 실리콘 막(22) 위에 놓여있는 SOI 막(26)을 형성하기 위한 한 가지 방법을 예시하고 있으며, 도3 및 도4는 또 다른 방법을 도시하고 있는바, 2가지 방법 모두 본 발명의 다양한 실시예에 따른 것이다. 도2는 SIMOX 공정에 의해 얇은 SOI 막(26)을 형성하기 위한 공정을 도시한 도면이다. SIMOX 공정은 공지된 기술로서, 화살표(28)에 의해 도시된 바와같이, 산소 이온들이 실리콘 막(22)의 하부 표면(sub-surface) 영역 안으로 이온주입된다. 실리콘 막과 이온주입된 산소는 후속으로 가열되어 하부-표면 실리콘산화막(30)을 형성하는바, 이는 실리콘 막(22)의 나머지 부분으로부터 SOI 막(26)을 전기적으로 절연시킨다. 상기 SOI 막(26)은 약 10-100 nm 의 두께를 갖는다. SOI 막(26)의 두께는 이온 주입 에너지에 의해 결정되는바 즉, 이온주입된 산소 이온들의 범위가 SOI 막(26)의 의도된 두께를 살짝 초과하도록, 이온 주입 에너지가 조정된다. SOI 막(26) 막은, 실리콘 막(22)과 동일한 결정 방향을 가질 것이며, 바람직하게는 <100> 결정 방향을 갖는다.
도3 및 도4에 도시된 대안적인 실시예에서, SOI 막(26)은 웨이퍼 접착(bonding) 공정에 의해서 형성된다. 도3에 도시된 바와같이, 이산화실리콘(silicondioxide)과 같은 절연막(30)이, 실리콘 막(22)의 상부 표면 및/또는 제 2 실리콘 웨이퍼(34)의 표면에 형성된다. 제 2 실리콘 웨이퍼(34)는 실리콘 막(22)에 접착되며 따라서 절연막(30)이 실리콘 막(22) 및 제 2 실리콘 웨이퍼(34)를 분리한다. 도4에 도시된 바와같이, 제 2 실리콘 웨이퍼는, 예를 들어 CMP 에 의해 얇게 가공되어 얇은 실리콘 막(26)으로 남으며, 상기 얇은 실리콘 막(26)은 실리콘 막(22) 위에 놓인 절연막(30) 상에 위치한다. 이러한 실시예에서, 상기 얇은 실리콘 막(26)은 10 - 200 nm 정도의 두께를 가질 수 있으며, N형 또는 P형중 어느 하나로 가볍게 불순물 도핑될 수 있다. 바람직하게는, 상기 얇은 실리콘 막(26)은 약 30 옴/평방의 저항을 갖도록 P형으로 불순물 도핑되며, <100> 결정 방향을 갖는다. 본 발명의 이러한 실시예에 따르면, 얇은 실리콘 막(26)은, 실리콘 막(22)과 동일 한 결정 방향을 가질 필요는 없다. 또한, 이러한 실시예에서, 실리콘 막(22)은 더 얇아질 수도 있는데, 이는 SOI 막(26)이 실리콘 막(22)으로부터 형성되는 것이 아니라, 실리콘 막(22)에 접착됨으로서 형성되기 때문이다.
도5에 도시된 바와같이, SIMOX 공정으로 형성되었던지 또는 웨이퍼 접착 공정으로 형성되었던지 간에, 얇은 패드 산화막(36)을 SOI 막(26)의 표면에 형성하도록 SOI 기판이 산화되는바, 얇은 패드 산화막(36)은 약 5 - 20 nm 의 두께를 갖는다. 이후, 약 50 - 200 nm 의 두께를 갖는 실리콘 질화막(38)이 패드 산화막(36)의 상부 표면에 증착된다. 상기 패드 산화막(36)은 SOI 기판을 산소 분위기에서 가열함으로써 성장될 수도 있다. 실리콘 질화막(38)은, 예를 들면, 저압 화학기상증착(Low Pressure Chemical Vapor Deposition : LPCVD) 또는 플라즈마 증대 화학기상증착(Plasma Enhanced Chemical Vapor Deposition : PECVD)에 의한 디클로로실란(dichlorosilane) 및 암모니아의 반응으로부터 증착될 수도 있다. 이후, 상기 실리콘 질화막은 CMP 연마의 정지층(stop or stop layer)으로서 사용될 것인바, 이에 대해서는 후술한다.
포토레지스트 막(40)이 실리콘 질화막(38)의 표면에 형성되며 그리고 도6에 도시된 바와같이, 포토리소그래피 공정을 통해 패터닝된다. 패터닝된 포토레지스트 막(40)은 식각 마스크로 사용되며, 그리고 실리콘 질화막(38), 산화막(36), SOI 막(26), 절연막(30), 실리콘 막(22)을 지나서 실리콘 캐리어 기판(24)의 위쪽 부분까지 연장되는 트렌치(42)가 식각된다. 상기 트렌치는, 절연막들을 식각하는 CF4 또 는 CHF3 및 실리콘을 식각하는 염소(chlorine) 또는 수소 브롬화물을 사용하는 반응성 이온 식각(Reactive Ion Etch : RIE) 공정에 의해 식각될 수 있다. 트렌치(42)를 식각하는 공정이 완료된 이후에, 포토레지스트 막(40)이 제거된다. 대안적으로, 포토공정을 통해 패터닝된 포토레지스트 막(40)은, 실리콘 질화막(38)을 식각하는 공정에서 식각 마스크로서 사용된 다음에 제거될 수도 있다. 이후, 식각된 실리콘 질화막(38)은, 산화막(36), SOI 막(26), 절연막(30) 및 실리콘 막(22)의 식각을 마스크하는 하드 마스크로서 사용될 수 있다. 또한, 이러한 대안적인 실시예에서, 식각공정은 실리콘 캐리어 기판(24)의 위쪽 부분을 식각한 다음에 종료된다.
포토레지스트 막(40)을 제거한 다음에, 또 다른 포토레지스트 막(44)이 적용되어 트렌치(42) 및 남아있는 실리콘 질화막(38) 부분을 뒤덮으며, 이후 도7에 도시된 바와같이 패터닝된다. 패터닝된 포토레지스트 막(44)은 식각 마스크로서 사용되며, 적층된 막들을 지나서 실리콘 막(22)의 위쪽 부분까지 연장된 제 2 트렌치(46)가 식각된다. 트렌치(42)에서와 같이, 트렌치(46)는 반응성 이온 식각법(RIE)을 이용하여 식각될 수 있다. 포토레지스트 막(44)은 트렌치(46)의 식각이 완료된 이후에 제거될 수도 있으며, 대안적으로는 실리콘 질화막(38)이 식각된 다음에 제거될 수도 있다. 이러한 대안적인 공정에서는, 이후 상기 패터닝된 실리콘 질화막(38)은, 산화막(36), SOI 막(26), 절연막(30)을 지나서 실리콘 막(22)의 위쪽 영역까지 진행되는 트렌치 식각을 마스킹하는 하드 마스크로서 사용될 수 있다. 실리콘 캐리어 기판(24)의 일부까지 연장되는 이러한 예시적인 트렌치(42)는, 실리콘 막(22)의 일부까지 연장되는 트렌치(46)가 형성되기 전에 식각되었다. 본 발명의 또 다른 실시예(미도시)에 따르면, 2개의 트렌치들을 형성하는 순서는 바뀔 수도 있으며, 트렌치(46)가 먼저 형성될 수도 있다.
포토레지스트 막(44)을 제거한 다음에, 실리콘 산화막 또는 실리콘 질화막이 2개의 트렌치들(42, 46)을 포함하는 구조의 표면 상에 증착된다. 상기 실리콘 산화막 또는 실리콘 질화막은, 예를 들면 반응성 이온 식각법(RIE)에 의해 비등방적으로 식각되어, 트렌치(42) 및 트렌치(46)의 수직 측벽 상에 측벽(sidewall) 스페이서(48)를 형성하는바, 이는 도8에 도시된 바와같다.
본 발명의 일실시예에 따르면, 이후 선택적 에피택셜 실리콘 막들(49, 50)이 노출된 실리콘 표면들에서 성장된다. 에피택셜 실리콘 막(49)은 트렌치(42)의 바닥에서 실리콘 캐리어 기판(24)의 노출된 표면에서 성장되며, 에피택셜 실리콘 막(50)은 트렌치(46)의 바닥에서 실리콘 막(22)의 노출된 표면에서 성장된다. 이러한 에피택셜 막들은, HCl 의 존재하에서 실란(silane : SiH4) 또는 디클로로실란(SiH2Cl2)의 환원에 의해서 성장될 수 있다. 염소 소스의 존재는, 에피택셜 성장의 선택성을 더욱 증대시키는바, 즉, 에피택셜 실리콘은 절연막 표면들(실리콘 산화막 또는 질화막)과는 반대로, 노출된 실리콘 표면들에서 우선적으로(preferentially) 성장한다. 에피택셜 실리콘 막들은 소정의 결정 방향을 갖도록 성장하는바, 이러한 소정의 결정 방향은, 에피택셜 실리콘 막들이 그 표면 상에서 성장되고 있는 실리콘 물질의 결정 방향을 모방한 것이다. 바람직한 실시예에서, 에피택셜 실리콘 막(49)은 실리콘 캐리어 기판(24)처럼 <110> 결정 방향을 갖게 성장하며, 에피택셜 실리콘 막(50)은 실리콘 막(22)처럼 <100> 결정 방향을 갖게 성장한다. 측벽 스페이서들(48)은, 트렌치(46)의 에지들(edges) 상에 증착되는 실리콘의 결정핵생성(nucleation)을 지체시키며, 특히 트렌치(42)의 에지들 상에서 더욱 지체시킨다. 측벽 스페이서들이 존재하지 않는 경우에는, 에피택셜 성장은, 트렌치들의 바닥들 상에서 뿐만 아니라, 트렌치들의 에지들에서 노출된 실리콘 상에서도 결정핵생성을 할 수도 있는바(might nucleate), 이는 이상적인 에피택셜 실리콘 막들 보다는 열등한 막을 야기한다. 이러한 점은 트렌치(42)에서 성장되는 에피택셜 실리콘 막의 경우에 특히 사실이다. 그 이유는, 에피택셜 성장하는 막이, 트렌치(42)의 바닥에서 노출된 <110> 결정 방향의 실리콘 캐리어 기판(24) 상에서도 결정핵생성을 할 수도 있을 뿐만 아니라, 트렌치(42)의 에지에서 노출된 <100> 결정 방향의 실리콘 막(22)에서도 결정핵생성을 할 수도 있기 때문이다. 실리콘 질화막(38)의 상부 표면보다 더 위쪽으로 실리콘이 과도성장(overgrowth) 할 수도 있으며, 다결정 실리콘(52) 형태인 소정의 실리콘이 실리콘 질화막(38) 상에 증착(deposit)될 수도 있다. 에피택셜 성장 프로세스가 완벽하게 선택적이지 않을 수도 있기 때문에, 다결정 실리콘(52)이 야기될 수도 있다. 실리콘 질화막 상에 증착된 실리콘은 단결정(monocrystalline) 이라기 보다는 다결정일 것인바, 이는 증착된 실리콘이 모방할 수 있는 결정구조를 상기 실리콘 질화막이 제공하지 않기 때문이다.
다결정 실리콘(52) 뿐만 아니라 실리콘 질화막(38)의 최상부보다 더 위쪽으 로 과도성장된 선택적 에피택셜 실리콘은, 도10에 도시된 바와같이 CMP 에 의해 제거된다. 실리콘 질화막(38)은 상기 CMP 에 대해서 연마 정지막으로서 이용된다.
에피택셜 실리콘 막들의 평탄화에 뒤이어서, 또 다른 실리콘 질화막(54)이 상기 구조 상에 증착된다. 포토레지스트 막(56)이 실리콘 질화막(54)에 적용되며 그리고 도11에 도시된 바와같이 패터닝된다. 스페이서들(48)이 제거되며, 패터닝된 포토레지스트 막을 식각 마스크로 사용하는 반응성 이온 식각법에 의해서 트렌치들(58)이 형성된다.
스페이서들(48)이 제거되고 트렌치들(58)이 형성된 이후에, 포토레지스트 막(56)이 제거되며, 그리고 트렌치들(58)은 가령, LPCVD 또는 PECVD 법에 의해서 증착된 산화물 또는 또 다른 절연물(59)로 채워진다. 증착된 절연물(59)은 트렌치들(58)을 채우지만 실리콘 질화막(54) 상에도 증착된다. 실리콘 질화막(54) 상의 여분의 절연물은 CMP 를 이용하여 연마되어(polished back), 도12에 도시된 바와같은 얕은 트렌치 절연(Shallow Trench Isolation : STI)(60)의 형성을 완료한다. 실리콘 질화막(54)은 CMP 공정 동안에 연마 정지막으로서 사용된다. 해당 기술분야의 당업자들은, STI 를 형성하기 위해서, 또는 집적회로를 구성하는 디바이스들 사이에 있는 또 다른 형태들의 많은 전기적 절연을 형성하기 위해서, 공지된 많은 공정 단계들 및 많은 물질들이 사용될 수도 있음을 인식할 것이며, 따라서, 이러한 공지된 공정 단계들 및 물질들은 본 명세서에서 상세히 설명되지는 않는다. 도12에 도시된 구조는, 하나의 SOI 영역(62) 및 2개의 벌크 실리콘 영역(64, 66)을 포함하는바, 2개의 벌크 실리콘 영역(64, 66) 중 하나는 <100> 결정 방향을 가지며, 다른 하나는 <110> 결정 방향을 갖는다. 얕은 트렌치 절연(STI)의 형성 이후에, 벌크 영역들(64, 66)의 에피택셜 실리콘들(49, 50) 각각은, 가령 이온 주입법과 같은, 공지된 방법에 의해서 적절하게 불순물 도핑될 수 있다. 본 발명의 바람직한 실시예에 따르면, 벌크 영역(64)은 <110> 결정 방향을 가지며 N형 불순물로 도핑되며, 벌크 영역(66)은 <100> 결정 방향을 가지며 P형 불순물로 도핑된다. 실리콘 캐리어 기판(24)은 <110> 결정 방향이며 실리콘 막(22)은 <100> 결정 방향이던지 또는 실리콘 캐리어 기판(24)은 <100> 결정 방향이고 실리콘 막(22)은 <110> 결정 방향이던지 간에 관계없이, <100> 결정 방향을 갖는 영역은 P형 불순물로 도핑되며, <110> 결정 방향을 갖는 영역은 N형 불순물로 도핑된다. SOI 영역(62) 역시 이와 동일한 방법으로 적절하게 도핑될 수 있다. 만일, SOI 영역(62)이 CMOS 디바이스들의 제조를 위해 사용된다면, 영역(62)의 일 부분(70)은 N-채널 FET들을 형성하기 위한 P형 웰들을 만들기 위해서 P형 불순물로 도핑될 수도 있으며, 영역(62)의 타 부분(72)은 P-채널 FET들을 형성하기 위한 N형 웰들을 만들기 위해서 N형 불순물로 도핑될 수도 있다. 다양한 영역들에 대한 불순물 도핑은 공지된 방법에 의해 수행될 수 있는바, 불순물의 종류들, 도즈들(doses), 이온주입 에너지들은 제조하고자 하는 디바이스들의 타입에 따라 결정된다. 선택된 영역에 대한 이온주입은, 예를 들면, 패터닝된 포토레지스트를 이용하여 다른 영역들을 마스킹함으로써 수행될 수 있다.
잔존하고 있는 막들(36, 38, 54)을 스트립핑(stripping)한 이후에, 실질적으로 동일평면 상에 있는 SOI 막(26)의 표면 및 벌크 실리콘 영역들(64, 66)의 각 표 면이 노출되며, 이 구조는 원하는 집적회로 기능을 구현하기 위해서 필요한 FET들의 제조 준비가 된 상태를 나타낸다. SOI 영역(62)의 부분들(70, 72)에서는 CMOS 디바이스들을 제조하고, 영역들(64, 66)에서는 벌크 HOT P-채널 및 N-채널 FET들을 제조하는 것과 같이, 다양한 디바이스들이 통상적인 CMOS 공정 기술을 이용하여 제조될 수 있다. CMOS 디바이스들을 제조하는 다양한 공정 플로우들은 해당 기술분야의 당업자들에게 잘 알려져 있으며, 따라서 본 명세서에서는 이에 대해 상술하지 않는다. 해당 기술분야의 당업자들은 가령, 적용된 최소 수치들(minimum geometries), IC에 전원을 공급하는데 이용가능한 전원들, IC에 기대되는 동작 속도 등등과 같은, 파라미터들에 다양한 공정 플로우들이 좌우될 수 있음을 인식할 것이다. IC 제조를 완성하는데 적용된 공정 플로우에 관계없이, 본 발명의 일실시예에 따른 IC(20)는, <100> 결정 방향을 갖는 벌크 실리콘 영역(66)에 형성된 벌크 N-채널 HOT FET(90)과 <110> 결정 방향을 갖는 벌크 실리콘 영역(64)에 형성된 벌크 P-채널 HOT FET(92)을 포함하며, SOI 영역(62)의 부분들(70, 72)에 각각 형성된 N-채널 SOI FET(96) 및 P-채널 SOI FET(98)인 CMOS 트랜지스터를 포함한다. 비록 도시되어 있지는 않지만, 얕은 트렌치 절연(STI)와 같은 소정 형태의 전기적 절연이 FET 들(96, 98) 사이에 구현될 수 있으며, 또는 대안적으로, 접합들(104)이 PN 접합의 성질에 의해 제공되는 전기적인 절연과 함께 맞대고 있을 수 있다. 설명된 실시예에서, 실리콘 캐리어 기판(24) 및 에피택셜 실리콘(49)은 <110> 결정 방향이며 P-채널 HOT FET(92)는 영역(64)에 형성된다. 또한, 설명된 일실시예에 따르면, 실리콘 막(22) 및 에피택셜 실리콘(50)은 <100> 결정 방향이며 N-채널 HOT FET(90) 는 영역(66)에 형성된다. 이러한 실시예에서 실리콘 캐리어 기판을 <110> 결정 방향으로 선택한 것은 임의적이다. 해당 기술분야의 당업자라면, 본 발명의 범위 및 내용을 벗어남이 없이도, 실리콘 캐리어 기판(24) 및 실리콘 막(22)의 결정 방향이 서로 바뀔 수도 있음을 충분히 이해할 것이다.
도13에 도시된 바와같이, 각각의 벌크 HOT FET들(90, 92) 및 각각의 SOI FET들(96, 98)은, 게이트 절연막(102) 위에 놓여있는 게이트 전극(100)과 게이트 전극의 양쪽 측면에 위치한 소스 및 드레인 영역(104)을 포함한다. 게이트 전극은 다결정 실리콘, 금속, 실리사이드 등등일 수 있다. 게이트 절연막은 실리콘이산화물(silicon dioxide), 실리콘산화질화물(silicon oxynitride), 고유전 상수 물질(high dielectric constant material) 등등이 될수 있는바, 이는 구현하고자 하는 특정한 회로 기능에 좌우된다. 소스 및 드레인 영역은 하나의 불순물 도핑 영역 또는 정렬된 다수의 불순물 도핑 영역들을 포함하여 이루어질 수 있다. 비록 도시되어 있지는 않지만, 전도성 콘택들 및 전도성 배선들(traces)이 적절한 게이트 전극들 및 소스/드레인 영역에 접속되어, 집적회로의 수 많은 트랜지스터들을 상호연결할 수 있다.
도시된 실시예에서, 특히 도6 내지 도10에 도시된 실시예에서, <100> 및 <110> 결정 방향의 에피택셜 영역들(49, 50)은 동일한 공정 단계에서 형성되며, 이들의 표면들은 동일한 공정 단계에서 평탄화된다. 본 발명의 또 다른 실시예에 따르면, 2개의 에피택셜 영역들은 개별적으로 성장될 수도 있는바, 이는 도14 내지 도18에 도시된다. 본 발명의 이러한 실시예에 따른 방법은, 도6에 도시된 공정단계 까지는 종전 방법과 유사하다. 도7에 도시된 것 처럼 제 2 트렌치를 식각하는 대신에, 실리콘 산화막 또는 실리콘 질화막이 질화막(38)의 표면 및 트렌치(42) 안쪽의 표면에 증착된다. 이와같이 증착된 막은 반응성 이온 식각법으로 식각되어 도14에 도시된 바와같이, 트렌치(42)의 에지들 상에 측벽 스페이서들(152)을 형성한다.
도15에 도시된 바와같은 본 발명의 이러한 실시예에 따르면, 선택적 에피택셜 실리콘 막(154)이, 전술한 선택적 에피택셜 성장 프로세스에 의해서, 트렌치(42)의 안쪽에서 성장한다. 상기 선택적 에피택셜 실리콘 막(154)은, 트렌치(42)의 바닥에서 노출된 실리콘 캐리어 기판(24) 부분에서 결정핵생성을 하며, 실리콘 캐리어 기판(24)의 결정방향과 동일한 방향으로 성장한다.
또 다른 포토레지스트 막(156)이 질화막(38)의 표면 및 에피택셜 실리콘 막(154)의 표면을 커버하도록 적용된다. 이 포토레지스트 막(156)은 포토공정을 통해 패터닝되는바, 도16에 도시된 것 처럼 실리콘 막(22) 안쪽으로 연장되는 추가 트렌치(158)을 식각하는 공정에서 식각 마스크로서 이용된다. 트렌치(158)는 반응성 이온 식각법으로 식각될 수 있다.
트렌치(158)를 식각한 이후에, 포토레지스트 막(156)은 제거되며, 또 다른 실리콘 산화막 또는 실리콘 질화막이 질화막(38)의 표면 및 에피택셜 실리콘 막(154)의 표면 위에 증착된다. 증착된 실리콘 산화막 또는 실리콘 질화막은 반응성 이온 식각법으로 식각되어, 도17에 도시된 바와같이 트렌치(158)의 벽들 상에 측벽 스페이서들(162)을 형성한다. 도시된 바와같은 본 발명의 또 다른 실시예에 따르면, 상기 증착된 막은, 에피택셜 막(154)의 표면 위에 남아있도록 패터닝된 포 토레지스트 막을 이용하여 식각되는바, 따라서 상기 증착된 막은 에피택셜 막(154)으로부터 제거되지 않는다.
본 발명의 이러한 실시예에 따르면, 도18에 도시된 바와같이, 전술한 선택적 에피택셜 성장 프로세스에 의해서, 선택적 에피택셜 실리콘 막(164)이 트렌치(158)의 안쪽에서 성장한다. 상기 선택적 에피택셜 실리콘 막(164)은, 트렌치(158)의 바닥에서 노출된 실리콘 막(22) 부분에서 결정핵생성을 하며, 실리콘 막(22)의 결정방향과 동일한 방향으로 성장한다. 만일, 측벽 스페이서들(162)을 형성하기 위해 사용된 상기 증착된 막이 에피택셜 막(154) 위에 남아있다면, 이러한 막은 에피택셜 막(164)의 성장 동안에, 막(154) 상에서 에피택셜 실리콘이 추가로 성장하는 것을 방해한다.
질화막(38) 상에 있는, 여분의 에피택셜 실리콘 성장은, 에피택셜 막(164)의 성장에 후속하는 단일의 CMP 단계에 의해서, 또는 개별적인 에피택셜 실리콘 성장 단계들에 각각 후속하는 2개의 개별적인 CMP 단계들에 의해서 제거될 수 있다. 스페이서들(162)을 형성하기 위해 사용된 증착된 막의 임의의 잔존 부분들 역시, CMP 단계 또는 단계들을 이용하여 제거될 수 있다. 여분의 에피택셜 실리콘을 CMP로 제거한 이후에, 그 구조는 도10에 도시된 구조와 같다. 집적된 구조를 제조하는 공정은 도11 내지 도13에 도시된 후속 단계들에 의해 완료될 수 있다. 먼저 설명된 실시예에처럼, 2개의 트렌치들이 식각되고 뒤이어 에피택셜 실리콘으로 채워지는 순서는, 본 발명의 범위를 벗어남이 없이도, 역전될 수 있다.
앞서 설명된 발명의 상세한 설명에서 적어도 하나 이상의 예시적인 실시예들 이 제공되었지만, 대단히 많은 수의 변형예들이 존재한다는 점을 유의해야 한다. 또한, 예시적인 실시예 또는 예시적인 실시예들은 단지 일례일 뿐이며, 본 발명의 범위, 적용가능성, 또는 구성을 그 어떤 식으로라도 제한할려는 것은 아니다. 이와 반대로, 앞서 설명된 발명의 상세한 설명은, 상기 예시적인 실시예(들)을 구현하기 위한 편리한 로드맵(road map)을 해당 기술분야의 당업자들에게 제공할 것이다. 첨부된 청구범위 및 이들의 법적 균등범위에서 설명된 바를 벗어남이 없이도, 구성요소들의 기능 및 배치에 있어서 다양한 변화들이 있을 수도 있음을 유의해야 한다.

Claims (10)

  1. 집적회로(20)에 있어서,
    <100> 결정 방향을 갖는 제 1 에피택셜 영역(64, 66), 및 <110> 결정 방향을 갖는 제 2 에피택셜 영역(66, 64)을 포함하는 벌크 실리콘 기판(24);
    상기 벌크 실리콘 기판의 일부분 위에 놓이며, 상기 제 1 에피택셜 영역의 표면 및 상기 제 2 에피택셜 영역의 표면과 동일 평면인 표면을 갖는 SOI 막(62);
    상기 SOI 막(62)에 형성된 적어도 하나의 전계 효과 트랜지스터(96, 98);
    상기 제 2 에피택셜 영역(66, 64)에 형성된 적어도 하나의 P-채널 전계 효과 트랜지스터(90, 92); 및
    상기 제 1 에피택셜 영역(64, 66)에 형성된 적어도 하나의 N-채널 전계 효과 트랜지스터(90, 92)
    을 포함하여 이루어진 것을 특징으로 하는 집적회로.
  2. 제1항에 있어서,
    상기 제 1 에피택셜 영역(64)은 상기 실리콘 기판(24) 상에 형성된 P형 불순물 도핑 영역을 포함하며, 상기 제 2 에피택셜 영역(66)은 상기 실리콘 기판(24)에 접착된 실리콘 막(22) 상에 형성된 N형 불순물 도핑 영역을 포함하는 것을 특징으로 하는 집적회로.
  3. 제 1 항에 있어서,
    상기 제 1 에피택셜 영역(64)은 상기 실리콘 기판 막(24) 상에 성장된 P형 불순물 도핑 영역을 포함하며, 상기 제 2 에피택셜 영역은 상기 실리콘 기판에 접착된 실리콘 막(22) 상에 성장된 N형 불순물 도핑 영역을 포함하는 것을 특징으로 하는 집적회로.
  4. 집적회로(20)에 있어서,
    제 1 결정 방향을 갖는 실리콘 기판(24);
    상기 제 1 결정 방향과는 서로 다른 제 2 결정 방향을 가지며 상기 실리콘 기판(24)에 접착된 제 1 실리콘 막(22) - 상기 제 1 실리콘 막(22)은 상부(upper) 표면을 가짐 -;
    상기 제 1 실리콘 막(22)의 상기 상부 표면 상의 절연막(30);
    상기 절연막(30) 상의 제 2 실리콘 막(26);
    상기 실리콘 기판(24) 상에 성장된 제 1 결정 방향의 제 1 영역(49);
    상기 제 1 실리콘 막(22) 상에 성장된 제 2 결정 방향의 제 2 영역(50);
    상기 제 1 영역(49)에 형성된 제 1 전도 채널형의 제 1 전계 효과 트랜지스터(92);
    상기 제 2 영역(50)에 형성된 제 2 전도 채널형의 제 2 전계 효과 트랜지스터(90); 및
    상기 제 2 실리콘 막(26)에 형성된 상보적인 전계 효과 트랜지스터들(96, 98)
    을 포함하여 이루어진 것을 특징으로 하는 집적회로.
  5. 제 4 항에 있어서,
    상기 제 1 결정 방향은 <110> 결정 방향을 포함하며, 상기 제 2 결정 방향은 <100> 결정 방향을 포함하는 것을 특징으로 하는 집적회로.
  6. 제 4 항에 있어서,
    상기 제 1 결정 방향은 <100> 결정 방향을 포함하며, 상기 제 2 결정 방향은 <110> 결정 방향을 포함하는 것을 특징으로 하는 집적회로.
  7. 집적회로(20)를 제조하는 방법에 있어서,
    제 1 결정 방향을 갖는 실리콘 기판(24)을 제공하는 단계;
    상기 실리콘 기판(24) 위에 놓인 실리콘 막(22)을 제공하는 단계 - 상기 실리콘 막(22)은 상기 제 1 결정 방향과는 서로 다른 제 2 결정 방향을 가짐 -;
    상기 실리콘 막 부분 위에 놓인 SOI 막(26)을 형성하는 단계;
    상기 실리콘 기판(24)의 일부분을 노출시키고 그리고 상기 제 1 결정 방향을 갖는 제 1 에피택셜 막(49)을 상기 실리콘 기판(24)의 상기 일부분 위에 성장시키는 단계;
    상기 실리콘 막(22)의 일부분을 노출시키고 그리고 상기 제 2 결정 방향을 갖는 제 2 에피택셜 막(50)을 상기 실리콘 막(22)의 상기 일부분 위에 성장시키는 단계; 및
    제 1 전계 효과 트랜지스터(92)를 상기 제 1 에피택셜 막(49)에 형성하고, 제 2 전계 효과 트랜지스터(90)를 상기 제 2 에피택셜 막(50)에 형성하며, 그리고 제 3 전계 효과 트랜지스터(96, 98)를 상기 SOI 막(26)에 형성하는 단계
    를 포함하는 것을 특징으로 하는 집적회로를 제조하는 방법.
  8. 제 7 항에 있어서,
    제 1 결정 방향을 갖는 실리콘 기판(24)을 제공하는 상기 단계는,
    <100> 결정 방향을 갖는 실리콘 기판(24)을 제공하는 것을 포함하며, 그리고
    상기 실리콘 기판(24) 위에 놓인 실리콘 막(22)을 제공하는 상기 단계는,
    <110> 결정 방향을 갖는 실리콘 막(24)을 제공하는 것
    을 포함하는 것을 특징으로 하는 집적회로를 제조하는 방법.
  9. 제 7 항에 있어서,
    제 1 결정 방향을 갖는 실리콘 기판(24)을 제공하는 상기 단계는,
    <110> 결정 방향을 갖는 실리콘 기판(24)을 제공하는 것을 포함하며, 그리고
    상기 실리콘 기판(24) 위에 놓인 실리콘 막(22)을 제공하는 상기 단계는,
    <100> 결정 방향을 갖는 실리콘 막(24)을 제공하는 것
    을 포함하는 것을 특징으로 하는 집적회로를 제조하는 방법.
  10. 제 9 항에 있어서,
    제 1 전계 효과 트랜지스터(92)를 제 1 에피택셜 막(49)에 형성하는 상기 단 계는,
    P-채널 전계 효과 트랜지스터를 형성하는 것을 포함하며, 그리고
    제 2 전계 효과 트랜지스터(90)를 제 2 에피택셜 막(50)에 형성하는 상기 단계는,
    N-채널 전계 효과 트랜지스터를 형성하는 것
    을 포함하는 것을 특징으로 하는 집적회로를 제조하는 방법.
KR1020077020426A 2005-03-07 2006-02-28 집적회로 및 그의 제조방법 KR101183273B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/075,774 US6972478B1 (en) 2005-03-07 2005-03-07 Integrated circuit and method for its manufacture
US11/075,774 2005-03-07
PCT/US2006/006936 WO2006096380A1 (en) 2005-03-07 2006-02-28 Integrated circuit and method for its manufacture

Publications (2)

Publication Number Publication Date
KR20070110072A KR20070110072A (ko) 2007-11-15
KR101183273B1 true KR101183273B1 (ko) 2012-09-14

Family

ID=35430424

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077020426A KR101183273B1 (ko) 2005-03-07 2006-02-28 집적회로 및 그의 제조방법

Country Status (8)

Country Link
US (1) US6972478B1 (ko)
EP (1) EP1856731B1 (ko)
JP (1) JP4814304B2 (ko)
KR (1) KR101183273B1 (ko)
CN (1) CN101138081B (ko)
DE (1) DE602006012283D1 (ko)
TW (1) TWI395295B (ko)
WO (1) WO2006096380A1 (ko)

Families Citing this family (394)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7186622B2 (en) * 2004-07-15 2007-03-06 Infineon Technologies Ag Formation of active area using semiconductor growth process without STI integration
US7315466B2 (en) * 2004-08-04 2008-01-01 Samsung Electronics Co., Ltd. Semiconductor memory device and method for arranging and manufacturing the same
US7199451B2 (en) * 2004-09-30 2007-04-03 Intel Corporation Growing [110] silicon on [001]-oriented substrate with rare-earth oxide buffer film
US7298009B2 (en) * 2005-02-01 2007-11-20 Infineon Technologies Ag Semiconductor method and device with mixed orientation substrate
US7268377B2 (en) * 2005-02-25 2007-09-11 International Business Machines Corporation Structure and method of fabricating a hybrid substrate for high-performance hybrid-orientation silicon-on-insulator CMOS devices
US7358164B2 (en) * 2005-06-16 2008-04-15 International Business Machines Corporation Crystal imprinting methods for fabricating substrates with thin active silicon layers
US7439108B2 (en) * 2005-06-16 2008-10-21 International Business Machines Corporation Coplanar silicon-on-insulator (SOI) regions of different crystal orientations and methods of making the same
US7473985B2 (en) * 2005-06-16 2009-01-06 International Business Machines Corporation Hybrid oriented substrates and crystal imprinting methods for forming such hybrid oriented substrates
US7432149B2 (en) * 2005-06-23 2008-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS on SOI substrates with hybrid crystal orientations
US7611937B2 (en) * 2005-06-24 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistors with hybrid crystal orientations
US7342287B2 (en) * 2005-07-19 2008-03-11 International Business Machines Corporation Power gating schemes in SOI circuits in hybrid SOI-epitaxial CMOS structures
US7978561B2 (en) * 2005-07-28 2011-07-12 Samsung Electronics Co., Ltd. Semiconductor memory devices having vertically-stacked transistors therein
US8530355B2 (en) 2005-12-23 2013-09-10 Infineon Technologies Ag Mixed orientation semiconductor device and method
US7790581B2 (en) * 2006-01-09 2010-09-07 International Business Machines Corporation Semiconductor substrate with multiple crystallographic orientations
US7492016B2 (en) * 2006-03-31 2009-02-17 International Business Machines Corporation Protection against charging damage in hybrid orientation transistors
US7396407B2 (en) * 2006-04-18 2008-07-08 International Business Machines Corporation Trench-edge-defect-free recrystallization by edge-angle-optimized solid phase epitaxy: method and applications to hybrid orientation substrates
US7385257B2 (en) * 2006-04-26 2008-06-10 International Business Machines Corporation Hybrid orientation SOI substrates, and method for forming the same
EP2264753A3 (en) * 2006-06-27 2011-04-20 STMicroelectronics S.r.l. Integrated device with both SOI insulation and junction insulation and manufacturing method
US20080124847A1 (en) * 2006-08-04 2008-05-29 Toshiba America Electronic Components, Inc. Reducing Crystal Defects from Hybrid Orientation Technology During Semiconductor Manufacture
US20080048269A1 (en) * 2006-08-25 2008-02-28 International Business Machines Corporation Method of fabricating structure for integrated circuit incorporating hybrid orientation technology and trench isolation regions
US7595232B2 (en) * 2006-09-07 2009-09-29 International Business Machines Corporation CMOS devices incorporating hybrid orientation technology (HOT) with embedded connectors
US7755140B2 (en) * 2006-11-03 2010-07-13 Intel Corporation Process charging and electrostatic damage protection in silicon-on-insulator technology
US7521776B2 (en) * 2006-12-29 2009-04-21 International Business Machines Corporation Soft error reduction of CMOS circuits on substrates with hybrid crystal orientation using buried recombination centers
US8003539B2 (en) * 2007-01-04 2011-08-23 Freescale Semiconductor, Inc. Integrated assist features for epitaxial growth
US20080169535A1 (en) * 2007-01-12 2008-07-17 International Business Machines Corporation Sub-lithographic faceting for mosfet performance enhancement
JP5016938B2 (ja) * 2007-02-06 2012-09-05 セイコーインスツル株式会社 半導体装置
US7608522B2 (en) * 2007-03-11 2009-10-27 United Microelectronics Corp. Method for fabricating a hybrid orientation substrate
US7575968B2 (en) * 2007-04-30 2009-08-18 Freescale Semiconductor, Inc. Inverse slope isolation and dual surface orientation integration
US7776679B2 (en) * 2007-07-20 2010-08-17 Stmicroelectronics Crolles 2 Sas Method for forming silicon wells of different crystallographic orientations
US8115254B2 (en) * 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US7696573B2 (en) * 2007-10-31 2010-04-13 International Business Machines Corporation Multiple crystallographic orientation semiconductor structures
US7795680B2 (en) * 2007-12-07 2010-09-14 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing selective epitaxial growth technology
US8211786B2 (en) * 2008-02-28 2012-07-03 International Business Machines Corporation CMOS structure including non-planar hybrid orientation substrate with planar gate electrodes and method for fabrication
JP2010067635A (ja) * 2008-09-08 2010-03-25 Imec 電子回路および電子回路の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8293616B2 (en) * 2009-02-24 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabrication of semiconductor devices with low capacitance
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8415743B2 (en) 2011-05-24 2013-04-09 International Business Machines Corporation ETSOI CMOS with back gates
US8552500B2 (en) * 2011-05-24 2013-10-08 International Business Machines Corporation Structure for CMOS ETSOI with multiple threshold voltages and active well bias capability
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8610172B2 (en) * 2011-12-15 2013-12-17 International Business Machines Corporation FETs with hybrid channel materials
CN106847805B (zh) * 2011-12-23 2020-08-21 英特尔公司 具有包含不同材料取向或组成的纳米线或半导体主体的共衬底半导体器件
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8815699B2 (en) * 2012-11-07 2014-08-26 Globalfoundries Inc. Fabrication of reverse shallow trench isolation structures with super-steep retrograde wells
US8963208B2 (en) * 2012-11-15 2015-02-24 GlobalFoundries, Inc. Semiconductor structure including a semiconductor-on-insulator region and a bulk region, and method for the formation thereof
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396931B2 (en) * 2013-08-01 2016-07-19 Qualcomm Incorporated Method of forming fins from different materials on a substrate
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9490161B2 (en) * 2014-04-29 2016-11-08 International Business Machines Corporation Channel SiGe devices with multiple threshold voltages on hybrid oriented substrates, and methods of manufacturing same
US10056293B2 (en) * 2014-07-18 2018-08-21 International Business Machines Corporation Techniques for creating a local interconnect using a SOI wafer
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9508799B2 (en) * 2014-08-26 2016-11-29 United Microelectronics Corp. Substrate of semiconductor device including epitaxial layer and silicon layer having same crystalline orientation
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US10818778B2 (en) * 2017-11-27 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Heterogeneous semiconductor device substrates with high quality epitaxy
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10811255B2 (en) * 2018-10-30 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243528A (ja) 2002-02-13 2003-08-29 Toshiba Corp 半導体装置
WO2004114400A1 (en) 2003-06-17 2004-12-29 International Business Machines Corporation High-performance cmos soi device on hybrid crystal-oriented substrates

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3276146B2 (ja) * 1990-03-30 2002-04-22 株式会社デンソー 半導体装置及びその製造方法
JPH04372166A (ja) * 1991-06-21 1992-12-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP3017860B2 (ja) * 1991-10-01 2000-03-13 株式会社東芝 半導体基体およびその製造方法とその半導体基体を用いた半導体装置
US6902962B2 (en) * 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
US7422956B2 (en) * 2004-12-08 2008-09-09 Advanced Micro Devices, Inc. Semiconductor device and method of making semiconductor device comprising multiple stacked hybrid orientation layers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243528A (ja) 2002-02-13 2003-08-29 Toshiba Corp 半導体装置
WO2004114400A1 (en) 2003-06-17 2004-12-29 International Business Machines Corporation High-performance cmos soi device on hybrid crystal-oriented substrates

Also Published As

Publication number Publication date
JP2008532330A (ja) 2008-08-14
TWI395295B (zh) 2013-05-01
DE602006012283D1 (de) 2010-04-01
CN101138081B (zh) 2011-03-02
CN101138081A (zh) 2008-03-05
TW200727404A (en) 2007-07-16
KR20070110072A (ko) 2007-11-15
JP4814304B2 (ja) 2011-11-16
EP1856731B1 (en) 2010-02-17
WO2006096380A1 (en) 2006-09-14
US6972478B1 (en) 2005-12-06
EP1856731A1 (en) 2007-11-21

Similar Documents

Publication Publication Date Title
KR101183273B1 (ko) 집적회로 및 그의 제조방법
US10269920B2 (en) Nanosheet transistors having thin and thick gate dielectric material
US9735160B2 (en) Method of co-integration of strained silicon and strained germanium in semiconductor devices including fin structures
US7435639B2 (en) Dual surface SOI by lateral epitaxial overgrowth
US8299546B2 (en) Semiconductor devices with vertical extensions for lateral scaling
US8617968B1 (en) Strained silicon and strained silicon germanium on insulator metal oxide semiconductor field effect transistors (MOSFETs)
US7410859B1 (en) Stressed MOS device and method for its fabrication
US7902008B2 (en) Methods for fabricating a stressed MOS device
US9373637B2 (en) Epitaxial semiconductor resistor with semiconductor structures on same substrate
US9240355B2 (en) On-chip diode with fully depleted semicondutor devices
US20150294984A1 (en) High voltage metal oxide semiconductor field effect transistor integrated into extremely thin semiconductor on insulator process
US20070072380A1 (en) Methods for fabrication of a stressed MOS device
US8895381B1 (en) Method of co-integration of strained-Si and relaxed Si or strained SiGe FETs on insulator with planar and non-planar architectures
US9059248B2 (en) Junction butting on SOI by raised epitaxial structure and method
US20060272574A1 (en) Methods for manufacturing integrated circuits
US11575003B2 (en) Creation of stress in the channel of a nanosheet transistor
WO2007035660A1 (en) Method to form a device on a soi substrate
US7312125B1 (en) Fully depleted strained semiconductor on insulator transistor and method of making the same
US8921908B2 (en) On-chip capacitors in combination with CMOS devices on extremely thin semiconductor on insulator (ETSOI) substrates
WO2023045953A1 (en) Dual strained semiconductor substrate and patterning
US20070045657A1 (en) Semiconductor substrate, semiconductor device, manufacturing method thereof, and method for designing semiconductor substrate

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150819

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160818

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee