KR100800613B1 - 웨이퍼 엔진 - Google Patents

웨이퍼 엔진 Download PDF

Info

Publication number
KR100800613B1
KR100800613B1 KR1020047003086A KR20047003086A KR100800613B1 KR 100800613 B1 KR100800613 B1 KR 100800613B1 KR 1020047003086 A KR1020047003086 A KR 1020047003086A KR 20047003086 A KR20047003086 A KR 20047003086A KR 100800613 B1 KR100800613 B1 KR 100800613B1
Authority
KR
South Korea
Prior art keywords
workpiece
wafer
engine
slider
axis
Prior art date
Application number
KR1020047003086A
Other languages
English (en)
Other versions
KR20040041157A (ko
Inventor
안소니 씨. 보노라
리차드 에이치. 고울드
로저 지. 하인
마이클 크로락
제리 스피즐
Original Assignee
어사이스트 테크놀로지스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어사이스트 테크놀로지스, 인코포레이티드 filed Critical 어사이스트 테크놀로지스, 인코포레이티드
Publication of KR20040041157A publication Critical patent/KR20040041157A/ko
Application granted granted Critical
Publication of KR100800613B1 publication Critical patent/KR100800613B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette

Abstract

본 발명은 웨이퍼를 이송하기 위한 웨이퍼 엔진에 관한 것이다. 웨이퍼 엔진은, 웨이퍼를 X축을 따라 이동시키기 위한 선형 구동부와, 웨이퍼를 θ축을 중심으로 회전시키기 위한 회전 구동부와, 웨리퍼를 Z축을 따라 이동시키기 위한 선형 구동부와, 웨이퍼를 반경방향 축을 따라 이동시키기 위한 선형 구동부를 포함한다. 웨이퍼를 Z축을 따라 이동시키기 위한 선형 구동부는 회전 구동부로부터 편심되어 있다. 회전 구동부가 θ축을 중심으로 회전할 때, Z축 구동부와 반경방향 축 구동부가 또한 θ축을 중심으로 회전하게 된다. 웨이퍼를 반경방향 축을 따라 이동시키기 위한 선형 구동부는 상부 및 하부 단부 작동체를 가지는 이중 또는 신속 교체 활주 몸체 메커니즘이다. 활주 몸체 메커니즘은 또한 웨이퍼를 정렬하는 수단을 가지고, 다양한 검사와 표시 공정을 수행한다.
웨이퍼, 웨이퍼 엔진

Description

웨이퍼 엔진{WAFER ENGINE}
<우선권 주장>
본 출원은 발명의 명칭이 "범용 모듈형 처리 인터페이스 시스템"인 2001년 8월 31일자로 미국 출원 제60/316,722호의 우선권을 주장하는 출원으로서, 상기 미국 출원의 내용은 본 명세서에 참고로 포함된다.
<관련 출원의 상호 참조>
발명의 명칭이 "반도체 재료 처리 시스템용 통합 프레임"인 2002년 3월 1일자 미국 특허 출원 제 10/087,638호.
발명의 명칭이 "반도체 재료 처리 시스템"인 2002년 3월 1일자 미국 특허 출원 제 10/087,092호.
본 발명은 웨이퍼 이송 시스템에 관한 것이다. 보다 상세하게는, 본 발명은 x-축, z-축 및 반경 방향 축을 따라 또한 θ-축을 중심으로 하여 웨이퍼를 이송시키기 위한 웨이퍼 엔진을 포함한다.
일반적으로, 표준 기계 인터페이스 포드(Standard Mechanical Interface Pod: 국소 청정 장치, 이하 SMIF 포드)는 웨이퍼가 저장되어 이송될 수 있는 밀폐 환경을 제공하도록 포드 셸과 짝을 이루는 포드 도어로 구성된다. 포드의 한 유형은 FOUP(10)으로도 지칭되는 전방 개방 통합 포드이며, 이 포드에서 포드 도어는 수직면에 위치되고 웨이퍼는 포드 셸 내에 장착된 카세트 또는 포드 셸 내에 장착된 두 개의 셸 중 어느 하나에서 지지된다.
SMIF 포드는 반도체 웨이퍼 제조 과정에서 웨이퍼 제조실 내의 여러 공구 사이에서 작업물을 운송하는 데 사용된다. 이들 공구는 웨이퍼 상에 집적 회로 패턴을 형성하는 처리 기계와, 웨이퍼를 시험하는 계측 공구와, 하나 이상의 SMIF 포드 내에서 웨이퍼를 분류하고 재배열하는 분류기와, SMIF 포드를 대규모로 저장하는 비축기를 포함한다. 이들 공구는 일반적으로 베이(bay) 구성 및 체이스(chase) 또는 볼룸(ballroom) 구성인 두 형상 중 어느 한 형상으로 웨이퍼 제조실에 배치된다. 베이 구성에서는 작업물 I/O 포트를 포함하는 공구의 전방만이 1등급 이상의 청정실 환경에서 유지된다. 볼룸 구성에서, 공구는 그 수행 작업에 따라 집단으로 배열되며, 이때 전체 공구가 1등급 이상의 청정실 환경에서 유지된다.
웨이퍼 제조실 내의 공구는 공구의 포드 사이에서 작업물(즉, 웨이퍼)의 이송을 용이하게 하고 점검하는 부품을 내장한 전방 단부 인터페이스를 포함한다. 종래의 전방 단부 유닛 또는 설비 전방 단부 모듈(EFEM)(20)이 도1 및 도2에 도시되어 있다. EFEM(20)은 일반적으로 공구 제작자에 의해 제조되어 웨이퍼 제조실에 장착된다.
EFEM(20)은 일반적으로 공구 전방에 고정된 하우징(22)과, 하우징 내에 장착되고 작업물 캐리어 공구 및 다른 전방 단부 부품 사이에서 작업물을 이송하기 위 해 x, r, Θ및 Z 동작을 할 수 있는 작업물 조작 로봇(24)을 포함한다. 일반적으로 로봇(24)에는 일단 EFEM(20)이 공구에 설치되어 부착될 때 로봇(24)의 평탄도를 조절하는 수평 나사가 장착된다.
로봇(24) 이외에도, EFEM(20)은 일반적으로 웨이퍼 중심 확인, 노치 배향 및 난이 표시 판독 작업을 수행하기 위한 하나 이상의 사전 정렬기(26)를 포함한다. 사전 정렬기(들)(26)는 일단 EFEM(20)이 공구에 설치되어 부착될 때 보통은 수평 나사가 사전 정렬기(들)의 평탄도를 조절하면서 하우징(22)에 볼트 체결된다.
EFEM(20)은 작업물 운반기를 수용하고, 캐리어를 개방하고, 캐리어와 다른 처리 기계 사이에서 작업물을 이송하는 로봇(24)에 작업물을 제공하는 하나 이상의 포트 조립체(28)를 추가로 포함한다. 300 ㎜ 웨이퍼 처리에 있어, 일반적으로 박스 오프너-로더 공구 표준 인터페이스(Box Opener-Loader Tool Standard Interface)(또는 "BOLTS" 인터페이스)로 지칭되는 수직 배향 프레임이 세미컨덕터 이큅먼트 앤드 머티리얼 인터내셔널(Semiconductor Equipment and Material International)("SMET")에서 개발되었다. BOLTS 인터페이스는 공구의 전방 단부에 부착되거나 그 일부로 형성되며, 공구에 부착될 로드 포트 조립체에 표준 장착 지점을 제공한다. 본 출원인에게 양도되고 그 전체가 본 명세서에서 인용에 의해 합체된 발명의 명칭이 "틸드 및 고 로드 포트 인터페이스 정렬 시스템(Tilt and Go Load Interface Alignment System)"인 미국 특허 제6,138,721호는 BOLTS 인터페이스에 인접한 적정 위치에 로드 포트 조립체를 조절한 후 인터페이스에 로드 포트 조립체를 부착하는 시스템을 개시한다.
일단 로봇(24), 사전 정렬기(26) 및 로드 포트 조립체(28)가 하우징(22)에 장착되면, EFEM(20)은 웨이퍼 제조실에 설치되어 웨이퍼 제조실 내의 공구에 부착된다. 공구에 적절히 고정된 후, EFEM 부품은 수평 나사를 거쳐 하우징(22) 내에서 수평 조절되며, 로봇(24)은 로드 포트 조립체, 사전 정렬기 및 공구 사이에서의 작업물 이송에 필요한 입수 및 하강 위치를 교수받는다. 공구 전방 단부 내의 로봇에 대해 다양한 입수 및 하강 위치를 교수하기 위한 시스템이 본 출원인에게 양도되고 그 전체가 본 명세서에서 인용에 의해 합체된 발명의 명칭이 "자기 교수 로봇(Self Teaching Robot)"인 미국 특허 출원 제09/729,463호에 개시되어 있다. 로봇 위치가 일단 교수되면, 측면 패널들이 하우징(22)에 부착되어서 주변 환경으로부터 하우징을 사실상 밀봉한다.
예컨대, 종래의 EFEM은 조립 하우징 내에 장착된 여러 개의 분리되고 독립된 작업물 조작 부품을 포함한다. 하우징(22)은 프레임에 부착된 복수개의 패널에서 서로 볼트 체결되거나 설치되거나 용접된 구조용 프레임을 포함한다. 하우징(22)이 조립된 후, EFEM 부품은 여러 개의 패널에 고정된다. 종래의 EFEM에서는 전체 시스템 공차가 각각의 프레임 부재, 패널 및 부품 연결부에 의해 섞여 있다는 단점을 갖는다. 그 결과, 조립된 EFEM 부품들은 정렬이 불량하며 서로에 대해 적정 위치로 조절되어야만 한다. 로봇(24)은 EFEM 부품들이 서로 상호 작용할 수 있도록 부품들의 상대 위치를 교수받아야만 한다. 이런 정렬 및 교수 절차는 하나 이상의 EFEM 부품에 대해 조절이 있을 때마다 이루어져야 한다.
종래 기술의 또다른 단점은 EFEM 부품은 각 부품이 그 자체의 제어기와 통신 프로토콜을 갖고 주로 공급자를 달리하여 제조된다는 점이다. EFEM의 조립시에는 각 부품의 제어기가 서로 통신할 수 있고 부품이 상호 작용할 수 있도록 하는 단계들이 고려되어야만 한다. 별개의 제어기들도 보수를 어렵게 하며 EFEM에 마련된 부품들과 전기 접속부에 첨가된다. 또한, 특히 볼룸 구성에서, 종래의 EFEM은 공간이 크게 필요한 1등급 청정실 내에서 많은 공간을 차지한다.
오늘날, 300 ㎜ 반도체 EFEM은 SEMI(반도체 제조 장비 안전 지침) E15.1에 따르는 로드 포트 모듈을 포함하는 여러 개의 주요 서브 시스템으로 구성된다(통상 공구당 2 내지 4). 예컨대, EFEM은 웨이퍼 조작 로봇 및 구조용 강재 프레임에 장착된 팬 필터 유닛으로 구성될 수 있으며, 로드 포트 및 처리 기계 사이의 웨이퍼 조작 영역을 에워싸는 패널을 갖는다. 이들 부품을 조합함으로써 FOUP(10) 내외로 그리고 FOUP(10) 및 처리 기계 웨이퍼 도크(들) 사이에서 웨이퍼를 이송하는 수단이 마련된다. FOUP(10)은 조작자가 수동으로 장전하거나, 로드 포트 내외로 전달되는 자동 재료 조작 시스템(Automated Material Handling System, 이하 AMHS)을 거쳐 자동 장전된다. 산업 표준은 여러 공급자가 하나의 시스템으로서 합체될 로드 포트, FOUP(10) 또는 그 밖의 EFEM 부품을 제공할 수 있도록 하기 위해 만들어졌다.
로드 포트 부품은 EFEM 내의 웨이퍼 조작 로봇과 AMHS 사이에 표준 인터페이스를 제공한다. 이는 FOUP(10)을 설치하는 표준화된 위치를 제공하고, 전방면을 밀봉하도록 FOUP(10)을 도킹시키고, FOUP(10) 내의 웨이퍼에 대한 접근을 허용하도록 도어를 개폐한다. 이 유닛의 치수는 모두 SEMI E15.1에 명세되어 있다.
로드 포트는 SEMI E-63에 의해 한정된 볼트 인터페이스를 거쳐 전방 단부에 부착된다. 이 표준은 로드 포트가 부착될 표면 및 장착 구멍을 한정한다. 이는 제조실 바닥에서 시작하도록 한정되며 높이가 바닥으로부터 1386 ㎜에 이르고 로드 포트당 폭이 약 505 ㎜이다. 결국, 로드 포트는 제조실의 조작자 통로로부터 처리 기계를 완전히 차단한다. SEMI E-63은 또한 다양한 로봇 제작자와의 호환성을 보장하기 위해 공구측 상의 로드 포트 치수를 한정한다.
로드 포트의 주요 기능은 FOUP(10)으로부터 FOUP(10)을 수용하고 제조실 AMHS으로의 FOUP(10)으로 제공하는 것과, 포트 시일면(도킹/언도킹)쪽으로 그리고 포트 시일면으로부터 FOUP(10)을 이동하는 것과, FOUP 도어를 개폐하는 것을 포함한다. 또한, 로드 포트는 진행판에 FOUP(10)을 로킹하는 것과 같은 기능을 수행해야만 하며, FOUP 도어를 로크 및 로크 해제해야만 하며, 다양한 로트 아이디(lot ID) 및 통신 기능을 수행해야만 한다. SEMI E-15.1에 의하여, 이들 모든 기능은 통상적으로 완전 유닛으로서 공구 전방 단부에 추가되거나 이로부터 제거되는 단일한 모노리식 조립체 내에 수용된다.
로드 포트는 웨이퍼 로봇에 대해 정밀하게 정렬되어야만 한다. 시스템에 여러 개의 로드 포트가 있다면, 이들 로드 포트는 모두 평행면 수준으로 웨이퍼를 제공해야만 한다. 통상적으로, 로드 포트는 FOUP(10) 내의 웨이퍼를 평탄화하기 위해 여러 개의 조절구를 로봇에 마련한다. 각각의 FOUP(10) 내에서 25 군데의 웨이퍼 위치 각각에 대해 로봇을 교정하기 위해 소요되는 시간을 최소화하기 위해, 특수화된 공구와 정렬 고정구가 모든 조절구들과 함께 사용된다. 로드 포트가 새로 운 것으로 교체되는 경우, 교정 절차는 상당히 번거로울 수 있다.
웨이퍼 위치에 로봇을 정렬하는 것 이외에도, 도어 기구도 또한 도어 개방 및 도어 시일 프레임과 정렬되어야 한다. 또한, 이는 통상적으로 공구 전방 단부나 오프 라인 중 어느 하나 상에서 정렬 고정구 및 공구를 사용해서 수행된다.
로봇은 또한 하나 이상의 공구 하강 지점과 수평 조절되어 정렬되어야 한다. 이는 통상적으로 로봇에게 위치를 교수시키고 전방 단부나 공구 중 어느 하나 상에서 평탄화 조절을 함으로써 수동으로 수행된다.
공구, 로봇 및 FOUP(10) 사이의 이런 모든 관계가 조합됨으로써 공구 전방 단부 설정은 시간 소모적으로 된다. 모든 부품은 통상적으로 정밀도가 비교적 낮은 프레임에 부착되며 조절구는 이를 보정하기 위해 사용된다. 로드 포트는 전방면에 장착되며, 로봇은 기부에 장착되며, 팬/필터 유닛(FFU)은 상부에 장착되며, 모든 그 밖의 개방면 상의 외피들은 국소 청정실(mini-environment enclosure)을 완성하도록 장착된다.
부품 사이의 조절을 최소화하고 로드 포트를 정렬하는 데 필요한 전체 시간을 줄이는 것이 유리할 것이다. 본 발명은 이런 장점을 제공하고자 한다.
본 발명의 일 태양은 많은 중요한 EFEM 부품을 서로 정밀하게 구속하는 통합 구조물 또는 프레임을 제공하는 것이다. 일 실시예에서, 프레임은 내부 및 외부 EFEM 부품을 정렬하기 위한 단일 기준으로서 작용한다. 다른 실시예에서, 내부 및 외부 EFEM 부품은 프레임의 각각의 수직 지주에 관련해서 정렬된다.
본 발명의 다른 태양은 규모가 확장 가능한 통합 구조물 또는 프레임을 제공한다. 일 실시예에서, 통합 구조물은 상부 및 하부 지지 부재에 고정된 수직 지주를 포함한다. 수직 지주의 수와 상부 및 하부 지지 부재의 길이는 EFEM 내의 I/O 포트의 수에 따른다. 마찬가지로, 수직 지주 및 지지 부재의 크기와 간격은 200 ㎜ 웨이퍼, 300 ㎜ 웨이퍼 및 400 ㎜ 웨이퍼를 수용하도록 변경될 수 있다.
본 발명의 다른 태양은 전방 로드 부품을 서로에 대해 정확하고 정밀하게 위치시키는 것이다. 양호하게는, 이런 교정 절차는 최소수의 조절구를 사용해서 달성된다. 일 실시예에서, 모든 내부 및 외부 EFEM 부품은 이들이 공통 기준점을 공유하도록 통합 프레임에 정밀하게 구속된다.
본 발명의 다른 태양은 많은 내부 EFEM 부품으로부터 포트 도어/캐리어 도어 조립체를 격리시키고 고립시키는 통합 프레임을 제공하는 것이다. 일 실시예에서, 포트 도어/캐리어 도어 조립체는 국소 청정실 내에 위치된 별도의 공기 유동/저장 영역 내로 하강된다. 저장 영역은 예컨대 웨이퍼 조작 로봇에 의해 형성된 입자들이 조립체를 오염시키지 못하도록 한다.
본 발명의 다른 태양은 EFEM 부품의 내부에 접근하기 위해 EFEM으로부터 용이하게 제거될 수 있는 웨이퍼 캐리어 도킹/인터페이스 판을 제공하는 것이다. 본 발명의 일 실시예에서, 제거 가능한 판은 사용자가 국소 청정실 내에서 발생하는 모든 문제/오작동을 관찰할 수 있도록 투명재로 제조된다.
본 발명의 다른 태양은 EFEM의 규모를 감소하는 것이다. 일 실시예에서, EFEM은 롤링 스탠드에 의해 지지됨으로써, EFEM의 바닥면은 웨이퍼 제조실의 바닥 으로부터 상승된다. 웨이퍼 제조실 바닥과 EFEM 사이의 영역은 처리 기계에 대한 보수 접근 포트 또는 보조 구획실을 배치하기 위한 영역으로서 작용할 수 있다.
본 발명의 다른 태양은 웨이퍼를 이송하기 위한 웨이퍼 엔진을 제공하는 것이다. 일 실시예에서, 웨이퍼 엔진은 많은 검사와 표시와 계측 기능을 수행할 수 있음으로써, 별도의 처리부에 대한 필요성을 없앤다.
본 발명의 다른 태양은 저감된 EFEM 규모 내에서 웨이퍼를 이송할 수 있는 웨이퍼 엔진을 제공하는 것이다. 일 실시예에서, 웨이퍼 엔진은 x-축을 따라 웨이퍼는 이동시키기 위한 선형 구동부와, z-축을 따라 웨이퍼는 이동시키기 위한 수직 구동부와, 반경 방향 축을 따라 웨이퍼는 이동시키기 위한 반경 방향 구동부와, θ축을 따라 수직 및 반경 방향 구동부를 회전시키기 위한 회전 구동부를 포함한다.
본 발명의 다른 태양은 웨이퍼 엔진 상의 다양한 입자 생성 기구에 대한 국부 여과를 제공하는 것이다. 일 실시예에서, 팬/필터 유닛이 반경 방향 구동부에 의해 생성되는 입자들을 포획하기 위해 반경 방향 구동부에 장착된다. 다른 실시예에서, 배기 시스템이 수직 구동부에 의해 생성된 모든 입자들을 포획하기 위해 수직 구동부를 통과하는 공기 유동을 생성한다. 이들 국부화된 팬/필터 유닛은 입자들을 "오염 공기" 환경으로 배기함으로써 또는 공기가 다시 "청정 공기" 환경 내로 배기되기 전에 공기를 우선 여과함으로써 웨이퍼 엔진에 의해 생성되는 입자들을 제어하는 시도를 한다.
본 발명의 다른 태양은 이중 교체 및 비행중 정렬 능력을 갖는 웨이퍼 엔진을 제공하는 것이다. 일 실시예에서, 웨이퍼 엔진은 두 개의 웨이퍼를 동시에 저 장하고 이송하기 위해 신속 교체 반경 방향 구동부 또는 버퍼 능력을 갖는다. 다른 실시예에서, 상단 작동체(effector)는 제1 웨이퍼를 회전시키고 정렬하지만 제2 웨이퍼는 하단 작동체에 의해 저장되고 그리고/또는 운반된다.
본 발명의 다른 태양은 제거 가능한/교체 가능한 활주체 기구를 갖는 웨이퍼 엔진을 제공한다. 일 실시예에서, 활주체 기구는 OCR 판독기, 정렬기, ID 판독기 또는 계측 공구와 같은 통합 처리 기계를 포함한다. 제거 가능한 활주체 기구는 웨이퍼 제조실이 동일 웨이퍼 엔진을 완전 통합함으로써 활주체 기구만이 각각의 개별 처리 단계에 따라 만들어져야만 한다.
본 발명의 다른 태양은 θ구동부 위에 위치된 수직 구동부를 갖는 웨이퍼 엔진을 제공하는 것이다. 이런 수직 구동부는 사실상 FOUP(10) 내에 위치되며 웨이퍼 엔진의 규모를 최소화한다.
본 발명은 이들 모든 장점을 제공한다.
도1은 종래 기술에 따르는 종래의 전방 단부 조립체의 사시도이다.
도2는 도1에 도시된 전방 단부 조립체의 평면도이다.
도3은 종래 기술에 따르는 종래의 전방 단부 조립체의 측면도이다.
도4는 본 발명에 따르는 척추 구조물의 일 실시예의 사시도이다.
도5는 도4에 도시된 척추 구조물의 부분 분해도이다.
도6은 본 발명에 따른 FOUP 도킹 인터페이스의 일 실시예의 사시도이다.
도7은 본 발명에 따른 척추 구조물 및 전방 단부 로드 부품의 일 실시예의 부분 분해 사시도이다.
도8은 본 발명에 따른 척추 구조물에 장착된 웨이퍼 엔진의 일 실시예의 사시도이다.
도9는 본 발명에 따른 척추 구조물에 장착된 웨이퍼 엔진 구동 레일의 일 실시예의 사시도이다.
도10은 본 발명에 따른 전방 단부 로드 인터페이스의 일 실시예의 측면도이다.
도11은 본 발명에 따른 집적 국소 청정실 및 구조물의 다른 실시예의 부분 분해도이다.
도12는 도11에 도시된 집적 국소 청정실 및 구조물의 측면도이다.
도13은 본 발명에 따른 중추부 구조물의 일 실시예의의 부분 사시도이다.
도14는 본 발명에 따른 집적 국소 청정실 및 구조물의 또 다른 실시예의 사시도이다.
도15는 도14에 도시된 집적 국소 청정실 및 구조물의 단부도이다.
도16은 도15에 도시된 집적 국소 청정실 및 구조물의 통합 프레임의 일 실시예를 도시한 부분 분해도이다.
도17A 및 도17B에서 도17A는 각각 종래 기술에 따른 종래의 웨이퍼 조작 로봇의 일 실시예의 평면도이고 도17B는 단부 작동체가 확장된 도17A에 도시된 웨이퍼 조작 로봇의 평면도이다.
도18은 본 발명에 따른 신속 교체 웨이퍼 엔진의 일 실시예의 사시도이다.
도19는 구동 기구의 여러 부품과 수직 열 및 활주체 기구를 도시한 도18에 도시된 웨이퍼 엔진의 사시도이다.
도20은 본 발명에 따른 웨이퍼 엔진의 다른 실시예의 사시도이다.
도21은 팬/필터 유닛에 의해 생성된 공기 유동을 도시한 도18에 도시된 웨이퍼 엔진의 사시도이다.
도22A 내지 도22D에서 도22A는 본 발명에 따르는 활주체 기구 상에서 휠 장착 정렬기 및 ID 판독기가 설치된 웨이퍼 엔진의 다른 실시예의 사시도이고, 도22B는 도22A에 도시된 웨이퍼 엔진의 평면도이고, 도22C는 도22A에 도시된 웨이퍼 엔진의 측면도이고, 도22D는 도22A에 도시된 웨이퍼 엔진의 배면도이다.
도23은 도22A에 도시된 상단 작동체의 일 실시예의 사시도이다.
도24A 내지 도24C에서 도24A는 패드에 의해 지지되는 웨이퍼를 도시하는 휠 장착 단부 작동체 정렬기의 일 실시예의 절개도이고, 도24B는 바퀴에 의해 지지되고 패드에서 들어올려진 웨이퍼를 도시한 도24A의 휠 장착 단부 작동체 정렬기의 절개도이고, 도24C는 바퀴에 의해 해제되고 패드 상에 다시 안착된 웨이퍼를 도시한 도24A에 도시된 휠 장착 단부 작동체 정렬기의 절개도이다.
도25는 본 발명에 따른 웨이퍼 엔진의 다른 실시예의 사시도이다.
도26A 및 도26B에서 도26A는 반경 방향 구동부의 다른 실시예의 사시도이고 도26B는 반경 방향 구동부의 또 다른 실시예의 사시도이다.
도27A 및 도27B에서 도27A는 본 발명에 따른 웨이퍼 엔진의 도달 거리 및 선회 유격 이익을 도시한 평면도이고 도27B는 요구되는 최소 유격 및 최소 도달 거리 를 도시한 종래의 선형 활주 로봇의 평면도이다.
도28은 본 발명에 따른 중심 회전축에서 벗어난 신속 교체 활주체에 대한 동작 순서의 일 예를 도시한다.
도29A 내지 도29D에서 도29A는 본 발명에 따른 전방 단부 로드 인터페이스의 일 실시예의 사시도이고, 도29B는 도29A에 도시된 일체형 시스템의 정면도이고, 도29C는 도29A에 도시된 전방 단부 로드 인터페이스의 일 실시예의 측면도이고, 도29D는 도29A에 도시된 전방 단부 로드 인터페이스의 일 실시예의 평면도이다.
도30A 및 도30B에서 도30A는 처리 기계에 장착된 일체형 시스템의 일 실시예의 사시도이고 도30B는 도30A에 도시된 일체형 시스템의 측면도이다.
도31은 일체형 시스템이 자동 재료 조작 시스템(AMHS) 버퍼링을 위한 공간을 어떻게 해소하는가를 도시한 도30A 및 도30B에 도시된 일체형 시스템의 측면도이다.
도32는 웨이퍼 엔진의 다른 실시예에 대한 사시도이다.
이하, 웨이퍼 이송 시스템과 관련해서 도4 내지 도31을 참조로 본 발명을 설명하기로 한다. 본 발명의 양호한 실시예는 300 ㎜ 반도체 웨이퍼 제조에 사용된다. 본 발명은, 예컨대 레티클(reticle), 평판 디스플레이 및 자기 저장 디스크와 같은 반도체 웨이퍼 이외의 작업물의 제조시에도 사용될 수 있다. 본 발명은, 예컨대 200 ㎜ 및 150 ㎜와 같이 300 ㎜보다 크거나 작은 작업물 제조에도 사용될 수 있다. 또한, 본 발명은 양호하게는 FOUP 시스템 내에서 작동하지만, 본 발명은 개 방형 웨이퍼 카세트 시스템을 비롯한 그 밖의 작업물 이송 시스템과도 작동될 수 있다.
통합 척추 구조물
척추 구조물(100)은 단일한 통합 프레임 또는 구조물이 EFEM에 대한 하부 기초로서 작용할 수 있다는 생각에 기초한다. 이 기초는 시스템의 비용을 절감하기 위해 유사한 양식으로 반복 제조될 수 있으며 EFEM 부품이 정렬을 단순화하기 위해 프레임에 장착시킨다. 구조물 또는 프레임(100)은 전방 단부 로드 공구에 요구되는 공간의 양을 최소화한다. 프레임 또는 구조물은 또한 정렬 시간을 최소화하고 필요한 보수 절차 및/또는 서비스를 위해 전방 단부 공구 내측에 위치된 부품에 대한 접근을 크게 단순화한다.
도4 및 도5는 통합 척추 구조물(100)의 양호한 실시예를 도시한다. 척추 구조물(100)은 상부 채널 또는 지지 부재(102) 및 하부 채널 또는 지지 부재(106)에 의해 서로 연결된 복수개의 수직 지주(102)를 포함한다. 각각의 수직 지주(102)는 내향면(108) 및 외향면(110)을 갖는다. 도4 내지 도10에 도시된 바와 같이, 각각의 수직 지주(102)는 양호하게는 사실상 직사각형 단면을 갖는다. 직사각형 단면은 양호하게는 각각의 수직 지주(102)의 외향면(110)이 수직 지주(102)에 장착된 모든 EFEM 부품과 시일을 형성한다. 각각의 수직 지주(102)의 직사각형 단면은 또한 상부 지지 부재(104) 및 하부 지지 부재(106)가 각각의 수직 지주(102)에 고정될 때 이들이 내향면(108) 및 외향면(110)과 접하도록 한다. 수직 지주(102)가 다음에 제한되지는 않지만 원형이나 타원형과 같이 그 밖의 단면 형상을 갖는 것은 본 발명의 범위와 정신에 속한다.
양호한 실시예에서, 척추 구조물(100)은 정밀도가 요구되는 몇몇 기계 부품과 함께 주로 박판금 부품으로 구성된다. 박판금은 이런 제조 기술의 여러 태양으로부터 얻어질 수 있는 정밀도를 이용하는 방식으로 구성된다. 예컨대, "U"자 형상을 형성하는 상부 지지 부재(104) 및 하부 지지 부재(106)에서의 긴 절곡부는 수직 지주(102)를 정렬하기 위해 아주 곧게 뻗은 기준을 제공한다. 양호한 실시예에서, 구멍(120, 122)은 각각의 수직 지주(102)와 상부 및 하부 채널(104, 106) 사이의 구멍 대 구멍 정렬을 추가로 보장하기 위해 상부 및 하부 채널(104, 106)에 펀칭된다.
박판금 부품은 또한 구조용 지지부 뿐 아니라 시스템에 대한 (후술하는) 외피 또는 장착면의 기능을 제공한다. 현행 EFEM 시스템에서, 박판금은 일반적으로 외장 마무리 및 격납만을 제공하는 비구조용 패널이 될 뿐이다. 박판금을 여러 개의 구조용 부품으로 합체함으로써, EFEM의 재료비는 크게 절감될 수 있다.
상부 지지 부재(104)는 각각의 수직 지주(102)의 상부(114)에 고정되는 반면, 하부 지지 부재(106)는 각각의 수직 지주(102)의 바닥부(112)에 고정된다. 따라서, 척추 구조물(100)은 전방 단부 로드 시스템을 축조하기 위해 비틀림 및 휨 모두를 받는 아주 곧게 뻗은 강성 구조를 제공한다. 양호한 실시예에서, 상부 지지 부재(104) 및 하부 지지 부재(106)는 단편 박판금으로부터 제조된다. 상부 지지 부재(104)를 형성하기 위해 박판금의 절곡부는 각각의 수직 지주(102)의 상부(114)의 폭에 의해 결정되어서, "U"자 형상의 상부 지지 부재(104)의 폭은 각 수직 지주(102)의 상부(114)의 폭과 사실상 유사하다. 마찬가지로, 하부의 "U"자 형상 지지 부재(106)의 폭은 양호하게는 각 수직 지주(102)의 바닥부(112)의 폭과 사실상 유사하다. 각 지주 부재(104, 106)는 각 수직 지주(102)의 내향면(108) 및 외향면(110)과 접하게 되어 있다.
양호한 실시예에서, 각 수직 지주(102)의 하부(112)는 각 수직 지주(102)의 상부(114)보다 넓다. 도4 및 도5에 잘 도시된 바와 같이, 척추 구조물(100)은 각각의 수직 지주(102)가 서로에 대해 사실상 평행하도록 각 수직 지주(102)를 수직 배향되게 정렬한다. 각 지주(102)는 양호하게는, SEMI E-15.1에 의한 인접 로드 포트에 대해 최소로 허용되는 공간인 중심에서 505 ㎜ 이격된다. 수직 지주(102)가 다양한 또는 동일하지 않은 거리로 이격되는 것도 본 발명의 범위와 정신에 속한다.
비틀림 방향 및 측방향 모두에 강성 구조를 제공하기 위해, 각각의 수직 지주(102)는 상부 지지 부재(104) 및 하부 지지 부재(106) 모두에 고정된다. 각각의 수직 지주(102)는 도4에 도시된 바와 같이 상부 지지 부재(104)와 하부 지지 부재(106) 사이에 위치된다. 상술한 바와 같이, 각각의 수직 지주(102)는 상부 지지 부재(104) 및 하부 지지 부재(106)의 장착 구멍(120, 122)과 정렬된다. 단지 예로서, 각각의 수직 지주(102)는 [예컨대 장착 구멍(120)을 거쳐] 수직 지주(102)의 상부(114)에 고정된 볼트 또는 핀과, 전방면(110) 또는 후방면(108)에 고정된 적어도 하나의 볼트나 핀에 의해 상부 지지 부재(104)에 고정된다. 각각의 수직 지주(102)는 또한 하부 지지 부재(106)에 고정되어야만 한다. 단지 예로서, 볼트 나 핀이 [예컨대 장착 구멍(122)을 거쳐] 각각의 수직 지주(102)의 바닥부(112)에 고정되거나, 적어도 하나의 볼트나 핀이 전방면(110) 및 후방면(108) 모두에 고정된다.
상부 지지 부재(104) 및 하부 지지 부재(106)의 "U"자 형상의 구성은 또한 각각의 수직 지주(102)가 제자리에서 회전하는 것을 방지한다. 비록 도4 및 도5에 도시된 바와 같은 상부 채널(104) 및 하부 채널(106)은 단편 박판금으로 제조되지만, 상부 지지 부재(104) 및 하부 지지 부재(106)를 다중편 재료로 제조하는 것은 본 발명의 범위와 정신에 속한다. 양호한 실시예에서, 도5에 잘 도시된 바와 같이, 상부 지지 부재(104) 및 하부 지지 부재(106)는 천공면을 갖는다. 상부 지지 부재(104) 및 하부 지지 부재(106)의 천공면은 팬/필터 유닛(FFU)(150)에서 나온 공기가 유동하도록 한다(도10 참조).
하부 지지 부재(106)가 수직 지주(102)에 고정되면, 하부 지지 부재는 다양한 EFEM 부품이 장착될 수 있는 전방 장착면(118) 및 후방 장착면(116)을 형성한다(도6 내지 도10). 일반적으로, 척추 구조물(100)은 적어도 세 개의 평행하고 공직선형 장착면인 상부(112)의 전방면(110)과 전방 장착면(118)과 후방 장착면(116)을 형성한다. 후술하는 바와 같이, EFEM 부품은 이들 세 표면 중 하나의 표면에 장착된다. 이들 세 개의 표면은 그들 사이에 알려진 공간 관계를 가지므로, 이들 표면에 장착된 부품은 최소의 정렬구를 사용하여 또는 정렬구를 전혀 사용하지 않고 정렬될 수 있다.
하부 지지 부재(106)는 또한 전방 장착면(118) 및 후방 장착면(116) 사이에 위치된 공기 유동 영역(121)을 형성한다. 공기 유동 영역(121)은 포트 도어 개구로부터 안내되어 공기 유동 영역(121) 내로 하강된 FOUP 도어 개폐 모듈(139)을 수용하도록 설계된다.
웨이퍼 엔진(300)이 작동하는 내부 영역에서 FOUP 도어 개폐 모듈(139)을 고립하는 것은 많은 장점을 갖는다. 예컨대, FFU(150)에 의해 생성된 단일 공기 유동은 FOUP 도어 개폐 모듈(139)로 향하지만, 두 번째의 별도의 공기류는 웨이퍼 엔진 영역으로 향한다. 두 개의 고립된 공기 유동은 단일 공기 유동이 웨이퍼 엔진 영역 및 FOUP 도어 개폐 모듈(139) 모두에 대해 선회하는 경우보다 FOUP/포트 도어 조립체(139)에 대해 더 청정한 환경을 제공한다. 웨이퍼 엔진(300) 및 FOUP 조립체(130) 모두에 대해 단일 공기 유동 경로만이 있다면, 웨이퍼 엔진(300)에 의해 형성된 입자들은 FOUP/포드 도어 조립체(139)를 오염시킬 것이다.
하부 지지 부재(106)의 후방 장착면(116)도 FOUP 도어 개폐 모듈(139) 및 웨이퍼 엔진 영역 사이의 보호 장벽으로 작동한다. 후방 장착면(116)은 웨이퍼 엔진(300)에 의해 생성된 입자들이 FOUP 도어 개폐 모듈(139)을 저장하는 공기 유동 영역(121)으로 진입하는 것을 방지한다. 후방 장착면(116)은 또한 웨이퍼 엔진(300)이 FOUP 도어 개폐 모듈(139)을 오염시키지 않으면서도 웨이퍼 면 아래의 입자들을 포함하는 "오염" 공기를 배출하는 국부 여과 및 배기 시스템을 갖도록 한다(후술함).
도4 및 도5에 도시된 척추 구조물(100)은 네 개의 FOUP I/O 포트 EFEM으로 구성된다. I/O 포트의 수를 임의로 하는 것은 본 발명의 정신과 범위에 속한다. 또한, EFEM은 웨이퍼가 운반되면서 통과하는 각각의 I/O 포트 사이에 위치된 공간 또는 블랭크 I/O 포트를 포함할 수 있다. 상술한 바와 같이, 척추 구조물(100)은 확장 가능하다. 수직 지주(102)의 수와 상부 지지 부재(104) 및 하부 지지 부재(106)의 길이는 EFEM에 요구되는 I/O 포트 구성과 일치하도록 변경될 수 있다.
각각의 수직 지주(102)는 또한 측면 내로 가공된 캠 안내부(124)를 갖는다. 캠(124)은 FOUP 도어 개폐 모듈(139)을 FOUP(10)로부터 후방으로 안내하고 이어서 공기 유동 영역(121) 내로 하향 안내하는 트랙이나 채널로 작동한다. 포트/포드 도어 조립체(139)의 이동은 처리부 내에 위치된 모터 조립체(도시 안됨)에 의해 제어될 수 있다. 이런 모터 조립체는 기술 분야에서 공지되어 있으므로 추가로 설명할 필요는 없다. FOUP 도어(12) 및 포트 도어(140)를 저장 영역(121) 내로 기계적으로 안내해서 이동시키는 것은 본 발명의 정신 및 범위에 속한다.
도6 및 도7에 도시된 FOUP 도킹 인터페이스는 척추 구조물(100)에 장착된 여러 개의 EFEM 부품을 도시한다. 단지 예로서, 부품은 웨이퍼 엔진 또는 로봇(300)과, FOUP 지지 조립체(130)와, FOUP 도킹/고립판(138)과, 포트 도어(140)를 포함할 수 있다. FOUP 지지 조립체(130)는 FOUP 진행 지지부(132)와, FOUP 진행 모듈(133)과, FOUP 지지판(134)을 포함한다.
FOUP(10)으로부터 국소 청정실(도10 참조, "1등급 영역") 내로 작업물을 이송하기 위해, FOUP(10)은 FOUP 도어가 로드 포트 도어(140)와 대면하도록 포트 진행판(134) 상으로 수동 또는 자동 장전된다. 종래의 로드 포트 도어(140)는 FOUP 도어 내에 장착된 도어 래치 조립체의 대응하는 한 쌍의 슬롯에 수용되는 한 쌍의 래치 키이를 포함한다. 이런 래치 키이와의 작동을 수용하도록 제조된 FOUP 도어 내의 도어 래치의 일 예는 본 발명의 소유자에게 양도되고 그 발명이 전체적으로 본 명세서에서 인용에 의해 합체된 로센퀴스트(Rosenquiest) 등의 발명의 명칭이 "웨이퍼 조사 시스템(Wafer Mapping System)"인 미국 특허 제6,188,323호에 개시된다. FOUP 셸로부터 FOUP 도어를 분리하는 것 이외에, 래치 키이를 회전하면 래치 키이는 각각의 FOUP 도어 슬롯 내로 로크된다. 통상적으로 두 개의 래치 키이 및 슬롯 쌍이 있으며, 각각의 쌍은 서로 구조 면에서 그리고 작동 면에서 동일하다.
포드 진행판(134)은 통상적으로 진행판(134) 상에 FOUP(10)의 바닥면의 고정되고 반복 가능한 위치를 한정하기 위해 FOUP(10)의 바닥면 상의 대응하는 슬롯 내에서 맞물리는 세 개의 운동 핀(135) 또는 다소의 다른 정합 특징부를 포함한다. 일단 FOUP(10)가 포드 진행판(134) 상에서 검출되면, FOUP(10)은 FOUP 도어가 포트 도어(104)와 접촉해서 놓이거나 이에 인접할 때까지 포트 도어(140)쪽으로 진행된다. 미립자를 포획하고 FOUP 도어 키이 슬롯에 포트 도어 래치 키이를 밀접하게 끼울 수 있도록 하기 위해 각 도어의 전방면을 서로 접촉시키는 것이 바람직하다. 로센퀴스트 등의 발명의 명칭이 "포드 도어 대 포트 도어 보유 시스템(POD DOOR TO PORT DOOR RETENTION SYSTEM)"인 미국 특허 출원 제09/115,414호와, 포스나이트(Forsnight) 등의 발명의 명칭이 "포드 대 포트 도어 보유 및 배기 시스템(POD TO PORT DOOR RETENTION AND EVACUATION SYSTEM)"인 미국 특허 출원 제09/130,254호에서는 FOUP(10) 및 포트 도어 사이에 밀접하고 청정한 인터페이스를 보장하는 시스템을 개시한다. 이들 출원은 모두 본 발명의 소유자에게 양도되 고 그 발명이 전체적으로 본 명세서에서 인용에 의해 합체된다.
일단 FOUP(10) 및 포트 도어가 결합되면, EFEM 내의 선형 및/또는 회전형 구동부는 FOUP(10) 및 포트 도어를 함께 EFEM 내부로 이동시키고, 이어서 작업물이 웨이퍼 엔진(300)에 접근 가능하도록 로드 포트 개구로부터 벗어나게 이동시킨다. 도10에 도시된 바와 같이, 포트 도어(140)는 FOUP 도어에 고정되며 제어기는 각각의 수직 지주(102)에 위치된 캠(124)을 따라 캐리어 및 포트 도어를 이송하도록 활주부를 가동시킨다. 캠(124)은 상호 연동된 캐리어 및 포트 도어를 하부 지지 부재(106)의 공기 유동 영역(121) 내로 수직하게 하향 안내한다. 상술한 바와 같이, 포트 도어(140) 및 FOUP 도어는 공기 유동 영역(121) 내에 저장되면서 나머지 1등급 영역으로부터 고립된다. 선형 활주부 및 회전형 구동부 구성(도시 안됨)은 기술분야에서 공지되어 있으므로 더 이상 설명하지 않기로 한다. 선형 활주부는 선형 베어링 및 구동 기구로 구성될 수 있다. 단지 예로서, 선형 베어링은 볼 또는 공기 베어링을 포함할 수 있다. 마찬가지로, 구동 기구는 캠 리드 나사를 구비한 모터, 벨트 구동부 또는 선형 모터를 포함할 수 있다. 회전 구동부는 단지 예로서 기어 모터, 직접 구동부, 벨트 구동부 또는 다른 유사한 수단을 구성될 수 있다.
FOUP(10) 및 포트 도어가 도킹/고립판(138)으로부터 이동된 후, 웨이퍼 엔진 또는 로봇(300)은 저장된 FOUP(10) 및 포트 도어와 충돌하지 않고 공구 전방 단부 내로 작업물을 이송할 수 있다. 일단 공구에서 작업물 로트에 대한 작업이 완료되고 작업물이 FOUP(10)으로 복귀되면, 제어기는 다시 구동부 및 활주부를 가동해서 I/O 포트 내로 도어를 이동시키며, 이때 FOUP 도어는 FOUP(10)으로 이송되어 고정 된다.
도킹/고립판(138)은 각 수직 지주(102)의 전방면(110)에 장착된다. 도킹/고립판(138)은 외측 대기 또는 외부 영역에서 공구의 내부 영역(1등급 또는 "청정" 영역)을 고립시킨다. 도킹/고립판(138)은 또한 FOUP(10)가 근접하고 제어 가능한 인접부(예컨대, 0 내지 5 ㎜ 거리)쪽으로 진행된 인터페이스를 제공한다. 판(138)은 FOUP(10) 및 포트 도어(140)와 보조 시일을 형성한다. 보조 시일로 인해 판(138)과 FOUP(10) 사이에 거리가 존재하게 되지만, 판(138)과 FOUP(10) 사이에는 계속해서 기밀 시일을 형성한다. 판(138)과 FOUP(10) 사이의 기밀 시일은 가스가 1등급 영역으로부터 누출되는 것을 방지하거나 로드 포트 인터페이스의 비활성 환경을 유지하는 것이 바람직하다.
도킹/고립판(138)은 양호하게는 FOUP 내부로 가공된 하나 이상의 FOUP 개구를 포함하는 단편 재료로 제조된다. 도킹/고립판(138)은 각각의 수직 지주(102)에 대해 이것을 정밀 위치시키는 정합 구멍(144)을 포함한다. 이는 EFEM에 대해 모든 FOUP(10) 개구 사이에서 가공된 정밀한 관계를 제공한다. 도킹/고립판(138)은 또한 동일한 기준 특징을 사용해서 각각의 수직 지주(102)에 장착되는 개별 단편 재료를 포함할 수도 있다. 판(138)은 다음에 제한되지는 않지만 플라스틱, 금속, 박판금 또는 심지어 유리와 같은 재료로부터 제조될 수 있다.
양호한 실시예에서, 도킹/고립판(138)은 폴리카보네이트와 같은 투명재로부터 가공된다. 투명재로 도킹/고립판(138)을 가공하면 공구가 작동하는 동안에도 국소 청정실 또는 1등급 환경 내부를 볼 수 있다는 이점을 추가한다. 현행 E-15 로드 포트/SEMI E63 볼트 인터페이스는 이런 특징을 한정하지 않는다. 도킹/고립판(138)은 어떤 구조적 특징도 갖지 않으며 따라서 단지 몇 개의 볼트 및/또는 핀에 의해 척추(100)의 각각의 수직 지주(102)에 고정될 수 있다. 따라서, 도킹/고립판(138)은 용이하게 제거될 수 있다. 또한, 어떠한 EFEM 부품도 도킹/고립판(138)을 기준으로 정렬되지 않기 때문에, 도킹/고립판(138)은 포트 도어(140), FOUP 진행판(134) 또는 웨이퍼 엔진(300)과 같은 EFEM 부품의 설치나 정렬을 교란하지 않고도 EFEM으로부터 제거될 수 있다. 이는 서비스, 보수 또는 착오 복구를 위해 EFEM의 "청정" 영역(도10의 1등급 영역)으로의 접근로를 획득하는 간단한 방법을 제공한다.
도8은 척추 구조물(100)에 장착된 웨이퍼 엔진(300)을 도시한다. 본 도면에서, 웨이퍼 엔진(300)은 EFEM의 모든 I/O 포트로 접근하기 위해 선형 이동할 수 있음을 명백하게 알게 된다. 웨이퍼 엔진(300)은 하부 지지 부재(106)의 후방 장착면(116)에 장착된 레일 조립체(106)를 따라 이동한다. 본 실시예에서, 선형 구동부(302)는 벨트 구동부로서 도시된다. 다음에 제한되지 않지만, 선형 구동부(302)가 직접 구동부, 선형 모터, 케이블 구동부 또는 체인 링크 구동부와 같은 그 밖의 구동 시스템을 포함하는 것도 본 발명의 범위와 정신에 속한다. 이하 웨이퍼 엔진(300)의 부품을 설명하기로 한다. 본 구동 시스템은 기술 분야에서 공지되어 있으므로 더 이상 개시하지 않기로 한다.
도9는 척추 구조물(100)에 장착된 도8에 도시된 레일 시스템(302)을 보다 상세히 도시한다. 레일 시스템(302)은 모두 하부 채널(106)의 후방 장착면(118)에 장착된 것으로서, 상부 x 레일(310)과 하부 x 레일(312)과 캐리지 안내부(311)를 포함한다. 양호한 실시예에서, 상부 x 레일(310) 및 하부 x 레일(312)은 원형이거나 관형이며 서로에 대해 사실상 평행하다. 상부 x 레일(310), 하부 x 레일(312) 및 캐리지 안내부(311)를 결합한 것이 x 캐리지(304)이다. 상부 및 하부 x 레일(310, 312)은 또한 웨이퍼 엔진(300)에 대한 주 지지부로서 작용한다.
도9는 또한 양호하게는 FOUP 진행 조립체(130) 아래에 위치된 제어 상자(147)를 도시한다. EFEM은 많은 전기 제어 장치(예컨대, 제어 배선부, PCB 등)를 필요로 한다. 보수와 수리를 위해 이들 장치가 용이하게 접근 가능하다면 유익할 것이다. 제어 상자(147)는 전기 장치 장착 영역을 제공한다. 양호한 실시예에서, 제어 상자(147)는 내측의 전기 부품으로 접근하기 위해 하강할 수 있는 피봇 전방 커버를 갖는다. 제어 상자 내에는 EFEM 부품에 전력을 공급하고 이를 작동하는 데 필요한 많은 전기 부품 및 제어 시스템이 위치된다. 이들 전기 부품은 보수를 위해 용이하게 접근될 수 있으며 따라서 제어 상자(147)의 피봇 전방 커버는 제거될 수 있고 전방 커버를 제조실의 바닥쪽으로 하향 피봇시킬 수 있는 수 개의 볼트 및/또는 핀에 의해 고정된다.
도10, 도30 및 도31에 도시된 바와 같이, 척추 구조물(100) 구조는 EFEM의 규모를 최소화하고 전체 시스템 정밀도를 계속 유지하면서도 시스템의 청정 용적을 밀봉하는 방식을 제공한다. FFU(150)는 EFEM의 상부를 형성하도록 상부 채널(104) 및 공구 인터페이스 패널(154)에 장착되어 밀봉된다. 전방 시일은 각각의 수직 지주(102)의 전방면(110)에 도킹/고립판(138)을 장착함으로써 마련된다. 양호하게는 천공면인 박판금 패널(152)은 EFEM의 바닥을 형성하기 위해 하부 지지 부재(106)에 장착된다. 패널(152)은 또한 FFU(150)와 웨이퍼 엔진(300)으로부터의 배기 유동을 주변 환경으로 배출시키는 배기판으로서도 작용한다. EFEM의 각 측면은 척추 구조물(100)(도30 참조), 공구 인터페이스 패널(154), 패널(152)과 FFU(150)이 장착되어 밀봉하는 단부판(156)에 의해 밀봉된다. 도10에 도시된 바와 같이, FFU(150)와 활주체 FFU(420)로부터의 청정 공기 유동은 국소 청정실 또는 1등급 영역을 지나 바닥 패널(152)과 하부 채널(106)을 거쳐 배출된다. 수직 구동부(380)에 의해 생성된 입자들을 수용하는 Z-슬롯 팬(354)(후술함)으로부터 배기된 공기류도 바닥 패널(152)을 통해 이동한다. Z-슬롯 팬(354)으로부터의 공기류는 결코 국소 청정실로 들어가지 않는다.
일반적으로, 척추(100)는 웨이퍼 엔진(300)과 FOUP 진행 조립체(130)와 같은 EFEM 부품을 교정하고 정렬하기 위해 단일한 기준 시스템을 형성한다. 각각의 별도의 EFEM 부품은 서로에 대해 교정하고 정렬하는 대신에 수직 지주(102)와 같이 알려지고 고정된 위치로 교정될 수 있다. 이런 교정 방법은 오늘날의 종래 절차를 크게 단순화하였다.
중추부를 구비한 척추 구조물
도11 내지 도13은 척추 구조물의 다른 실시예를 도시한다. 본 실시예의 주된 구조 요소는 수평 비임(170)과, 정합 지주(172)와, 전방 장착판(174)을 포함한다. 도11에 도시된 바와 같이, 수평 비임(170)은 양호하게는 강성 프레임을 형성하도록 각 정합 지주(172)의 바닥부에 장착된다. 전방 장착판(174)도 각각의 정합 지주(172)에 장착되어서, 외부 EFEM 부품[예컨대, FOUP 진행 조립체(130)]이 장착될 표면을 제공한다. 수평 비임(170)은 단지 예로서, 알루미늄 압출, 강 튜브, 절곡된 박판금으로 제조된 구조물, 평판, 적층판 또는 대부분은 이들의 조합으로부터 제조될 수 있다. 수평 비임(170)은 또한 선형 구동부(306)(후술함)가 장착될 표면을 제공한다. 척추 구조물(100)과 마찬가지로, 본 실시예는 EFEM 부품을 장착하고 정렬하기 위해 단일한 기준을 제공한다.
도12는 FOUP 도어(12)와 포트 도어(140)가 양호하게는 1등급 영역 내의 고립된 영역 내에 계속 놓여 있는 것을 도시한다. 따라서, 비임(170)은 FOUP 도어(12)와 포트 도어(140)를 비임(170)과 정합 지주(172) 사이에 끼우기에 충분하도록 정합 지주(172)로부터 이격되어야만 한다. 도12에 도시된 바와 같이, 이격자(171)가 각각의 정합 지주(172) 및 비임(170) 사이에 위치되어서 저장 영역을 형성한다. 다른 수단을 통해서 저장 영역을 형성하는 것도 본 발명의 정신과 범위에 속한다. 비임(170)은 또한 보호 장벽으로서 기능함으로써 웨이퍼 엔진(300)에 의해 형성된 입자들이 FOUP 도어(12) 또는 포트 도어(140)를 오염시키는 것을 방지한다.
도13은 지지 구조물 또는 척추가 x축 레일(310, 312)을 지지하기 위해 비임(170)에 장착된 CNC 밀링된 알루미늄 판(176)을 갖는 비임(170)을 포함할 수 있음을 도시한다. 이 구조는 박판금으로 제조된 U 형상 단면(175)에 의해 더욱 강화된다. 이 단면(175)에 장착된 수직 정합 지주(172)는 상술한 실시예의 수직 지주(102)와 마찬가지로 정렬된다. 도11에 도시된 바와 같이, 전방 장착판(172)은 정합 지주(174)에 장착된다. FOUP 진행 조립체(130)와 같은 EFEM 부품은 전방 장 착판(172)에 장착된다.
비임(170)은 웨이퍼 조작기의 작업 공간 아래에 있는 포드 오프너와 웨이퍼 엔진(300) 사이에 위치될 수 있다. 그러나, 비임(170)은 이것이 설치되더라도, EFEM 부품이 정밀하게 장착되는 하나의 구조용 공통 요소를 제공함으로써, EFEM이 설치되거나 교체될 때 현장에서 시간 소모적인 조절이 필요없게 된다.
단일 프레임/셸
도14 내지 도16은 FOUP 도킹부로서 구성된 척추 구조물의 다른 실시예를 도시한다. 본 실시예에서, EFEM 부품이 장착되는 척추 구조물은 단일 프레임이거나 셸(202)이다. 프레임(202)은 척추 구조물(100)과 마찬가지로 장착되어서 부품[예컨대, FOUP 진행 조립체(130)]과 정렬되는 내부[예컨대, 웨이퍼 엔진(300)] 및 외부 부품에 대한 단일한 기준으로서 작용한다.
도14에 도시된 바와 같이, 척추 구조물(200)은 프레임(202)에 장착된 세 개의 로드 포트 조립체(204)를 포함한다. 각각의 로드 포트 조립체(204)는 양호한 실시예에 개시된 로드 포트 조립체(130)와 유사하다. 외측 주변 조건으로부터 1등급 영역을 고립시키는 로드 포트 도어(206)는 FOUP 셸로부터 FOUP 도어를 결합시키고 제거하기 위한 각각의 로드 포트 조립체(204)에 대응한다. 프레임(202)이 더 적은 수의 I/O 포트를 갖는 것은 본 발명의 정신 및 범위에 속한다. 마찬가지로, 프레임(202)은 웨이퍼가 이송되면서 통과하는 I/O 포트 사이에 위치된 충전형 또는 중실형 I/O 포트를 포함할 수 있다.
프레임(202)은 양호하게는 단편 재료로 형성될 수 있다. 단지 예로서, 프레 임(202)은 펀치 프레스에 의해 형성될 수 있다. 프레임(202)은 많은 서로 다른 재료로 제조될 수 있다. 단지 예로서, 프레임(202)은 다음에 제한되는 것은 아니지만, 박판금, 폴리프로필렌, 복합물 또는 플라스틱과 같은 재료로 제조될 수 있다. 프레임(202)은 또한 가스 배출을 방지하거나 줄이기 위해 피막 형성된 표면 마무리를 표함할 수 있다. 프레임(202)이 단일편 재료 또는 별개의 부분들로부터 제조되었는지에 관계없이 프레임(202)은 확장 가능하다. 따라서, 프레임(202)은 EFEM에 필요한만큼 많은 FOUP I/O 포트를 형성하도록 특수 제조될 수 있다.
도15는 프레임(202)에 장착된 여러 EFEM 부품을 도시한다. 단편 스테인리스 강으로 제조된 프레임(202)의 양호한 실시예는 가요성이 있다. 단지 예로서, 프레임(202)은 알루미늄 박판으로 제조될 수도 있다. EFEM은 EFEM 부품에 대해 정밀한 지지부와 정렬 지점을 제공하기에 충분히 강성이어야 한다. 추가 지지부(210)는 선형 구동부(254), 필터 유닛(220), FOUP 진행 조립체(208) 및 공구 인터페이스 면과 같은 부품에 대한 강성의 정밀 지지점을 제공하도록 프레임(202)에 장착된다.
로드 포트 인터페이스를 통과하는 공기 유동을 촉진하기 위해 프레임(202)의 상부면(201)과 바닥면(203)은 천공된다. 팬/필터 유닛(220)은 프레임(202)을 통과하는 공기의 유속과 양을 제어하기 위해 프레임(202)의 상부면(201)에 장착되어 시일을 형성할 수 있다. 이런 팬/필터 유닛 기술은 기술 분야에서 공지되어 있으므로 더 이상 개시하지 않기로 한다. 단일한 팬/필터 유닛(220)이 원하는 공기 유속을 얻기 위해 적절할 수 있다. 그러나, 프레임(202)의 크기와 용적이 커지면, 프레임(202)은 원하는 환경 조건을 유지하기 위해 복수개의 팬을 필요로 할 수 있다. EFEM의 내부가 (내부 환경이 아닌) 외부 대기 조건으로부터 고립되지 않는다면, 공기는 FFU(220)에 의해 국소 청정실 내로 흡기되어서 프레임(202)의 바닥면(203)에 있는 천공 구멍(212)을 거쳐 배기된다.
EFEM이 관성 시스템이라면, 유동 포획 챔버(224)는 팬/필터 유닛(220)에 의해 형성된 공기 유동이 완전 수용되어 재순환되도록 프레임(202)의 바닥면(203)에 장착되어 밀봉될 수 있다. 단부 캡(210)은 또한 유동 포획 플리넘(plenum)(224)을 나온 공기를 재순환시키기 위해 다시 팬/필터 유닛(220)으로 안내하는 유동 복귀 경로를 가질 수 있다.
프레임(202)에 의해 형성된 국소 폐쇄 용적으로 인해, 본 발명은 공기를 다루는 관점에서 아주 효율적인 시스템이다. 보다 적은 용적의 공기를 제어하고 여과하는 국소 청정실로 인해 공기의 청정도를 유지하는 것이 용이하다. 보다 많은 공기가 강제로 통과하면 품질이 악화되는 분자 여과기를 요구하는 불활성 시스템 또는 시스템은 또한 국소 청정실이 보다 적은 용적의 가스를 수용하는 이익을 갖는다. 단지 예로서, 필터는 보다 적은 용적 및 유속의 가스가 이를 통과하면, 교체 필요성이 덜 빈번할 것이다.
시스템 용량 공간 이용
상술한 모든 EFEM의 주요 가늠자(예컨대, 척추 구조물, 중추부 및 팬) 중 하나는 공간 이용시의 기본적 교체이다. 비록 공간 이용 특징은 본 출원에 개시된 다른 실시예에도 적용되지만 척추 구조물(100)만을 인용하기로 한다. 종래의 공구 전방 단부에서, 전방 단부는 로드 포트의 전방(로드 전방면)으로부터 프로세스 공 구면까지, 제조실의 바닥으로부터 그 최고 지점, 통상적으로는 FFU의 상부 및 전방 단부의 전체 폭까지 모든 공간을 차지한다.
척추 구조물(100)로 구성된 EFEM은 로드 포트(130) 아래에 상당한 공간을 형성하며 청정 웨이퍼 엔진 영역이 다시 처리/계측 공구에 주어지거나 다른 목적을 위해 사용될 수 있다. 또한, 폐쇄된 영역 또는 국소 청정실의 전체 깊이 또한 종래의 EFEM 구성이 요구하는 것보다 감소된다. 웨이퍼 엔진 방사 활주체(400)의 전방은 FOUP 도어 기구가 수직 지주(102) 사이에 놓이기 때문에 통상적으로 비사용 영역 내로 회전될 수 있다. 이 공간은 전체 공구에 대한 보다 작은 규모 조건을 실현할 수 있는 최종 사용자 뿐 아니라 처리 기계에게 다시 주어질 수 있다. 웨이퍼 엔진(300)의 구성은 이들 신규하고 소형화된 공간 제약을 이용한다. 예컨대, 방사 활주부(400)는 오프셋 안 된 버젼보다 처리 기계 내로 더 멀리 도달할 수 있다.
훨씬 소형화된 시스템 포장으로 인해 더 경량화되었으며, 독립적인 롤링 프레임 상에 장착되더라도, 공구에 대한 직접 접근로를 제공하기 위해 처리 기계로부터 굴러서 벗어날 수 있다. 시스템은 또한 통상의 처리 기계보다 길이가 짧기 때문에 그 위의 공간은 AMHS 시스템에 대해 완충하는 국부 FOUP(10)과 같이 다른 목적을 위해서도 사용될 수 있다. 종래의 오버헤드 호이스트 AMHS 시스템에 의하면, 국부 완충부는 로드 포트까지 방해받지 않는 오버헤드 경로를 필요로 하기 때문에 로드 포트 또는 공구 사이에만 위치될 수 있다. 활주 배출 선반 장치에 의해 재료는 집적 EFEM의 폐쇄 영역 바로 위의 그 밖의 비사용 영역에 저장될 수 있다.
도30 내지 도31에 도시된 바와 같이, 시스템은 여러 방식으로 처리 기계에 합체될 수 있다. 시스템은 네 지점에서 지지부가 필요하도록 고안된다. 두 개의 외부 수직 지주의 기부에서 전방의 두 지점은 부착 및 수평 조정 지점을 제공한다. 각 단부판의 후방 하부 코너에 있는 두 지점은 후방 지지 위치를 제공한다. 지지 지점은 시스템을 처리 기계로부터 용이한 방식으로 멀리 이동시키는 로울 아웃 프레임에 의해 마련될 수 있다. 이는 공구로부터 외부로 외팔보형으로 지지되거나 바닥으로부터 지지될 수 있는 처리 기계로부터 프레임 부재에 의해 지지될 수 있다. 이는 또한 로울 아웃 프레임이 처리 기계 프레임에 의해 마련된 운동 지점에서 시스템을 들어올리기 위해 사용될 수 있는 두 지점의 조합일 수도 있다.
상술한 집적 청정 환경 및 구조물(100 또는 200) 중 어느 것이나 반도체 처리와 관련된 공구의 전방에 장착된다. 여기에서 사용될 때, 이런 공구는 다음에 제한되는 것은 아니지만, 반도체 웨이퍼 상에 집적 회로 패턴을 형성하는 처리 기계와, 다양한 성질 및 작업물을 시험하기 위한 계측 공구와, 작업물 캐리어의 대규모 저장을 위한 스토커(stocker)를 포함한다. 여기에서 사용되는 것으로서, 공구는 단순히 후술하는 바와 같이 판의 후방면 상에서의 작업물 조작이 폐쇄된 공간에서 수행될 수 있도록 하는 봉함부(enclosure)일 수 있다. 단지 예로서, 본 발명에 따른 구조물(100)은 하나 이상의 캐리어를 사용해서 작업물을 정렬하고 이송하는 분류기를 포함할 수 있다.
대안으로서, 구조물(100)은 분류기 또는 독립 사전 정렬기를 포함할 수 있다. 분류기 및 독립 사전 정렬기 실시예 모두에서, 작업물 작업은 구조물(100)에 장착된 EFEM 부품에 의해서만 전적으로 수행된다. 1등급 영역을 형성하는 봉함부도 작업물이 조작될 수 있는 폐쇄된 청정 환경을 제공하는 구조물(100)에 기초한다. 본 발명의 여러 실시예에서, 구조물(100)은 공구의 일부로서 여겨질 수 있다(도3A). 본 발명의 다른 실시예에서, 시스템은 공구에 고정될 수 있지만 공구로부터 분리된 것으로 여겨질 수 있다(도29A 내지 도29D).
도10에서 잘 도시된 바와 같이, FOUP 도킹부는 척추(100) 둘레에 형성된다. 바닥 팬(118)은 바닥 지지 부재(106)에 고정되어 시일을 형성한다. 양호한 실시예에서, 바닥 팬(118)은 FFU(150)로부터의 공기를 통과시키는 천공면이다. FFU(150)는 상부 지지 부재(104)에 고정되어 시일을 형성한다. 웨이퍼 이송판(122)은 바닥 팬(118) 및 FFU(150)에 고정되어 시일을 형성한다. 웨이퍼 이송판(122)은 웨이퍼 엔진(300)이 1등급 영역 및 처리 기계 사이에서 웨이퍼를 이송할 수 있게 하는 이송 윈도우(121)를 포함할 수 있다.
시스템은 1등급 환경을 유지하기 위해 기밀 시일을 형성한다. 기밀 시일은 척추(100) 및 바닥 팬(118) 모두 사이, FFU(150)과 척추(100) 사이, 그리고 FFU(150) 및 바닥 팬(118) 모두와 웨이퍼 이송판(122) 사이에 형성된다. 일반적으로, 1등급 영역 내의 압력은 1등급 영역 둘레의 대기의 압력보다 높은 수준으로 유지된다. 이런 압력차는 여과되지 않은 공기가 1등급 영역으로 진입하는 것을 방지한다. 따라서, 공중 입자 또는 오염물은 바닥 팬(118)의 개구를 거쳐 1등급 영역에서 밖으로 송풍된다. 때로 공구는 예컨대 순수 질소 환경과 같은 적대적 환경에서 작동한다. 이런 환경에서는 외측 주변 환경으로부터 1등급 영역을 완전히 고립 시키는 것이 필수적이다. 플리넘이 바닥 팬(118)에 고정되어서 바닥 팬을 밀봉함으로써, 구조물(100) 내의 국소 청정실은 대기 조건으로부터 완전 고립된다. 플리넘(도14 참조)은 공기를 포획하고 이를 척추 구조물(100)에 장착된 팬/필터 유닛(150)쪽으로 재순환시키기 위해 바닥 팬(118)에 장착될 수 있다.
웨이퍼 엔진
일반적으로, 도18 내지 도23에 도시된 웨이퍼 엔진(300)은 사용 빈도 및 웨이퍼 이송 주기 시간의 임계성과 관련해서 기계적 관성을 최소화한다. 단지 예로서, 이런 웨이퍼 엔진(300)에서 얻어진 몇 가지 이점으로는 (1) 보다 빠른 웨이퍼 교체 시간, (2) 보다 가벼운 총 시스템 중량 및 (3) 보다 콤팩트하고 통합된 패키지를 포함한다. 웨이퍼 엔진(300)은 본 출원에서 개시된 통합 척추(100)의 모든 실시예 내에서 작동할 수 있거나 독립 장치로서 작동할 수 있다.
웨이퍼 엔진(300)의 양호한 실시예가 도18 및 도19에 도시된다. 웨이퍼 엔진(300)은 EFEM 내에서 웨이퍼의 이송을 최적화하기 위해 네 개의 주된 조화 구동부를 포함한다. 네 개의 구동부는 x-축, θ축, z축 및 방사 방향 또는 z축을 따라 웨이퍼를 이동시킨다.
웨이퍼 엔진(300)은 x-축을 따라 웨이퍼를 이동시키는 선형 구동 조립체(302)를 갖는다. x-축을 따라 이동하면 웨이퍼 엔진(300)이 각각의 FOUP I/O 포트에 접근한다. 선형 구동 조립체(302)는 x-캐리지(304) 및 레일 시스템(306)을 포함한다. x-캐리지(304)는 상부 x-레일(310) 및 하부 x-레일(312)과 활주식으로 결합한다. 레일 시스템(306)은 후방 장착판(116)에 장착되며 상부 x-레일(310) 및 하부 x-레일(312)을 포함한다. 상부 x-레일(310) 및 하부 x-레일(312)은 x축을 따라 확장되며 서로에 대해 사실상 평행하다. 도18에서 레일 조립체(306)를 관통하는 파선은 레일 조립체(306)가 임의의 길이를 가질 수 있음을 보여준다. 레일 조립체(306)는 웨이퍼 엔진(300)이 예컨대 각각의 FOUP(10)에 저장된 웨이퍼로 접근하기 위해 레일 조립체(306)를 따라 이동할 수 있도록 확장 가능하다. 웨이퍼 엔진(300)의 회전 구동부(350)도 x-캐리지(304)에 장착된다. 따라서, x-캐리지(304)에 의한 이동은 x-축을 따라 웨이퍼 엔진(300)을 구동시킨다.
웨이퍼 엔진(300)도 또한 회전해서, θ-축 둘레에서 피봇할 수 있다. 양호한 실시예에서, 도18에 도시된 바와 같이, 회전 구동부(350)는 θ축을 따라 확장되고 z-축 지지부(370)에 장착되는 지지 기둥(364)을 포함한다. 회전 구동부(350)는 지지 기둥(364)을 구동시키고 회전시키기 위해 θ모터(362)를 포함한다. 회전 구동부(350)는 시계 방향이나 반시계 방향 중 어느 한 방향으로 회전할 수 있다. 회전 구동부(350)는 또한 수직 지지부(380)에 직접 장착될 수도 있다. 양호하게는, θ축은 활주체(400)의 중심을 관통해서 이동하지 않는다. 이하, 활주체(400)의 이런 중심 이탈 구성이 갖는 장점을 설명하기로 한다.
회전 구동부(350)는 팬 확장 플랫폼(352)을 추가로 포함한다. 웨이퍼 엔진(300)의 양호한 실시예에서, 도20에 도시된 바와 같이, z 슬롯 팬(354)이 팬 플랫폼(352)의 하면에 장착된다. 웨이퍼 엔진(300)의 이런 구성은 z 슬롯 팬(354)을 θ모터에 근접 위치시키며 웨이퍼 엔진(300)의 z 기둥(380)을 통해 구동된 공기를 배기시키기 위해 배기구를 제공한다. z 기둥(380)을 통해 배출된 공기는 웨이 퍼 엔진(300)에 의해 운반되는 모든 웨이퍼로부터 벗어나 하향 분사된다(도21 참조). 대안으로서, 공기류는 회전 구동부(350)의 바닥을 거쳐 배출될 수 있다.
수직한 구동 기둥(380)은 지지 부재(370)에 장착되고 z-축을 따라 상향 확장된다. 구동 기둥(380)은 웨이퍼 엔진(300)의 활주체(400)(후술함)를 이동시키며, 따라서 웨이퍼를 z축을 따라 상하로 이동시킨다. 일 실시예에서, 도19에 도시된 바와 같이, 구동 기둥(380)은 지지 부재(370)로부터 사실상 수직하게 확장되는 긴 모양의 기둥이다. 구동 조립체는 구동 기둥(380) 내에 위치되며, z-구동 모터(382), z 케이블 경로(384), z 안내 레일(386) 및 z 볼 스크루(388)를 포함한다. 이런 구동 수단은 기술 분야에서 공지되어 있으므로 더 이상 설명하지 않는다. 다른 수단에 의해 활주체(400)를 이동시키는 것은 본 발명의 정신 및 범위에 속한다.
활주체(400)는 양호하게는 z-축을 따라서 개개의 웨이퍼를 신속하게 교체하기 위해 상단 작동체(402) 및 하단 작동체(404)를 포함한다. 활주체(400)는 상단 및 하단 작동체가 각각의 FOUP(10)에 저장된 웨이퍼에 평행하도록 상단 및 하단 작동체(402, 404)를 지지한다. 도19에 도시된 바와 같이, 상단 작동체(402) 및 하단 작동체(404)는 유사한 직사각형 경로를 따라 이동한다. 상단 작동체(402) 및 하단 작동체(404)는 하단 작동체(404) 및 상단 작동체(402)가 웨이퍼를 동시에 저장할 수 있게 하기에 충분한 거리만큼 이격된다. 활주체(400)는 방사 방향 또는 r축을 따라 선형으로 상단 작동체(402) 및 하단 작동체(404)를 이동시키는 방사 구동 모터(410)를 포함한다.
상단 작동체(402)는 제1 지지부(406)에 의해 지지되고 하단 작동체(404)는 제2 지지부(408)에 의해 지지된다. 상단 작동체(402)와 하단 작동체(404)는 각각 활주체(400)의 길이를 사실상 가로질러 확장되는 반경 방향 안내 레일(410)에 활주식으로 결합해서 그 내부에서 이동한다. 각각의 반경 방향 구동 모터(410)는 반경 방향 구동 벨트(414)를 구동시킨다. 반경 방향 구동 벨트(414a)는 제1 지지부(406)에 연결되며 제2 반경 방향 구동 벨트(414b)는 제2 지지부(408)에 연결된다. 반경 방향 구동 모터(410)는 반경 방향 구동 풀리(416)와 단부 아이들러 풀리(418) 둘레에서 반경 방향 구동 벨트를 회전시키고 각각의 단부 작동체를 확장 수축시키기 위해 시계 또는 반시계 방향으로 회전될 수 있다. 이런 구동 기구는 기술 분야에서 공지되어 있으므로 더 이상 개시하지 않기로 한다. 방사 방향 또는 r축을 따라 웨이퍼를 이동시키기 위해 다른 수단을 갖는 것은 본 발명의 정신 및 범위에 속한다.
웨이퍼 엔진(300)은 많은 이동부를 갖는다. 이동부는 입자를 일으키기 쉽다. 예컨대, 상단 작동체(402)와 하단 작동체(404)를 연속해서 확장 수축시키면 국소 청정실 내에 미립자가 형성된다. 미립자가 양쪽 단부 작동체 상에 위치된 웨이퍼를 오염시키는 것을 방지하기 위해 활주체 팬/필터 유닛(FFU)(420)이 활주체(400)의 하면에 장착된다. 활주체 FFU(420)는 활주체 활주 슬롯(420)을 거쳐 공기를 연속해서 흡기하고, 활주체(400)를 거쳐 공기를 흡기하고, 공기를 여과하고, 1등급 영역 내로 공기를 배기한다. 공기 유동에 대한 이런 국부 여과는 1등급 영역 내에 위치된 입자의 양을 크게 저감시킨다.
종래에는, 대부분의 국소 청정실은 공기를 국소 청정실 내에서 순환시키고 공기가 EFEM 내로 유동할 때 공기를 여과하기만 하는 단일한 팬/필터 유닛을 포함한다. 팬/필터의 하류에서 국소 청정실 내에 생성된 모든 미립자는 이들 미립자가 EFEM에서 외부로 배출될 때까지 청정 영역 내에서 남아 있는다. 특히 반도체 제조에 있어서는 웨이퍼에 대한 보다 낮은 공차의 입자 오염도를 더욱 요구하는 추세이기 때문에, 국소 청정실 내의 미립자의 수를 최소화하는 것이 바람직하다.
웨이퍼 엔진(300)의 국부 여과는 입자가 생성될 때 웨이퍼 엔진(300) 상에 위치된 모든 회전 또는 활주 기구에 의해 생성되는 입자들을 제거한다. 양호한 실시예에서, 도19 및 도21에 도시된 바와 같이, 국부 팬/필터 시스템 또는 팬 시스템은 z 기둥(380)의 선형 구동부들 및 활주체 기구(400) 모두에 가깝게 위치된다. 상세하게는 도21에 도시된 바와 같이, 활주체 기구(400)에 장착된 팬/필터 유닛은 여과된 공기를 국소 청정실 내로 배기하며, 수직 구동부(380)의 z 슬롯 팬 시스템은 EFEM의 바닥판을 거쳐 여과되지 않은 공기를 배기시킨다. 웨이퍼 엔진(300)은 공기를 여과해서 EFEM의 1등급 영역 내로 배기한다. 웨이퍼 엔진(300)이 활주체 기구(400)에 장착된 팬/필터를 갖지 않는다면, 활주체 기구(400)에 의해 생성된 입자는 1등급 영역을 거쳐 이동해서 양쪽 단부 작동체에 의해 지지되는 웨이퍼를 오염시킨다.
도20은 웨이퍼 엔진(300)의 다른 실시예를 도시한다. 본 실시예에서, 활주체(400)는 z 기둥(380)이 사실상 r축을 따르도록 z 기둥(380)과 결합한다. 웨이퍼 엔진(300)에 대한 상술한 실시예와 마찬가지로, 본 실시예는 θ모터(362), 수직 구 동 기둥(380) 및 반경 방향 활주체(400)를 포함한다. θ모터는 θ축 둘레에서 웨이퍼 엔진을 회전시키며, z 기둥은 z축을 따라 선형으로 반경 방향 활주체(400)를 이동시키며, 반경 방향 활주체(400)는 방사 방향 또는 r축을 따라서 단부 작동체(401)를 이동시킨다. 따라서, 웨이퍼 엔진, 즉 웨이퍼는 θ모터(362)가 회전할 때마다 θ축 둘레에서 회전한다. 본 실시예는 상술한 웨이퍼 엔진(300)의 실시예와 마찬가지로 v 슬롯에서 반경 방향 활주체(400)에 장착된 팬/필터 유닛도 포함한다.
상술한 바와 같이, 웨이퍼 엔진(300)의 활주체(400)는 다양한 구성의 단부 작동체를 포함할 수 있다. 도18 및 도19에 도시된 바와 같이, 상단 작동체(402) 및 하단 작동체(404)는 수동 모서리 지지부를 포함할 수 있다. 이런 구성은 산업 분야에서 300 ㎜ 웨이퍼용 수동 모서리 파지 단부 작동체로서 알려져 있다. 도22는 상단 작동체(402)가 능동 모서리 파지부를 포함할 수 있고 하단 작동체(404)가 수동 모서리 지지부를 포함할 수 있음을 도시한다. 대안으로서, 단부 작동체(402, 404)는 예컨대 후방면 접촉을 갖는 진공 파지부, 저감된 접촉 영역, 제거 가능한 패드의 모든 조합을 포함할 수 있다.
마찬가지로, 반경 방향 구동부(400)는 서로 다른 단계에서 웨이퍼를 조작하기 위한 서로 다른 유형의 단부 작동체를 포함할 수 있다. 예컨대, 하나의 단부 작동체는 단지 "오염된" 웨이퍼만을 조작하지만, 제2의 단부 작동체는 단지 "청정한" 웨이퍼만을 조작할 수 있다. 대안으로서, 하나의 단부 작동체는 처리 기계로 이송되기 전에 웨이퍼를 정렬해서 웨이퍼 ID를 판독하는데 전용되고, 제2의 단부 작동체는 처리된 후 고온 웨이퍼를 조작하기 위한 고온 패드를 포함할 수 있다.
도32는 EFEM내에서 작돌할 수 있는 다른 선형 이동 로봇 시스템을 나타내고 있다. 로봇(500)은, x축 선형 베어링(506)과, X축 선형 구동부(506)와 활주가능하게 연결된 회전 구동부(508)와, z 기둥(520)과, z 구동 메커니즘(512)과, 제 1 회전 암(514)과, 제 2 회전 암(516)을 포함한다. 로봇(500)은 웨이퍼 추출과 위치설정을 위해 구동부의 조화 운동을 이용한다. 회전 구동부(508)는 안내 레일(507)내에서 x축을 따라 이동된다. z 기둥(510)은 회전 구동부(508)로부터 수직으로 연장된다. z 구동 메커니즘(512)은 z 기둥(510)의 안내 레일(511)내에서 z축을 따라 이동된다. 제 1 회전 암(514)이 z 구동 메커니즘(512)의 상단에 장착된다. 제 1 회전 암(514)은 θ2 축을 중심으로 회전된다. 제 2 회전 암(516)은 제 1 회전 암(514)에 회전가능하게 장착된다. 제 1 회전 암(415)과 제 2 회전 암(516) 사이의 조화운동으로 인해 단부 작동체(518)가 y축을 따라 선형으로 이동된다. 웨이퍼 엔진(300)의 이전 실시예에서와 같이, z 기둥(510)은 단부 작동체(518)의 측면에 장착된다.
웨이퍼 엔진 내의 일체화된 공구
종래의 웨이퍼 조작 로봇은 개개의 웨이퍼를, 예컨대 FOUP(10)으로부터 별도의 처리부로 운반한다. 처리부는 웨이퍼를 검사하거나 정렬하며, 그 후 웨이퍼 조작 로봇은 웨이퍼를 후속 단계로 운반한다. 처리부가 작동하는 동안 웨이퍼 조작 로봇은 주로 공전되거나 제2 웨이퍼를 운반하기 위해 FOUP(10)으로 복귀되어야 한다.
일 실시예에서, 웨이퍼 엔진(300)은 별도의 처리부에서 정상 수행된 하나 또는 여러 개의 이들 기능을 수행할 수 있는 활주체(400)를 포함한다. 하나 이상 여러 개의 이들 기능을 활주체(400) 내에 일체화되면 시스템의 처리량을 증가시키고 EFEM의 규모를 줄일 수 있다.
도22 및 도23은 활주체(400)에 장착된 휠 장착 정렬기(440) 및 ID 판독기(430)를 설치한 웨이퍼 엔진(300)을 도시한다. 본 실시예는 도18 및 도19에 도시된 웨이퍼 엔진(300)과 유사하나, 상단 작동체(402) 상에 장착된 휠 장착 정렬기(440)와 활주체(400)에 장착된 ID 판독기(430)가 추가된다. 하단 작동체(404)가 휠 장착 정렬기를 포함하는 것은 본 발명의 정신 및 범위에 속한다.
ID 판독기(430)는 웨이퍼의 상부 또는 바닥의 상부면 및/또는 바닥면 상의 표시를 판독하기 위해 상하로 관찰할 수 있다. ID 판독기(430)가 수직 구동부(380)에 장착되거나 웨이퍼 엔진(300) 상의 다른 고정된 위치에 장착되는 것은 본 발명의 정신 및 범위에 속한다. 양호한 실시예에서, 신속한 ID 판독을 위해서는 활주체(400) 상에 상측 ID 판독기(430)를 장착하는 것이 유리하다. 제2 ID 판독기는 필요한 경우 웨이퍼 ID에 대한 확인 또는 명시를 위한 바닥측 T7 표시를 판독하기 위해 EFEM의 어느 고정된 위치에 장착될 수 있다.
ID 판독이 요구되지만 웨이퍼 배향이 중요하지 않은 경우, 정렬기는 제거될 수 있고 ID 판독기(430)는 웨이퍼가 단부 작동체 상에 도착하는 어떠한 위치에서도 ID 표시를 관찰할 수 있다. 이런 작업을 용이하게 하기 위해, ID 판독기(430) 또는 미러 조립체는 ID 표시를 관찰하기 위해 웨이퍼의 표면 위에서 회전될 수 있다. 이로 인해 ID 판독을 위해 웨이퍼를 회전시킬 필요가 없어지며 청정도 및 처리량이 개선된다.
정렬기는 휠 또는 다른 수단에 의한 것과 같이, 어떤 축 둘레에 대한 웨이퍼의 회전을 제어한다. 도23 및 도24는 휠 장착 정렬기(440)를 구비한 단부 작동체의 일 실시예를 도시한다. 휠 장착 정렬기(440)는 구동 시스템(449) 및 패들 판(442)을 포함한다. 패들 판(442)은 웨이퍼의 주요 지지부이다. 패들 판(442)의 단부에는 두 세트의 수동 선단 휠(446)과 두 개의 패드(448)이 위치된다. 휠(446)과 패드(448)가 정렬하는 동안 시간을 달리하여 웨이퍼를 지지한다. 패들 판(442)의 후방 단부에 위치된 구동 휠(450)은 웨이퍼가 정렬되는 동안 제3 접촉면을 따라 웨이퍼를 지지한다.
일 실시예에서, 휠 장착 정렬기(440)는 FOUP(10)에 위치된 웨이퍼의 하부에서 활주하며 웨이퍼가 패드(448)에 의해 지지될 때까지 상승된다. 패드(448)는 양호하게는 그 바닥 모서리를 따라 웨이퍼를 지지하기만 한다. 웨이퍼를 정렬시키기 위해, 웨이퍼는 구동 휠(446)에 의해 전방으로 밀려서 휠(446) 위로 올라간다. 웨이퍼는 패드(448)로부터 들어올려져서 구동 휠(450) 및 선단 휠(446)에 의해 완전히 지지된다. 이때 구동 휠(450)은 웨이퍼를 제자리에서 돌리기 위해 회전할 수 있다. 이 작업은 웨이퍼 엔진(300)이 웨이퍼를 운반하는 동안 수행될 수 있다. 웨이퍼 엔진(300)은 웨이퍼를 정렬시키기 위해 제자리에 유지될 필요가 없다.
대안으로서, 도26B에 도시된 바와 같이, 활주체(400)는 진공 척(chuck) 정렬기(411)를 포함할 수 있다. 리프트축과 회전축을 포함하는 진공 척 정렬기(411)에 대한 구동 기구는 활주체(400) 내에 존재할 수 있다. 센서(409)는 웨이퍼가 단부 작동체 상에 놓여 있는 동안 웨이퍼의 모서리를 위치시키기 위해 단부 작동체(403)에 장착될 수 있다. 센서(409)는 단부 작동체(403)와 독립된 구조물에 장착될 수도 있다. 일반적으로, 센서(409)는 센서(409)가 웨이퍼의 상부면을 판독하기 위해 위치될 수 있는 한 다양한 위치에 놓일 수 있다.
모서리 위치는 웨이퍼의 중심과 배향을 확인하기 위해 회전 각에 관련해서 조사될 수 있다. 센서(409)는 2차 피드백 장치로서 기능한다. 센서(409)의 위치는 언제나 웨이퍼와 관련해서 알려진다. 따라서, 센서(409)는 웨이퍼가 정렬되지 않았음을 지시하는 오차 신호를 전송할 수 있다. 정렬기는 센서(409)로부터 추가 오차 데이터를 수신하기 때문에, 이런 센서를 구비한 정렬기는 정렬기의 정밀도를 개선한다. 그후, 웨이퍼는 척(411)에 의해 재배향되어 웨이퍼 엔진(300)에 의해 후속 강하 위치에서 중심에 위치될 수 있다.
센서(409)는 EFEM 내에서 독립적으로 장착될 수 있으며 웨이퍼 엔진(300)과 별개의 부품일 수 있다. 이런 구성에서, 웨이퍼는 회전할 수 있는 척(411) 상에 위치된다. 위치 제어와 측정 수단(도시 안됨)을 갖는 기구 상에 장착된 센서(409)는 센서 신호가 원하는 수준에 있을 때까지 웨이퍼 모서리의 근처로 이동된다. 그후 웨이퍼는 센서 기구가 센서(409)의 위치를 이 원하는 수준에서 유지하기 위해 센서(409)에서 나오는 신호를 사용하는 동안 회전될 수 있음으로써, 센서(409)를 웨이퍼 모서리에 대해 동일한 관련 위치에 효과적으로 유지한다. 웨이퍼가 회전될 때, 센서 위치는 웨이퍼의 각방향 위치에 대해 판독된다. 이 데이터는 웨이퍼 회 전 위치에 대한 웨이퍼 모서리의 방사 위치의 변화를 나타내며, 웨이퍼 척의 중심 및 기준 배향에 대한 웨이퍼의 중심을 계산하기 위해 사용될 수 있다. 센서 신호 크기도 센서 기구 위치와 함께 판독된다면, 웨이퍼 중심 계산 또는 기준 배향의 정밀도를 개선할 수 있는 추가적인 모서리 위치 정보를 제공할 수 있다.
휠 장착 단부 작동체 정렬기(440)는 다음에 제한되는 것은 아니지만 웨이퍼의 모서리를 따라 노치를 검출하기 위해 광학 노치 센서(452)와 같이 그 밖의 부품을 포함할 수 있다. 예컨대, 일단 노치가 광학 노치 센서(452)에 의해 웨이퍼의 모서리를 따라 위치되면, 구동 휠(450)은 웨이퍼를 기대 위치로 회전시키고 회수할 수 있어서, 웨이퍼가 패드(448)까지 후퇴시킨다. 이 작업은 단부 작동체가 제자리에 있거나 이동하는 동안 수행될 수 있다. 웨이퍼가 FOUP(10) 사이에서 또는 FOUP(10)과 처리 기계 사이에서 이송되는 동안 웨이퍼를 정렬하는 능력은 단부 작동체가 작동하지 않고 놓여 있어야만 하는 시간의 양을 크게 줄이거나 없앤다. 또한, 웨이퍼 엔진(300)이 웨이퍼를 "비행 상태(on-the-fly)"에서 정렬할 수 있다면 별도의 처리부를 필요로 하지 않게 된다.
활주체(400)로 인해 많은 보조 기능과 측정과 감지를 위한 안정적 장착 플랫폼이 다양한 웨이퍼 데이터를 얻을 수 있게 된다. 단지 예로서, 부품은 웨이퍼 모서리를 검출하고, 웨이퍼 상의 노치 위치를 검출하고, OCR/바 코드를 판독하고, 미립자(후방면측 또는 전방측)를 계수하고, 막 두께/균일성 또는 회로 요소 라인 폭을 결정하고, 웨이퍼 두께 및 저항률을 (접촉 탐침 또는 비접촉 수단을 거쳐) 검출하기 위해 활주체(400)에 합체되거나 장착될 수 있다. 웨이퍼를 검사하고 표시하 기 위한 기술 분야에서 공지된 다른 처리가 활주체(400) 내로 합체될 수 있다.
캐리어로부터 작업물을 이송하기 위해, 단부 작동체(402, 404)는 작업물을 그 정지 위치로부터 들어올리기 위해 전송되어서 상향 이동될 작업물 아래에서 수평 이동한다. 단부 작동체(402, 404)는 그 모서리에서 작업물을 지지하기 위한 모서리 파지부를 포함할 수도 있다. 대안으로서, 단부 작동체(402, 404)는 그 바닥면으로 작업물을 지지하는 블레이드형 단부 작동체일 수 있다. 이런 실시예에서, 단부 작동체 블레이드의 표면으로 가요성 진공 튜브를 거쳐 작업물 조작 로봇을 통해 제공되는 부압을 생성하는 (도시 안된) 진공원이 패들 판(442)에 부착되거나 이로부터 떨어져서 부착될 수 있다. 진공원이 작동하면, 단부 작동체 블레이드의 표면에 부압이 형성되어서 작업물을 그 위에 단단히 유지할 수 있는 흡입력을 생성한다. 공지된 구조의 (도시 안된) 진공 센서가 로봇 상에 마련될 수도 있으며 작업물이 단부 작동체와 결합할 때를 검출해서 진공 튜브를 통한 공기 흡입을 억제하는 진공 시스템과 연결될 수도 있다. 본 발명은 상술한 단부 작동체에 제한되지 않으며 단부 작동체가 작업물을 집어들어서 강하하는 능력을 갖는한 다양한 단부 작동체 설계가 사용될 수 있다.
활주체(400)는 웨이퍼를 처리하고 1등급 영역으로부터 웨이퍼를 환경적으로 고립시키도록 개조될 수도 있다. 단지 예로서, 활주체(400)는 웨이퍼의 표면을 가열하거나 냉각하는 또는 열적 표면 처리를 수행하는 처리 기계를 포함할 수 있다. 다른 실시예에서, 활주체(400)는 웨이퍼 엔진(300)이 1등급 영역 내에서 처리 기계로부터 웨이퍼를 이송하는 동안 웨이퍼가 회수되어 들어갈 수 있고 내부에 일시 저 장될 수 있는 (도시 안된) 하우징을 포함할 수 있다. 하우징은 1등급 영역 환경보다 양호한 비활성 또는 청정 환경을 제공한다. 이런 시스템은 웨이퍼가 운반될 때 웨이퍼의 표면 위에 부유하는 산소 또는 비활성 가스를 포함할 수 있다.
이중 교체능
처리된 웨이퍼가 처리부로부터 제거될 때와 새로운 웨이퍼가 처리부 내로 위치될 때 사이의 시간은 "교체 시간"으로 알려져 있다. 대부분의 처리 기계에 있어서, 그 처리량은 처리 시간에 교체 시간을 더한 값에 의해 결정된다. 이들 중 어느 하나를 줄이면 처리량이 증가한다. 처리 시간은 공구 제조자의 권한이고 교체 시간은 주요 EFEM 제조자의 권한이다.
EFEM의 종래의 단일 단부 작동체 웨이퍼 조작 로봇(도17)에 있어서, 교체 시간은 단계 배열과 웨이퍼 조작 로봇의 속도에 따라 8초 내지 16초일 수 있다. 이런 로봇은 어떤 처리 단계에서 웨이퍼를 교체하기 위해 일반적으로 아래의 작업 순서를 사용한다. 교체 시간에 기여하는 항목은 굵은 글씨체로 쓰여 있다. 처리량을 결정하는 임계 경로 외의 항목은 괄호를 사용하였다.
1. 처리부로부터의 웨이퍼 입수
2. 로드 포트에 처리된 웨이퍼 설치
3. 정렬기로부터 정렬된 웨이퍼 입수
4. 처리부에 웨이퍼 설치
[웨이퍼 처리 시작]
5. (처리 중, 로봇은 로드 포트부터 새로운 웨이퍼 입수)
6. (처리 중, 로봇은 정렬기에 새로운 웨이퍼 배치)
7. (처리 중, 정렬기는 웨이퍼 정렬)
[반복]
신속 교체 로봇[예컨대, 웨이퍼 엔진(300)]은 두 개의 단부 작동체를 가지며 따라서 다음의 단축된 순서를 사용하여 상기와 동일한 기능을 수행함으로써 교체 시간을 크게 줄일 수 있다.
[처리 완료]
1. 패들 1을 사용하여 처리부로부터의 웨이퍼 입수
2. 패들 2를 사용하여 처리부에 정렬된 웨이퍼 설치
[웨이퍼 처리]
3. (처리 중, 로드 포트부터 새로운 웨이퍼 입수)
4. (처리 중, 정렬기에 새로운 웨이퍼 설치)
5. (처리 중, 정렬기 웨이퍼 정렬)
6. (처리 중, 정렬기로부터 정렬된 웨이퍼 입수)
[반복]
이 경우, 교체 시간은 로봇의 속도에 따라 3 내지 6초만큼 저감될 수 있다. 로봇이 모든 이런 동작을 완료하기 위한 전체 시간도 조금 저감될 수 있다. 전체 동작 시간은 처리 시간이 아주 짧은 곳에 적용할 때 가장 중요하며 따라서 위에서 괄호 안의 항목도 임계 경로 또는 처리량으로 고려될 될 것이다.
로봇이 휠 장착 정렬기(440)를 갖는 웨이퍼 엔진(300)과 같이 신속 교체능 뿐 아니라 비행중 정렬능을 갖는다면 처리량의 추가적 개선과 전체 로봇 동작의 저감이 이루어질 것이다. 비행중 정렬은 교체 시간을 줄이지 않지만 전체 로봇 동작 시간을 줄임으로써, 처리 시간이 낮은 경우 또는 로봇이 복수개의 처리부를 지지해야만 하는 경우의 처리량을 증가시킨다. 또한, 로봇 동작 및 웨이퍼 전달 횟수를 줄임으로써, 비행중 정렬은 로봇 수명을 증가시키고 청결도를 개선할 수 있다.
비행중 정렬 신속 교체 웨이퍼 엔진에 있어서, 비교 가능한 작업 순서는 당음과 같다.
[처리 완료]
1. 패들 1을 사용하여 처리부로부터의 웨이퍼 입수
2. 패들 2를 사용하여 처리부에 정렬된 웨이퍼 설치
[웨이퍼 처리]
3. (처리 중, 로드 포트부터 새로운 웨이퍼 입수)
4. (처리 중, 웨이퍼를 정렬함과 동시에 후속 신속 교체 위치로 이동)
[반복]
비제한적 z-축 동작
도25는 휠 장착 정렬기(454) 및 ID 판독기(430)를 갖는 중심 이탈 활주체(400)와, 긴 모양의 z-축 구동 기둥(380')을 포함하는 웨이퍼 엔진(300')을 도시한다. 웨이퍼 엔진에 대한 본 실시예는, 예컨대 비축기 또는 FOUP I/O 포트 위에 위치될 수 있는 로드 포트 또는 처리부에 대해 접근하기 위해 긴 모양의 z-축 구동 기둥(380')을 포함한다. 기본적으로, z-축 구동 기둥(380 또는 380')의 높이 는 제한되어 있지 않다. 웨이퍼 엔진(300 또는 300')은 방사 방향 또는 r축을 따라 상단부 작동체(402) 또는 하단부 작동체(404)를 이동시킴으로써 FOUP(10) 내에 위치된 웨이퍼에 접근할 수 있다. 상단부 작동체(402) 또는 하단부 작동체(404)가 FOUP(10) 내로 이동해야만 하는 거리는 이동 동작이 웨이퍼 엔진(300 또는 300')에 가장 자주 요구되는 동작이기 때문에 짧은 거리로 설계된다. 수직 구동 기둥(380 또는 380')의 높이는 상단부 작동체(402)와 하단부 작동체(404) 중 어느 하나가 이동해야만 하는 거리에 영향을 미치지 않는다. 따라서, 수직 구동 기둥(380 또는 380')의 높이는 방사 방향 또는 r축을 따르는 동작에 영향을 미치지 않는다.
종래의 웨이퍼 조작 로봇은 단부 작동체가 FOUP(10)에 접근해서 FOUP(10)로부터 웨이퍼를 제거하도록 z 구동 기둥을 FOUP(10)쪽으로 선형 이동시켜야 한다. 따라서, 이런 웨이퍼 조작 로봇을 위한 높이가 긴 수직 구동 기둥은 모터 또는 벨트 구동에 의해 대형 수직 기둥을 이동시킬 것을 필요로 한다. 본 출원에 개시된 웨이퍼 엔진은 가장 일반적으로 이동되는 방사 방향 또는 r축을 따르는 동작축이 가장 짧은 거리이기도 하기 때문에 종래의 이와 같은 웨이퍼 조작 로봇보다 개선된 것이다.
도27A는 종래의 선형 활주 로봇이 웨이퍼를 처리 기계 내로 이송해서 회수하기 위해 250 ㎜ 처리 기계 내에 도달할 수 있음을 도시한다. 마찬가지로, 종래의 웨이퍼 조작 로봇은 웨이퍼 조작 로봇이 EFEM 내에서 조작할 수 있도록 520 ㎜의 EFEM 작업 공간 내에 최소의 유격을 필요로 한다. 도27B는 θ축 둘레에서 회전하는 중심 이탈 활주체의 도달 거리 및 선회 유격 이익을 도시한다. 양호한 실시예 에서, 도19에서 θ축으로서 도시된 중심 이탈 활주체의 회전축은 대략 50 ㎜만큼 오프셋된다. 웨이퍼 엔진(300)에 대한 중심 이탈 회전축은 두 가지의 뚜렷한 장점을 갖는다. 첫번째는 처리 기계 내로의 (예컨대, 상단부 작동체(402) 또는 하단부 작동체(404)와 같은) 단부 작동체의 최대 도달 거리가 350 ㎜까지 증가된다는 것이다. 두번째는 EFEM 작업 공간 내에서 요구되는 최소 유격이 420 ㎜로 저감된다는 것이다. 여기에서 최대 도달 거리 및 최소 유격 거리는 단지 예일 뿐이다. 웨이퍼 엔진(300)에 필요한 최소 유격을 감소시키면서 처리 기계 내로의 단부 작동체의 도달 거리를 증가시키면 EFEM의 전체 규모가 감소된다.
도28은 중심 이탈 회전축을 구비한 신속 교체 활주체(400)를 갖는 웨이퍼 엔진(300)의 동작 순서에 대한 일 예를 도시한다. 단지 예로서, 단계 1은 로드 포트 영역 1에서 웨이퍼를 들어올리는 웨이퍼 엔진(300)을 도시한다. 단계 2는 반경 방향 축을 따라 로드 포트 1 내로부터 웨이퍼를 회수하는 웨이퍼 엔진(300)을 도시한다. 단계 3은 로드 포트 1과의 충돌을 방지하기 위해 θ축 둘레에서 회전함과 동시에 x-축을 따라 후방 이동하는 웨이퍼 엔진(300)을 도시한다. 단계 4는 처리부의 I/O 포트쪽으로 x-축을 따라 이동하는 웨이퍼 엔진(300)을 도시한다. 단계 5는 처리부 내로의 입구에 웨이퍼를 위치시키기 위해 θ축 둘레에서 회전하면서 x-축을 따라 이동하는 웨이퍼 엔진(300)을 도시한다. 단계 6은 처리가 완료되기를 기다리는 웨이퍼 엔진(300)을 도시한다. 단계 7은 처리된 웨이퍼를 처리부로 진입하려는 새로운 웨이퍼로 교체하는 웨이퍼 엔진(300)을 도시한다. 마지막으로, 단계 8은 처리된 웨이퍼를 로드 포트 1, 2 또는 3으로 복귀시키기 위해 x-축 및 θ축을 따라 동시에 이동하면서 반경 방향 축 의 처리된 웨이퍼를 회수하는 웨이퍼 엔진(300)을 도시한다.
상술한 웨이퍼 엔진(300, 300')은 종래의 웨이퍼 조작 로봇보다 여러 이점을 제공한다. 대부분의 웨이퍼 조작 적용에 있어서, FOUP(10) 또는 처리부 내외로 웨이퍼를 삽입하고 제거하는 데 요구되는 방사 방향 동작은 가장 높은 듀티 사이클과 가장 긴 전체 이동 거리를 갖는다. 웨이퍼 엔진(300)은 웨이퍼에 대한 접근을 시도하기 전에 웨이퍼에 가능한 근접하게 반경 방향 구동부(400)를 위치시킨다. 이런 배치는 상단부 작동체(402) 및 하단부 작동체(404)의 동작 시간 및 이동 물량과 마모를 저감시킨다.
z-구동 기둥(380)은 웨이퍼 엔진(300)이 회전할 때 웨이퍼가 지나가는 동일한 용적의 공간을 차지한다. 구동 기둥(380)은 또한 작업면 아래로 확장되지 않는다. 종래의 웨이퍼 조작 로봇은 FUOP(10) 내에 있는 여러 웨이퍼에 접근하기 위해 웨이퍼 면 아래에 위치된 영역을 이용해야만 한다. 통상적으로, 단부 작동체는 z축을 따라 상하로 이동하는 기둥의 상부에 장착된다. 기둥은 다르게는 다른 목적을 위해 사용될 수도 있는 공간을 차지한다. 마찬가지로, 기둥이 x축을 따라 수평 이동할 때, 웨이퍼 면 아래에 위치된 영역은 기둥이 어떠한 장애물 내로 주행해서 손상시키지 않도록 사실상 비어 있어야 한다.
계속해서 특유의 요소들을 갖고 상술한 이점을 갖는 웨이퍼 엔진(300)에 대해 이루어질 수 있는 여러 개의 개조예 및/또는 변경예가 있다. 단지 예로서, x-축 구동부(302)는 몇몇 적용에서 생략될 수 있다. 마찬가지로, 단일 반경 방향 축 으로 충분할 수 있다. 또한, 몇몇 적용(예컨대, 분류기)에는 회전 구동부가 필요없을 수 있다. 대신에, z-축 구동부(380)가 x-캐리지(308)에 장착될 수 있다. 분류기 적용은, 예컨대 모든 로드 포트를 동일 방향으로 장착시킬 수 있으며, 정렬 및 ID 판독이 웨이퍼 엔진(300) 내에 합체되면, 회전 필요성은 제거될 수 있다.
도29 내지 도31은 일체형 시스템의 여러 구성을 도시한다. 도29A는 로울 아웃 프레임 상에 장착된 일체형 시스템을 도시한다. 상술한 바와 같이, 종래의 EFEM은 웨이퍼 제조실의 바닥까지 아래로 계속해서 확장된다. 척추 구조물(100) 또는 본 출원에 개시된 다른 실시예로부터 EFEM을 구성하여 공간을 절약함으로써 일체형 시스템의 규모는 크게 저감된다. 도29A에 도시된 바와 같이, 일체형 시스템은 로드 포트 조립체가 900 ㎜의 SEMI 표준 높이에서 유지되도록 로울 아웃 프레임 상에 장착된다. 이런 일체형 시스템이 처리 기계의 전방 단부에 볼트 체결될 때 그리고 양호한 실시예에서, 일체형 시스템과 웨이퍼 제조실 바닥 아래에는 대략 2 피트의 개방 공간이 위치된다. 종래에 이 공간은 웨이퍼 제조실에서는 얻어질 수 없었다. 이런 공간으로 인해 반도체 제작자들은 일체형 시스템 아래에 전기 제어 박스와 같은 다른 품목을 위치시킬 수 있게 된다.
대안으로서, 처리 기계는 이제 일체형 시스템 아래에서 낮게 진행하여 다가설 수 있는 보수 진입로를 가질 수 있다. 로울 아웃 프레임은 또한 일체형 시스템이 볼트 체결된 처리 기계의 전체 보수 특징을 개선한다. 단지 예로서, 보수가 처리 기계 상에서 수행되어야만 하는 경우, 일체형 시스템은 처리 기계에서 볼트 해제될 수 있고, 로울 아웃 프레임의 휠은 로크 해제되고, 일체형 시스템은 처리 기 계의 전방 단부로부터 굴려져서 분리된다. 처리 기계에 볼트 체결되는 종래의 EFEM은 EFEM이 굴러서 분리될 수 있게 하는 휠을 포함하지 않으며 통상적으로 너무 무거워서 EFEM을 처리 기계로부터 들어올려 분리하기 위해서는 여러 명의 수리공이 필요하다. 상술한 바와 같이, 본 발명의 일체형 시스템은 중량이 단지 수 ㎏(수백 파운드)이며 따라서 한 명의 수리공만으로도 처리 기계의 전방으로부터 용이하게 굴려서 분리할 수 있다.
도30은 처리 기계 내로 일체화된 일체형 시스템을 도시한다. 단지 예로서, 본 발명의 시스템은 처리 기계에 일체로 형성되어 장착될 수 있다. 본 시스템의 한가지 장점은 웨이퍼 제조실 내의 모든 처리 기계가 여기에 장착된 일체형 시스템을 갖는다면, 웨이퍼 제조실은 유사한 환경을 수용하면서도 각 처리 기계의 필요에 따라 구성될 수 있는 전방 및 로드 시스템을 가짐으로써, 여분의 부품을 비축하고 수리공을 훈련시킬 필요성을 줄일 수 있다.
전기 제어 시스템
종래의 EFEM은 전 세계의 여러 나라에서의 전력 조건과 양립 가능한 전력 배전부를 가져야만 한다. 따라서, 대부분의 EFEM은 오늘날 110 V 또는 220 V 중 어느 하나를 채택해야만 한다. 어느 한 전력 시스템을 취하게 되면 EFEM은 다른 전기 부품 뿐 아니라 승압 또는 강압 변압기와 같은 전력 부품을 포함해야만 한다. 이런 전기 부품은 EFEM 내에 장착되어야 하며 따라서 EFEM의 규모를 증가시킨다.
본 발명의 EFEM은 FOUP 진행판 조립체, 웨이퍼 엔진(300) 및 팬/필터 유닛(150)과 같은 모든 전기 부품이 모두 48 V 시스템 하에서 작동하도록 설계된 다. 일반적으로, 본 발명의 EFEM은 상술한 모든 요소를 제어하기 위해 48 V로 강하되는 110 V 또는 220 V 시스템 중 어느 한 시스템에 전기 접속될 수 있다. EFEM의 전기 배전 시스템을 단순화하면 승압 변압기와 같은 많은 종래의 전력 배전 부품이 필요없게 되며 따라서 본 발명의 EFEM의 규모가 더욱 감소된다.

Claims (46)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 작업물을 이동시키기 위한 작업물 엔진으로서,
    수축된 위치(retracted position)과 연장된 위치 사이에서 단부 작동체(end effector)를 이동시키기 위한 제1 구동 기구를 실질적으로 둘러싸는 활주체, 그리고,
    저부와, 상기 저부와 커플링 연결되어 있고 수직 θ축 주위로 상기 저부를 회전시키기 위한 회전 구동부와, 상기 활주체에 고정되도록 형성된 지지 부재와, 상기 수직 θ축으로부터 수평으로 오프셋되어 있고 상기 저부로부터 원위 단부(distal end)로 연장되어 있는 단일 수직 칼럼으로서 단일 수직 칼럼의 상기 원위 단부와 상기 저부 사이에서 상기 지지 부재를 수직으로 이동시키기 위한 제2 구동 기구를 둘러싸고 있는 단일 수직 칼럼을 구비한 엘리베이터를 포함하는 것을 특징으로 하는 작업물 엔진.
  20. 제19항에 있어서, 상기 엘리베이터를 수평으로 이동시키기 위하여 상기 회전 구동부와 커플링 연결된 제3 구동 기구를 추가로 포함하는 것을 특징으로 하는 작업물 엔진.
  21. 제19항에 있어서, 상기 활주체는 가스-유동 슬롯을 포함하는 것을 특징으로 하는 작업물 엔진.
  22. 제21항에 있어서, 상기 가스-유동 슬롯을 통하여 상기 활주체로 가스를 끌어당기기 위한 팬(fan)/필터 유닛을 추가로 포함하는 것을 특징으로 하는 작업물 엔진.
  23. 제22항에 있어서, 상기 팬/필터 유닛은 여과된 가스를 상기 활주체를 둘러싸는 국소 청정 환경(mini-environment)의 청정 부분으로 되돌려 재순환시키는 것을 특징으로 하는 작업물 엔진.
  24. 제19항에 있어서, 상기 활주체는 상기 단일 수직 칼럼과 대체로 직교하는 것을 특징으로 하는 작업물 엔진.
  25. 제19항에 있어서, 하나의 단부 작동체(end effector)를 추가로 포함하는 것을 특징으로 하는 작업물 엔진.
  26. 제25항에 있어서, 제2 단부 작동체(end effector)를 추가로 포함하는 것을 특징으로 하는 작업물 엔진.
  27. 제19항에 있어서, 상기 활주체는, (i) 작업물 ID 판독기, (ⅱ) 작업물 정렬기, (ⅲ) 작업물 노치 검출기, (ⅳ) 작업물 모서리 검출기, (ⅴ) 작업물 표시 툴, (ⅵ) 작업물 처리(processing) 모듈, (ⅶ) 작업물 검사 장치, (ⅷ) 환경 제어 장치 및 (ⅸ) 측정 도구로 이루어진 그룹 중에서 선택된 적어도 하나의 부품을 포함하는 것을 특징으로 하는 작업물 엔진.
  28. 제19항에 있어서, 상기 엘리베이터는, (i) 작업물 ID 판독기, (ⅱ) 작업물 정렬기, (ⅲ) 작업물 노치 검출기, (ⅳ) 작업물 모서리 검출기, (ⅴ) 작업물 표시 툴, (ⅵ) 작업물 처리 모듈, (ⅶ) 작업물 검사 장치, (ⅷ) 환경 제어 장치 및 (ⅸ) 측정 도구로 이루어진 그룹 중에서 선택된 적어도 하나의 부품을 추가로 포함하는 것을 특징으로 하는 작업물 엔진.
  29. 국소 청정 환경(mini-environment)의 청정 구역 내에서 작업물을 이동시키기 위한 작업물 엔진의 활주체로서,
    수축된 위치(retracted position)과 연장된 위치 사이에서 단부 작동체(end effector)를 이동시키기 위한 구동 기구와,
    상기 구동 기구를 실질적으로 둘러싸며 적어도 하나의 가스-유동 슬롯을 가지는 하우징과,
    상기 적어도 하나의 가스-유동 슬롯을 통하여 상기 하우징 내로 가스를 끌어들이기 위한 팬(fan)/필터 유닛으로서, 가스를 여과하고 여과된 가스를 상기 하우징을 둘러싸는 국소 청정 환경(mini-environment)의 청정 구역 내로 되돌려 재순환시키는 팬/필터 유닛을 포함하는 것을 특징으로 하는 작업물 엔진의 활주체.
  30. 제29항에 있어서, 하나의 단부 작동체를 추가로 포함하는 것을 특징으로 하는 작업물 엔진의 활주체.
  31. 제29항에 있어서, 상기 팬/필터 유닛은 상기 하우징에 장착되는 것을 특징으로 하는 작업물 엔진의 활주체.
  32. 제30항에 있어서, 상기 단부 작동체는,
    제2 핑거로부터 간격을 두고 위치한 제1 핑거를 가지는 원위 단부(distal end)를 구비하고 또한 근접 단부(proximal end)를 구비하는 지지대와,
    상기 지지대의 상기 근접 단부 상에 회전가능하게 장착된 휠과,
    상기 제1 핑거 및 제2 핑거 상에 회전가능하게 장착된 적어도 하나의 수동 휠(passive wheel)을 포함하는 것을 특징으로 하는 작업물 엔진의 활주체.
  33. 제29항에 있어서, (i) 작업물 ID 판독기, (ⅱ) 작업물 정렬기, (ⅲ) 작업물 노치 검출기, (ⅳ) 작업물 모서리 검출기, (ⅴ) 작업물 표시 툴, (ⅵ) 작업물 처리 모듈, (ⅶ) 작업물 검사 장치, (ⅷ) 환경 제어 장치 및 (ⅸ) 측정 도구로 이루어진 그룹 중에서 선택된 적어도 하나의 부품을 추가로 포함하는 것을 특징으로 하는 작업물 엔진의 활주체.
  34. 저부, 지지 부재, 그리고 원위 단부를 가지는 단일 수직 칼럼을 포함하는 엘리베이터로서, 상기 단일 수직 칼럼은 상기 저부와 상기 수직 칼럼의 상기 원위 단부 사이에서 상기 지지 부재를 수직으로 이동시키기 위한 구동 기구를 둘러싸도록 되어 있는 엘리베이터와,
    상기 지지 부재에 고정되어 있는 작업물 핸들링 로봇으로서, 단부 작동체(end effector)와 상기 지지 부재에 회전가능하게 커플링 연결되어 있는 적어도 하나의 암을 구비하는 작업물 핸들링 로봇과,
    상기 엘리베이터의 상기 저부를 수직 θ축 주위로 회전시키기 위한 회전 구동 조립체를 포함하는 것을 특징으로 하는 작업물 엔진.
  35. 제34항에 있어서, 상기 엘리베이터를 이동시키기 위한 선형 구동 조립체를 추가로 포함하는 것을 특징으로 하는 작업물 엔진.
  36. 제34항에 있어서, 상기 단일 수직 칼럼은 상기 수직 θ축과 수평으로 오프셋되어 있는 것을 특징으로 하는 작업물 엔진.
  37. 작업물을 이동시키기 위한 작업물 엔진으로서, 엘리베이터를 포함하되,
    상기 엘리베이터는,
    저부와,
    지지 부재와,
    상기 저부로부터 원위 단부로 연장되어 있는 단일 수직 칼럼으로서, 단일 수직 칼럼의 상기 원위 단부와 상기 저부 사이에서 상기 지지 부재를 수직으로 이동시키기 위한 제1 구동 기구를 둘러싸고 있는 단일 수직 칼럼과,
    상기 저부와 커플링 연결되어 있는, 수직 θ축 주위로 상기 저부를 회전시키기 위한 회전 구동부와,
    수축된 위치(retracted position)과 연장된 위치 사이에서 단부 작동체 마운트를 이동시키기 위한 제2 구동 기구를 실질적으로 둘러싸는 활주체를 구비하고,
    상기 활주체는, 상기 지지 부재에 고정되어 있을 때에, 상기 단부 작동체 마운트의 동작과 대체로 직교하고 상기 수직 θ축을 통과하는 수직 평면에 대하여 전방으로 오프셋되어 있는 것을 특징으로 하는 작업물 엔진.
  38. 제37항에 있어서, 상기 활주체는 가스-유동 슬롯을 포함하는 것을 특징으로 하는 작업물 엔진.
  39. 제38항에 있어서, 상기 가스-유동 슬롯을 통하여 상기 활주체로 가스를 끌어당기고 가스를 여과하기 위한 팬(fan)/필터 유닛을 추가로 포함하는 것을 특징으로 하는 작업물 엔진.
  40. 제39항에 있어서, 상기 팬/필터 유닛은 여과된 가스를 상기 활주체를 둘러싸는 국소 청정 환경(mini-environment)의 청정 구역으로 되돌려 재순환시키는 것을 특징으로 하는 작업물 엔진.
  41. 제37항에 있어서, 하나의 단부 작동체를 추가로 포함하는 것을 특징으로 하는 작업물 엔진.
  42. 제37항에 있어서, 상기 활주체는, (i) 작업물 ID 판독기, (ⅱ) 작업물 정렬기, (ⅲ) 작업물 노치 검출기, (ⅳ) 작업물 모서리 검출기, (ⅴ) 작업물 표시 툴, (ⅵ) 작업물 처리(processing) 모듈, (ⅶ) 작업물 검사 장치, (ⅷ) 환경 제어 장치 및 (ⅸ) 측정 도구로 이루어진 그룹 중에서 선택된 적어도 하나의 부품을 포함하는 것을 특징으로 하는 작업물 엔진.
  43. 제37항에 있어서, 상기 단일 수직 칼럼은 가스-유동 슬롯을 포함하는 것을 특징으로 하는 작업물 엔진.
  44. 제43항에 있어서, 상기 저부를 통하여, 상기 단일 수직 칼럼 내의 상기 가스-유동 슬롯 내로 가스를 끌어당기고 가스를 웨이퍼 엔진 외부로 배출하기 위한 배기 장치를 추가로 포함하는 것을 특징으로 하는 작업물 엔진.
  45. 제37항에 있어서, 상기 저부와 커플링 연결된 웨이퍼 엔진 지지대를 추가로 포함하는 것을 특징으로 하는 작업물 엔진.
  46. 제45항에 있어서, 상기 웨이퍼 엔진 지지대를 수평으로 이동시키기 위하여 상기 웨이퍼 엔진 지지대와 커플링 연결되는 선형 구동 기구를 추가로 포함하는 것을 특징으로 하는 작업물 엔진.
KR1020047003086A 2001-08-31 2002-08-30 웨이퍼 엔진 KR100800613B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US31672201P 2001-08-31 2001-08-31
US60/316,722 2001-08-31
US10/087,400 2002-03-01
US10/087,400 US7066707B1 (en) 2001-08-31 2002-03-01 Wafer engine
PCT/US2002/027909 WO2003021645A2 (en) 2001-08-31 2002-08-30 Wafer engine

Publications (2)

Publication Number Publication Date
KR20040041157A KR20040041157A (ko) 2004-05-14
KR100800613B1 true KR100800613B1 (ko) 2008-02-05

Family

ID=26776942

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047003086A KR100800613B1 (ko) 2001-08-31 2002-08-30 웨이퍼 엔진

Country Status (7)

Country Link
US (2) US7066707B1 (ko)
JP (1) JP4287271B2 (ko)
KR (1) KR100800613B1 (ko)
CN (1) CN1329948C (ko)
DE (1) DE10297171T5 (ko)
TW (1) TW579538B (ko)
WO (1) WO2003021645A2 (ko)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6918731B2 (en) 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
JP4137711B2 (ja) * 2003-06-16 2008-08-20 東京エレクトロン株式会社 基板処理装置及び基板搬送手段の位置合わせ方法
US7236853B2 (en) * 2003-10-01 2007-06-26 Varian Semiconductor Equipment Associates, Inc. Automated robot alignment system and method using kinematic pins and end effector sensor
US7607879B2 (en) * 2004-06-15 2009-10-27 Brooks Automation, Inc. Substrate processing apparatus with removable component module
US20060216137A1 (en) * 2004-07-02 2006-09-28 Katsunori Sakata Carrying apparatus and carrying control method for sheet-like substrate
US7578650B2 (en) * 2004-07-29 2009-08-25 Kla-Tencor Technologies Corporation Quick swap load port
US20060154385A1 (en) * 2005-01-07 2006-07-13 Ravinder Aggarwal Fabrication pathway integrated metrology device
US7410340B2 (en) * 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading
US7771151B2 (en) * 2005-05-16 2010-08-10 Muratec Automation Co., Ltd. Interface between conveyor and semiconductor process tool load port
US9457442B2 (en) * 2005-06-18 2016-10-04 Futrfab, Inc. Method and apparatus to support process tool modules in a cleanspace fabricator
US7604449B1 (en) * 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
US8821099B2 (en) 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
KR100818044B1 (ko) * 2006-05-04 2008-03-31 위순임 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
US7887280B2 (en) * 2006-05-11 2011-02-15 Tokyo Electron Limited Processing apparatus
KR100832772B1 (ko) * 2006-05-22 2008-05-27 주식회사 나온테크 반도체이송장비
JP4606388B2 (ja) * 2006-06-12 2011-01-05 川崎重工業株式会社 基板移載装置の搬送系ユニット
JP2008032335A (ja) * 2006-07-31 2008-02-14 Hitachi High-Technologies Corp ミニエンバイロメント装置、検査装置、製造装置、及び空間の清浄化方法
US9117859B2 (en) 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
KR101613836B1 (ko) * 2007-05-17 2016-04-21 브룩스 오토메이션 인코퍼레이티드 측면 개방형 기판 캐리어 및 로드 포트
TWI475627B (zh) 2007-05-17 2015-03-01 Brooks Automation Inc 基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法
US7976263B2 (en) * 2007-09-22 2011-07-12 David Barker Integrated wafer transfer mechanism
US8277165B2 (en) * 2007-09-22 2012-10-02 Dynamic Micro System Semiconductor Equipment GmbH Transfer mechanism with multiple wafer handling capability
WO2009066573A1 (ja) * 2007-11-21 2009-05-28 Kabushiki Kaisha Yaskawa Denki 搬送ロボット、それを備えた局所クリーン化された筐体、及びそれを備えた半導体製造装置
KR100916538B1 (ko) * 2007-12-12 2009-09-11 코리아테크노(주) 멀티 소터의 웨이퍼 핸들링장치
JP4909919B2 (ja) * 2008-02-20 2012-04-04 株式会社日立ハイテクコントロールシステムズ ウェーハ搬送装置
US8757026B2 (en) * 2008-04-15 2014-06-24 Dynamic Micro Systems, Semiconductor Equipment Gmbh Clean transfer robot
WO2009130793A1 (ja) * 2008-04-25 2009-10-29 株式会社アドバンテスト 試験システムおよびプローブ装置
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8882433B2 (en) 2009-05-18 2014-11-11 Brooks Automation, Inc. Integrated systems for interfacing with substrate container storage systems
JP2011003864A (ja) * 2009-06-22 2011-01-06 Tokyo Electron Ltd 基板搬送装置、基板搬送方法、塗布、現像装置及び記憶媒体
US20120136472A1 (en) * 2010-11-25 2012-05-31 Li Yan-Ze Dual-arm type robotic arm and its method of transporting panels
US9431282B2 (en) * 2011-12-27 2016-08-30 Rudolph Technologies, Inc. Wafer inversion mechanism
JP5920626B2 (ja) * 2012-08-20 2016-05-18 Tdk株式会社 ロードポート装置
JP5920627B2 (ja) * 2012-08-29 2016-05-18 Tdk株式会社 ロードポート装置
US9335347B2 (en) * 2012-09-10 2016-05-10 Advantest Corporation Method and apparatus for massively parallel multi-wafer test
US9545724B2 (en) * 2013-03-14 2017-01-17 Brooks Automation, Inc. Tray engine with slide attached to an end effector base
CN103496590A (zh) * 2013-10-14 2014-01-08 吴江市博众精工科技有限公司 一种吸料机构
JP6190692B2 (ja) * 2013-10-22 2017-08-30 日本電産サンキョー株式会社 産業用ロボット
JP6349750B2 (ja) * 2014-01-31 2018-07-04 シンフォニアテクノロジー株式会社 Efem
CN113270350A (zh) * 2014-01-17 2021-08-17 布鲁克斯自动化公司 衬底运输设备
KR102327982B1 (ko) 2014-06-17 2021-11-17 카티바, 인크. 인쇄 시스템 조립체 및 방법
JP6215785B2 (ja) * 2014-06-30 2017-10-18 ファナック株式会社 ワーク搬送システム
JP6451453B2 (ja) * 2015-03-31 2019-01-16 Tdk株式会社 ガスパージ装置、ロードポート装置、パージ対象容器の設置台およびガスパージ方法
WO2017011581A1 (en) 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
KR102557355B1 (ko) 2015-07-13 2023-07-20 브룩스 오토메이션 인코퍼레이티드 기판 운송 장치
US9961782B2 (en) 2016-07-08 2018-05-01 Kateeva, Inc. Transport path correction techniques and related systems, methods and devices
US10607879B2 (en) 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
US10541165B2 (en) * 2016-11-10 2020-01-21 Applied Materials, Inc. Systems, apparatus, and methods for an improved load port backplane
US10566216B2 (en) * 2017-06-09 2020-02-18 Lam Research Corporation Equipment front end module gas recirculation
US10903107B2 (en) 2017-07-11 2021-01-26 Brooks Automation, Inc. Semiconductor process transport apparatus comprising an adapter pendant
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US11088004B2 (en) 2018-01-30 2021-08-10 Brooks Automation, Inc. Automatic wafer centering method and apparatus
TW202401627A (zh) 2018-03-16 2024-01-01 美商布魯克斯自動機械美國公司 基板輸送裝置及用於基板輸送裝置之方法
US11194259B2 (en) * 2018-08-30 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Equipment module with enhanced protection from airborne contaminants, and method of operation
CN111375558B (zh) * 2018-12-29 2024-01-09 乐山希尔电子股份有限公司 一种耐压测试装置
US11276593B2 (en) 2019-07-22 2022-03-15 Rorze Automation, Inc. Systems and methods for horizontal wafer packaging
TW202249142A (zh) * 2021-02-25 2022-12-16 日商東京威力科創股份有限公司 基板搬送機構及基板搬送方法
US11735455B2 (en) * 2021-03-12 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Systems, devices, and methods for air flow optimization including adjacent a FOUP

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010013423A (ko) * 1997-06-04 2001-02-26 조셉 제이. 스위니 원형콘베이어의 웨이퍼 이송 시스템
KR20010029808A (ko) * 1999-06-16 2001-04-16 히가시 데쓰로 성막방법 및 막 형성 시스템

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4770590A (en) * 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
JP2839265B2 (ja) * 1988-08-11 1998-12-16 ファナック 株式会社 水平関節形ロボット
US5135349A (en) * 1990-05-17 1992-08-04 Cybeq Systems, Inc. Robotic handling system
JP2867194B2 (ja) 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
JP3030160B2 (ja) 1992-04-28 2000-04-10 東京エレクトロン株式会社 真空処理装置
KR940006241A (ko) 1992-06-05 1994-03-23 이노우에 아키라 기판이재장치 및 이재방법
JP2913439B2 (ja) * 1993-03-18 1999-06-28 東京エレクトロン株式会社 移載装置及び移載方法
US5849602A (en) * 1995-01-13 1998-12-15 Tokyo Electron Limited Resist processing process
US5667353A (en) * 1995-03-31 1997-09-16 Inspex Inc. Robot system
US5794487A (en) * 1995-07-10 1998-08-18 Smart Machines Drive system for a robotic arm
TW317644B (ko) * 1996-01-26 1997-10-11 Tokyo Electron Co Ltd
US6091498A (en) 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6099643A (en) * 1996-12-26 2000-08-08 Dainippon Screen Mfg. Co., Ltd. Apparatus for processing a substrate providing an efficient arrangement and atmospheric isolation of chemical treatment section
US6138721A (en) 1997-09-03 2000-10-31 Asyst Technologies, Inc. Tilt and go load port interface alignment system
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
WO1999028952A2 (en) 1997-11-28 1999-06-10 Fortrend Engineering Corporation Wafer-mapping load port interface
US6155768A (en) 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
JPH11220001A (ja) 1998-01-30 1999-08-10 Hitachi Ltd 半導体基板処理装置におけるロードポート及びロードポート搬送台車
FR2778496B1 (fr) 1998-05-05 2002-04-19 Recif Sa Procede et dispositif de changement de position d'une plaque de semi-conducteur
US6142722A (en) 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6281516B1 (en) 1998-07-13 2001-08-28 Newport Corporation FIMS transport box load interface
US6220808B1 (en) 1998-07-13 2001-04-24 Asyst Technologies, Inc. Ergonomic, variable size, bottom opening system compatible with a vertical interface
US6261044B1 (en) 1998-08-06 2001-07-17 Asyst Technologies, Inc. Pod to port door retention and evacuation system
US6188323B1 (en) 1998-10-15 2001-02-13 Asyst Technologies, Inc. Wafer mapping system
JP2001031211A (ja) 1999-07-26 2001-02-06 Murata Mach Ltd 搬送システム
JP4248695B2 (ja) * 1999-07-26 2009-04-02 東京エレクトロン株式会社 ウェハ移載装置の緊急停止装置
AU6763000A (en) * 1999-08-11 2001-03-05 Multilevel Metals, Inc. Load lock system for foups
US6305500B1 (en) 1999-08-25 2001-10-23 Maxtor Corporation Material delivery system for clean room-like environments
AU2001273666A1 (en) * 2000-07-07 2002-01-21 Applied Materials, Inc. Automatic door opener
US6327517B1 (en) * 2000-07-27 2001-12-04 Applied Materials, Inc. Apparatus for on-the-fly center finding and notch aligning for wafer handling robots
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010013423A (ko) * 1997-06-04 2001-02-26 조셉 제이. 스위니 원형콘베이어의 웨이퍼 이송 시스템
KR20010029808A (ko) * 1999-06-16 2001-04-16 히가시 데쓰로 성막방법 및 막 형성 시스템

Also Published As

Publication number Publication date
JP2005527966A (ja) 2005-09-15
WO2003021645A2 (en) 2003-03-13
CN1329948C (zh) 2007-08-01
US7648327B2 (en) 2010-01-19
KR20040041157A (ko) 2004-05-14
TW579538B (en) 2004-03-11
JP4287271B2 (ja) 2009-07-01
DE10297171T5 (de) 2004-07-29
US20060120833A1 (en) 2006-06-08
WO2003021645A3 (en) 2003-07-24
CN1561536A (zh) 2005-01-05
US7066707B1 (en) 2006-06-27

Similar Documents

Publication Publication Date Title
KR100800613B1 (ko) 웨이퍼 엔진
KR100800612B1 (ko) 반도체 재료 처리 시스템
KR100809107B1 (ko) 반도체 재료 처리 시스템용 통합 프레임
CN1996552B (zh) 晶片机
US7419346B2 (en) Integrated system for tool front-end workpiece handling
US9943969B2 (en) Clean transfer robot
KR100562542B1 (ko) 모듈형 선별기
JP5758628B2 (ja) 一体化されたウェハ受渡し機構
JP2005510055A (ja) マイクロエレクトロニクス基板の自動処理用の低減フットプリントツール
TW579564B (en) Unified frame, system for transferring semiconductor wafers and related substrate objects, and system for transporting wafers

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131125

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141127

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151217

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20171222

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20181218

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20191210

Year of fee payment: 13