TW579564B - Unified frame, system for transferring semiconductor wafers and related substrate objects, and system for transporting wafers - Google Patents

Unified frame, system for transferring semiconductor wafers and related substrate objects, and system for transporting wafers Download PDF

Info

Publication number
TW579564B
TW579564B TW91119819A TW91119819A TW579564B TW 579564 B TW579564 B TW 579564B TW 91119819 A TW91119819 A TW 91119819A TW 91119819 A TW91119819 A TW 91119819A TW 579564 B TW579564 B TW 579564B
Authority
TW
Taiwan
Prior art keywords
wafer
axis
drive
vertical
frame
Prior art date
Application number
TW91119819A
Other languages
Chinese (zh)
Inventor
Anthony C Bonora
Richard H Gould
Roger G Hine
Michael Krolak
Jerry A Speasl
Original Assignee
Asyst Technologies
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/087,638 external-priority patent/US7100340B2/en
Priority claimed from US10/087,092 external-priority patent/US7217076B2/en
Application filed by Asyst Technologies filed Critical Asyst Technologies
Application granted granted Critical
Publication of TW579564B publication Critical patent/TW579564B/en

Links

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

The present invention is a unified spine structure that EFEM components, such as a wafer handling robot and a SMIF pod advance assembly, may mount to. The frame includes multiple vertical struts that are mounted to an upper support member and a lower support member. Structurally tying the vertical struts to the support members creates a rigid body to support the EFEM components. The vertical struts also provide a common reference that the EFEM components may align with. This eliminates the need for each EFEM component to align with respect to each other. Thus, if one EFEM component is removed it will not affect the alignment and calibration of the remaining secured EFEM components. The unified frame also creates an isolated storage area for the SMIF pod door and the port door within the environment that is isolated from the outside ambient conditions.

Description

579564579564

五、發明説明( j青求優 本申凊案係請求200 1年8月3 1日名稱為“萬用模組式加工 介面系統,,的臨時申請案60/3 16,722號之優先權,該申請 以引用方式併入本文中。 月” 參考 h美國專利申請案l〇/xxx,xxx號 圓引擎”;及 2.美國專利申請案l〇/xxx,xxx號 導體物料搬運系統,,。 發明範 本發明一般有關於一種晶圓運輸系統,更特定言之,本 1月ίι、為種可安裝有設備前端模組(EFEM)組件之整合式 可縮放尺寸的框架或結構’以及用於運輸晶圓之晶圓引擎。 發明背景 標準機械介面艙(SMIFp〇d)一般係包含一搶門,此搶門與 艙套相配接以提供—可在其中儲存及傳輸晶圓之密封環 境。-種艙係為-前開式整合艙稱為刚piQ,其中搶門位 於-垂直平面中,且晶圓係支撐於一卡",此卡£安裝 在舶套内,或者艙套中安裝有兩個套。 製造半導體晶圓時,利用SMIF搶在晶圓薇的各種工具之 1專^ &些工具係包括用於在晶圓上形成積體電路 圖案之加工工具、用於測試晶圓之量測工具、用於在一或 多個S ΜIF艙内分類及香斩M別 貝及重新排列晶圓之分類器、及用於大規 則儲存S ΜIF搶之儲料器。一為 σσ 又矛】用兩種構造中的一者將工 X年X月X曰,名稱為 曰a X年X月X曰,名稱為“半 裝 訂 線 -5- 579564 A7 B7 五、發明説明 乂 具佈設於晶圓廠中:灣與馬場(bay and chase)構造;或舞廳 (ballroom)構造。在灣與馬場配置中,只有包括工件1/〇埠的 工具前方保持在Class-1或更好的潔淨室環境中。舞廳構造 中,工具依其施行作.業而排列成叢狀,全體工具皆保持在 Class-Ι或更好的潔淨室環境中。 晶圓廠内的工具係包括一前端介面,此前端介面係容納 用於便利及監測艙與工具之間的工件(亦即晶圓)傳輸之組件 。一習知的前端單元或設備前端模組(EFEM)2〇顯示於圖工至 2中,一般在工具製造廠生產efem 20然後傳送至晶圓廠。 裝 EFEM 20—般包含:一殼體22,其固定至工具前方;及一 工件處理機械臂24,其安裝在殼體内並能夠作χ、『、0、z 動作以在工件載體、工具及其他前端組件之間傳輸工件。 機械臂24—般由位準螺絲所安裝,藉以在EFEM 2〇構成及 附接至一工具之後調整機械臂24的平面性。 包括一或多個預對準器 訂V. Explanation of the Invention (j Qingqiuyou This application case claims the priority of provisional application No. 60/3, 16,722 entitled "Universal Modular Processing Interface System," dated August 31, 2001. The application is incorporated herein by reference. "Reference h U.S. Patent Application No. 10 / xxx, XXX Circular Engine"; and 2. U.S. Patent Application No. 10 / xxx, Conductor Material Handling System No. xxx, Invention The present invention generally relates to a wafer transport system, and more specifically, this January, an integrated scalable size frame or structure that can be equipped with an equipment front-end module (EFEM) component, and used to transport crystals. Round wafer engine. BACKGROUND OF THE INVENTION Standard mechanical interface bays (SMIFpods) generally include a grab door that is mated to a hatch to provide a sealed environment in which wafers can be stored and transported.-Seed bay The system is a front-open integrated module called rigid piQ, where the door is located in the vertical plane, and the wafer is supported on a card. This card is installed in the ship's case, or two sets are installed in the case. When manufacturing semiconductor wafers, use SMIF to grab One of Wei ’s various tools includes processing tools for forming integrated circuit patterns on wafers, measurement tools for testing wafers, and one or more S MICs. Sorting and slicing M Bebe and rearranged wafer classifiers, and stockers for large regular storage S MIF grabs. One is σσ and the other is a spear.] Use one of the two structures to work in X years X months "X", the name is "a", "X", and "X", "X", and the name is "Semi-gutter -5- 579564 A7 B7. 5. Description of the invention The tool is located in the wafer fab: bay and chase structure; or Ballroom structure. In the bay and racecourse configuration, only the tools including the workpiece 1/0 port are kept in a Class-1 or better clean room environment in the front. In the ballroom structure, the tools are arranged according to their operations. Clustered, all tools are kept in Class-I or better clean room environment. The tool system in the fab includes a front-end interface, which is used to facilitate and monitor the workpiece between the chamber and the tool. (I.e., wafer) transport components. A conventional front-end unit or device The module (EFEM) 20 is shown in Figure 2 to 2. Generally, the efem 20 is produced in a tool manufacturing plant and then transferred to a wafer fab. Installing the EFEM 20 generally includes: a housing 22 that is fixed to the front of the tool; and The workpiece processing robot arm 24 is installed in the housing and can perform χ, ", 0, z actions to transfer the workpiece between the workpiece carrier, the tool and other front-end components. The robot arm 24 is generally installed by level screws, Thereby, the planarity of the robot arm 24 is adjusted after the EFEM 20 is constructed and attached to a tool. One or more pre-aligners are included.

除了一機械臂24外,EFEM 20—般 26 ’藉以進行晶圓中心辨識、凹口定向及困難標記讀取等 作業。預對準器26常以位準螺絲螺入殼體22内,藉以在 EFEM 20構成及附接至一工具之後調整預對準器的平面性。 EFEM 20進-纟包括一或多個載入璋組件28,載入蜂組件 28係用於收納一工件«、開啟載體及將I件提供予機械 臂24以在載體及其他加工工具之間傳輸工件。對於3⑽公厘 的曰曰圓加工,半導體設備及材料國際(“SEMI”)已發展出一 種垂直定向的框架,常稱為盒開啟器_載入器工具標準介面 (或稱為“BOLTS”介面)。此B〇LTS介面係附接至一工具前端 -6 - 579564 A7 B7 五、發明説明(3 ) 或形成其一部份,並對於載入埠組件提供附接至工具之標 準安裝點。在名稱為“傾斜及前進式載入埠介面對準系統” 之美國專利6,138,721號中,揭露一種用於將一載入埠組件 調整至鄰近一 BOLTS介面的適當位置且然後將此載入埠組 件附接至介面之系統,該案讓渡予本申請案的擁有人且整 體以引用方式併入本文中。 一旦機械臂24、預對準器26及載入埠組件28已經安裝至 殼體22之後,EFEM 20係送到晶圓廠並附接至廠内的一工 具。適當地固定於工具之後,經由位準螺絲將EFEM組件平 放在殼體22内,然後對於機械臂24告知在載入埠組件、預 對準器與工具之間傳輸工件所需取及之擷取與放下位置。 一種用於對工具前端内的機械臂告知各個擷取與放下位置 之系統係揭露於名稱為“自行告知式機械臂”之美國專利申 請09/729,463號,該申請案係讓渡予本申請案的擁有人並整 體以引用方式併入本文中。一旦已告知機械臂位置之後, 側板係附接至殼體22以大致相對於周遭環境密封住殼體。 譬如,習知的EFEM係包括安裝在一經組裝的殼體内之許 多分離且獨立的工件處理組件,殼體22包括一結構性框架 ,藉由附接至框架的複數個板將此結構性框架以螺栓、建 造或熔接方式合併在一起。殼體22組裝之後,EFEM組件係 固定至各個板,習知技藝的EFEM之一缺點在於:整體系統 的公差係與各框架構件、板及組件連接部相混合,結果造 成經組裝的EFEM組件呈現不良的對準且需要彼此相對調整 至適當位置。亦必須對機械臂24告知組件的相對位置,使 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) %In addition to a robotic arm 24, EFEM 20-General 26 'is used to perform wafer center identification, notch orientation, and difficult mark reading. The pre-aligner 26 is often screwed into the housing 22 with level screws to adjust the flatness of the pre-aligner after the EFEM 20 is constructed and attached to a tool. The EFEM 20 is equipped with one or more loader assemblies 28. The loader assembly 28 is used to store a workpiece «, to open the carrier and to provide the I piece to the robot arm 24 for transmission between the carrier and other processing tools. Artifact. For 3mm circle processing, Semiconductor Equipment & Materials International ("SEMI") has developed a vertically oriented frame, often called the box opener_loader tool standard interface (or "BOLTS" interface ). This BOLTS interface is attached to a tool front end-579564 A7 B7 5. Invention Description (3) or forms part of it, and provides a standard mounting point for the load port assembly to attach to the tool. In U.S. Patent No. 6,138,721 entitled "Tilt and Forward Loading Port Interface Alignment System", a method for adjusting a loading port assembly to an appropriate position adjacent to a BOLTS interface and then loading this loading port assembly is disclosed. The system attached to the interface, the case is assigned to the owner of this application and is incorporated herein by reference in its entirety. Once the robot arm 24, pre-aligner 26, and loading port assembly 28 have been mounted to the housing 22, the EFEM 20 is sent to the fab and attached to a tool in the factory. After being properly fixed to the tool, the EFEM assembly is placed flat in the housing 22 via level screws, and the robot arm 24 informs the robot arm 24 of the necessary access to transfer the workpiece between the loading port assembly, the pre-aligner and the tool. Take and drop position. A system for notifying each pick-up and drop-down position to a robotic arm in the front end of a tool is disclosed in US Patent Application No. 09 / 729,463 entitled "Self-Notifying Robotic Arm", which is assigned to this application 'S owner is incorporated herein by reference in its entirety. Once the position of the robotic arm has been informed, the side plates are attached to the housing 22 to seal the housing substantially against the surrounding environment. For example, the conventional EFEM system includes a plurality of separate and independent workpiece processing components mounted in an assembled housing. The housing 22 includes a structural frame. The structural frame is attached by a plurality of plates attached to the frame. Merge together by bolting, building or welding. After the housing 22 is assembled, the EFEM components are fixed to the various plates. One of the disadvantages of the conventional EFEM is that the tolerance of the overall system is mixed with the frame members, plates and component connections, resulting in the appearance of the assembled EFEM components. Poor alignment and need to be adjusted in position relative to each other. The relative position of the components must also be notified to the robot arm 24, so that this paper size applies the Chinese National Standard (CNS) A4 specification (210X 297 mm)%

裝 訂Binding

579564 A7 _ _ B7 五、發明説明(4~~^ "" 得E F E Μ組件可彼此相互作用,每次調整一或多個e ρ e Μ組 件時皆必須發生此對準及告知程序。 習知技藝的另一缺陷在於:EFEM組件常由不同供應商製造 ’供應商各自有其控制與通信協定,組裝EFEM時必須採取 步驟以令各組件的控制件可彼此溝通且組件可彼此相互作 用’分開的控制件將使維修更加複雜並增加位於Efem中的 元件及電連接部。尚且,特別在舞廳構造中,習知的efem 係在空間很寶貴的Class-1潔淨室環境内佔據大量空間。 現今的300公厘半導體EFEM係包含數個主要子系統,其 中包括付合S Ε ΜI E 15.1的載入璋模組(通常對於每工具有2 至4個)。例如,EFEM可能係由一晶圓處理機械臂以及安裝 在一結構性鋼框架之一風扇過濾單元所組成,並具有用於 I圍載入璋與加工工具之間的晶圓處理區域之板。這些組 件之組合提供一種將晶圓送入及送出一 F〇(jp 1〇及傳輸於 FOLJP與加工工具晶圓塢部之間之裝置。F〇uP 1〇係由操作 員人工式載入或由一用於輸入及取出載入埠的自動化物料 搬運系統(AMHS)自動載入,已制定產業標準讓多個供應商 提供整合成一系統之載入埠、F〇up 1〇或其他EFEM組件。 載入埠組件係提供EFEM中之晶圓處理機械臂與AMHS之 間之一標準介面,其提供一標準化位置以裝設F〇Up 1〇、對 接FOUP 10以密封住前表面、及開啟與關閉門藉以取及 F〇UP 1〇中的晶圓。此單元的尺寸皆規定於SEM1E15.1中。 載入埠經由SEMI Ε·63定義的Bolts介面附接至前端,此標 準係定義載入埠所附接之安裝孔及一表面,其定義為從廠 -8 - 本紙張尺度適用中®圏家標準(CNS)八4規格(21Q x 297公爱) ----- 579564 A7 B7 五 發明説明(5 ) 房地板開始往上高達距離地板1386公厘且對每個載入埠約 為505公厘寬。結果,載入埠完全將加工工具與廠中的操作 員走道阻隔開來。SEMI E-63亦定義工具側上之載入埠尺寸 以確保多種不同機械臂製造商之間互換使用的能力。 載入埠的主要功能包括:對於晶圓廠的AMHS接受及提供 一FOUP 10;將F0UP. 10移往及移離開埠密封表面(對接/解 除對接);開啟及關閉F0UP門。此外,必須進行諸如將 FOUP H)鎖定至前進板、敍刚⑺及解除鎖定等功能、 及各種的批次ID與通信功能。依據SEMI Ei5」,所有這此 功能皆包含在通常以一完整單元加或 、一 乂私出工具前端之單 一的早體性組件中。 載入淳必須精㈣準於晶圓機械臂,系統中若 載入埠’必須皆以平放的水平面提供晶圓nl 入埠提供數㈣整以使刚p附的晶^機 狀,為了盡量縮短機械臂對於各1:〇!^⑺ 王 者所花費的校準時間,對於所有調整^個晶圓位置各 治具。若以一新載入埠交換一載入埠,:工具及對準 校準程序。 9 ”有相當冗長的 於門開口及門密封框架,且通常亦在工罝:構亦必須對準 式藉由對準治具及工具來進行此作用。/、則端或以離線方 機械臂亦必須放平且對準一 、 或多個工呈·*今 藉由將位置告知機械臂並 、敌下點,通常 丄仗則立而或工具上个 以人工方式達成此作用。 平面性調整而 -9- 579564579564 A7 _ _ B7 V. Description of the invention (4 ~~ ^ " " The EFE Μ components can interact with each other. This alignment and notification procedure must occur each time one or more e ρ e Μ components are adjusted. Another shortcoming of the conventional art is that EFEM components are often manufactured by different suppliers. The suppliers have their own control and communication protocols. When assembling EFEM, steps must be taken so that the control parts of each component can communicate with each other and the components can interact with each other. 'Separate controls will complicate maintenance and add components and electrical connections in Efem. Also, especially in ballroom construction, the conventional efem system takes up a lot of space in a Class-1 clean room environment where space is at a premium Today's 300-mm semiconductor EFEM series includes several major subsystems, including load-cell modules (usually 2 to 4 per tool) for FSE M E E 15.1. For example, EFEM may consist of one The wafer processing robot arm is composed of a fan filter unit mounted on one of a structural steel frame, and has a board for the wafer processing area between the load cell and the processing tool. These components The combination provides a device for feeding wafers into and out of F0 (jp 10) and transferring between FOLJP and the wafer base of the processing tool. FouP 10 is manually loaded by an operator or used by one operator. The automatic material handling system (AMHS) in the loading and unloading loading port automatically loads, and industry standards have been formulated to allow multiple suppliers to provide loading ports, F0up 10 or other EFEM components integrated into a system. The module provides a standard interface between the wafer processing robot arm in EFEM and AMHS, which provides a standardized position for installing F0Up 10, docking with FOUP 10 to seal the front surface, and opening and closing the door to obtain And the wafer in F0UP 10. The dimensions of this unit are specified in SEM1E15.1. The loading port is attached to the front end via the Bolts interface defined by SEMI E · 63. This standard defines the loading port attachment The mounting holes and a surface are defined as from the factory-8-this paper size is applicable ® Standards (CNS) 8 4 specifications (21Q x 297 public love) ----- 579564 A7 B7 Five invention instructions (5 The floor of the house starts to rise up to 1386 mm from the floor and about 505 for each loading port Mm width. As a result, the loading port completely separates the processing tool from the operator's walkway in the factory. SEMI E-63 also defines the size of the loading port on the tool side to ensure interchangeability between different robot arm manufacturers The main functions of the loading port include: accepting and providing a FOUP 10 for the AMHS of the fab; moving F0UP. 10 to and from the port sealing surface (docking / undocking); opening and closing the F0UP door. In addition , You must perform functions such as locking the FOUP (H) to the forward board, styling and unlocking, and various batch ID and communication functions. According to SEMI Ei5 ", all of this functionality is contained in a single early-life component, usually in the form of a complete unit plus or a front-end tool. The load must be accurate and accurate to the wafer robot arm. If the load port in the system must be provided with wafers on a horizontal surface, the nl input port must be adjusted to make the crystal attached to the machine. The robot arm shortens the calibration time for each 1: 0! ^ 〇 King, and adjusts each wafer position for each fixture. To swap a new load port with a new load port: Tools and alignment calibration procedure. 9 ”There are quite lengthy door openings and door sealing frames, and they are usually also in the factory: the structure must also be aligned to perform this function by aligning fixtures and tools. It must also be leveled and aligned with one or more workers. * Today, by notifying the robotic arm of the position and the enemy's point, usually the stand-up position or the tool is used to achieve this effect manually. Planarity adjustment While -9-579564

正疋因為所有追些位於工具、機械臂及F〇up丨〇之間的關 係而使得裝設一工具前端成為耗時之工作,所有組件一般 係附接至一較低精度的框架並利用調整予以補償。載入埠 係安裝至前表面、基底之》ρ Μ 丞低之機械臂、頂部之風扇/過濾單元 ㈣)、所有其他開放表面之表&,藉以完成微環境包圍 件。 最好能夠盡量減少組件之間的調整並縮短對準載入埠所 需要之時間,本發明可提供此優點。 發明概述 本發明之-型態係提供_整合式結構或框架藉以將許多 重要的EFEM組件精密地束缚在_起。一實施例中,框架係 作為對準内部與外部EFEM組件之單—參考件實施例 中’内部與外部EFEM組件係相對於框架的各垂直支柱呈現 對準。 本發明的另-型態係提供-種可縮放尺寸之整合式結構 或框架,一實施例中,整合式結構係包括固定至上及下支 撐構件之垂直支架,垂直支架的數量及上與下支樓構件的 長度係取決於EFEM内的I/O埠數。同樣地,垂直支架及支 撐構件的尺寸及間隔可能改變以容納2〇〇公厘晶圓、3〇〇公 厘晶圓及400公厘晶圓。 本發明另一型態係將前載入組件精確且精密地彼此相對 定位,較佳以最少的調整數來達成此校準程序。一實施例 中,所有内部與外部EFEM組件係精密地束縛至整合式框架 使其共用共同的參考點。 -10·Just because all the relationships between tools, robotic arms and F0up 丨 〇 make the installation of a tool front end a time-consuming task, all components are generally attached to a lower precision frame and use adjustment Be compensated. The loading port is installed on the front surface, the base arm of the ρρ Μ 丞 low, the fan / filter unit on the top ㈣), all other open surface tables & to complete the microenvironment enclosure. It is desirable to minimize adjustments between components and to shorten the time required to align the load port. The present invention provides this advantage. SUMMARY OF THE INVENTION The -type of the present invention provides an integrated structure or framework to precisely restrain many important EFEM components. In one embodiment, the frame is used as a unit for aligning the internal and external EFEM components—in the reference embodiment, the 'internal and external EFEM components are aligned relative to the vertical posts of the frame. Another aspect of the present invention provides an integrated structure or frame of scalable size. In one embodiment, the integrated structure includes vertical brackets fixed to upper and lower support members, the number of vertical brackets, and upper and lower supports. The length of the building components depends on the number of I / O ports in the EFEM. Similarly, the size and spacing of the vertical brackets and support members may be changed to accommodate 200 mm wafers, 300 mm wafers, and 400 mm wafers. According to another aspect of the present invention, the front loading components are accurately and precisely positioned relative to each other, and it is preferable to achieve this calibration procedure with a minimum of adjustments. In one embodiment, all internal and external EFEM components are precisely tied to the integrated frame so that they share a common reference point. -10 ·

五、發明説明( 7 A7 B7 :的另一型態係提供-整合式框架,藉以將埠門/栽 也組牛與許多内部EFEM組件分開且隔離。一實施例中, 埠門/載體門組件係下降至位於微環境内之一分離的空氣流/ :存區域’儲存區域係可防止譬如由-晶圓處理機械臂所 創造之顆粒污染到此組件。V. Description of the invention (7 A7 B7: Another type of system provides an integrated frame, which separates and isolates the port door / loader from many internal EFEM components. In one embodiment, the port door / carrier door component It is lowered to a separate airflow located in the microenvironment /: storage area. The storage area prevents particles such as those created by the wafer processing robot from contaminating the device.

本毛明的另一型態係提供一種易從EFEM移除以取及 EM内^之晶圓載體對接/介面板。本發明的一實施例中 ,可移除式板係由一種透明材料製成,所以使用者可 到微環境内發生的任何問題/故障。 T 本^明的另一型態係減小EFEM的足跡,一實施例中, EJEM受到-滾台所支撐,因此使EFEM的底表面升高離開 晶圓廠地板,晶圓廠地板與£1?£;%之間的區域係可作為對於 加工工具之一維修取及埠、或用於放置辅助隔室之一區域。 本發明另一型態係提供一種用於傳輸晶圓之晶圓引擎, 一貫施例中,晶圓引擎可進行檢驗、標記及量測等數種功 能,而不再需要分離的加工站。 本發明另一型態係提供一種可在減小的EFEM足跡内傳輸 晶圓之晶圓引擎,一實施例中’一晶圓引擎係包括:一線 性驅動器,藉以沿一 乂軸線移動晶圓;一垂直驅動器,藉以 沿z軸線移動晶圓;一徑向驅動器,藉以沿一徑向軸線移動 晶圓;及一旋轉性驅動器,藉以繞一 0軸線轉動垂直及徑 向驅動器。 本發明另一型態係對於晶圓引擎上的不同顆粒產生機構 提供局部過濾,一實施例中,一風扇/過濾單元係安裝至徑 -11 -Another aspect of this Maoming is to provide a wafer carrier docking / intermediate panel that can be easily removed from EFEM to access the EM. In one embodiment of the present invention, the removable board is made of a transparent material, so the user can go to any problems / failures that occur in the microenvironment. Another aspect of the present invention is to reduce the footprint of EFEM. In one embodiment, EJEM is supported by a -roller, so that the bottom surface of EFEM is raised away from the fab floor. The area between £;% can be used as a service access to one of the processing tools, or as an area for the auxiliary compartment. Another aspect of the present invention is to provide a wafer engine for transferring wafers. In the conventional embodiment, the wafer engine can perform several functions such as inspection, marking, and measurement without the need for a separate processing station. Another aspect of the present invention provides a wafer engine capable of transmitting wafers within a reduced EFEM footprint. In one embodiment, a 'wafer engine includes: a linear driver to move a wafer along a ridge axis; A vertical drive to move the wafer along the z-axis; a radial drive to move the wafer along a radial axis; and a rotary drive to rotate the vertical and radial drives around a 0-axis. Another aspect of the present invention is to provide local filtering for different particle generating mechanisms on a wafer engine. In one embodiment, a fan / filter unit is installed to a diameter of -11-

X579564 A7 B7 五、發明説明(8 ) 向驅動器以捕捉徑向驅動器所創造的顆粒。另一實施例中 ,一排氣系統係創造通過垂直驅動器之一空氣流以捕捉垂 直驅動器創造的任何顆粒。這些局部風扇/過遽單元企圖將 顆粒排入一“髒空氣,,環境内、或在排回到一“乾淨空氣”環 境内之前先行過遽空氣,藉以控制晶圓引擎所創造的顆粒。 本發明另一型態係提供一種具有“在飛行同時(⑽加办),, 雙重父換及對準能力之晶圓引擎。一實施例中,晶圓引擎 具有一快速交換徑向驅動器或是緩衝能力,以同時儲存與 傳輸兩個晶圓。另一實施例巾,一上端點效應器可轉動並 對準-第-晶圓,同時由_下端點效應器儲存及/或傳送一 第二晶圓。 本發明另一型態係提供一種具有一可移除式/可互換式滑 體機構之晶圓引擎,一實施例中,滑體機構包括整合式加 工工具,諸如OCR讀取器、對準器、ID讀取器或量測工呈 。一可移除式滑體機構可讓晶圓廠整體採用相同的晶㈣ 擎’因此只須對於各別加1站特別定製滑體機構。 本發明另-型態係提供一種具有一位於㈣動器上方的 垂直驅動器之晶圓引擎’此垂直驅動器大致位於F0UP 10區 域内亚盡量減小晶圓引擎的足跡。 本發明提供所有上述優點。 Μ式簡單說% 圖丨為根據習知技藝的一習知前端組件之立體圖; 圖2為圖丨所示的前端組件之俯視圖; 圖3為根據習知技蓺的一习习Α此山 文贫的自知別端組件之側視圖; 本紙張尺度適用τ a s家標準(CN_S) μ規格(脈挪公著y 裝 訂 線 -12, 579564 五、發明説明(9 \ 圖 圖4為根據本發明的脊柱結構之-實施例的立體圖; 圖5為圖4所示的脊柱結構之部份分解圖; 圖6為根據本發明之—咖p對接介面的-實施例之立體 圖7為根據本發明之脊柱結構及前端載入組件的一實施例 之部份分解立體圖; 的貝%例 圖8為根據本發明安裝至脊柱結構之 例之立體圖; U W手的貝% 圖9為根據本發明安裝至 一恭 3狂、、、口構的日日0引擎驅動執的 一 η轭例之立體圖;X579564 A7 B7 V. Description of the invention (8) Directional drive to capture particles created by radial drive. In another embodiment, an exhaust system creates an air flow through one of the vertical drives to capture any particles created by the vertical drives. These local fans / passing units attempt to control the particles created by the wafer engine by exhausting the particles into a "dirty air" environment, or by passing the air through before they return to a "clean air" environment. Another aspect of the invention is to provide a wafer engine with "during flight (additional), dual parent swap and alignment capabilities. In one embodiment, the wafer engine has a fast-swap radial drive or buffer capability to store and transfer two wafers simultaneously. In another embodiment, an upper endpoint effector can be rotated and aligned to a first wafer, while a lower wafer is stored and / or transferred by a lower endpoint effector. Another aspect of the present invention is to provide a wafer engine with a removable / interchangeable slider mechanism. In one embodiment, the slider mechanism includes integrated processing tools such as OCR readers, aligners , ID reader or measurement worker. A removable sliding body mechanism allows the wafer fab to use the same crystal engine as a whole, so it is only necessary to customize the sliding body mechanism for each additional one station. Another aspect of the present invention is to provide a wafer engine with a vertical driver located above the actuator. The vertical driver is located approximately within the region of the UP 10 region and minimizes the footprint of the wafer engine. The present invention provides all of the aforementioned advantages. Type M is simply said. Figure 丨 is a perspective view of a known front-end component according to the known technology; Figure 2 is a top view of the front-end component shown in Figure 丨; Figure 3 is a study according to the conventional technology. Side view of the self-knowing other-end component; This paper size is applicable to the τ as home standard (CN_S) μ specification (pulse no. Y gutter-12, 579564 V. Description of the invention (9 \ Figure 4 is according to the present invention A perspective view of the spine structure-an embodiment; Fig. 5 is a partial exploded view of the spine structure shown in Fig. 4; Fig. 6 is a perspective view of an embodiment of the -butt interface according to the present invention-Fig. 7 is a spine according to the present invention Partially exploded perspective view of an embodiment of the structure and front-loading component; FIG. 8 is a perspective view of an example of a structure mounted to a spine according to the present invention; FIG. 9 is a shell of a UW hand. FIG. 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D, 3D

為根據本發明之前端載人介面的—實施例之側視圖; 圖11為根據本發明之整合式微環境及結構 之部份分解圖; J 圖\2為圖11所示之整合式微環境及結構的側視圖; 圖1 j為根據本發明之背晋纟士 — 月月'、、口構的一貫施例之部份立體圖; 圖為根據本發明之整合式微環境及結構的另一實施例 之立體圖; 、 圖15為圖14所示之整合式微環境及結構的端視圖; 加圖叫顯示圖15所示之整合式微環境及結構的整合式框 木的一貫施例之部份分解圖; 圖1:A-17B,根據習知技藝’圖nA為一習知的晶圓處理 ^械#的—貫施例之俯視圖;圖17B為圖ΠΑ所示之晶圓處 理機械臂具有伸長的端點效應器之俯視圖; 圖為根據本發明之一快逮交換晶圓引擎的一實施例之 7公釐) 裝 訂 線 13- 579564 A7 ______B7 五、發明説明(1〇) 立體圖; 圖1 9為圖1 8所不之晶圓引擎的立體圖,其中顯示驅動器 機構及垂直柱及滑體機構之數個組件; 圖20為根據本發明之一晶圓引擎的另一實施例的立體圖; 圖h為圖18所示之晶圓引擎的立體圖,其中顯示風扇/過 濾單元所創造之空氣流; 圖22A-22D ;圖22A為根據本發明在滑體機構上配備有一 輪式對準器及一10讀取器之一晶圓引擎的另一實施例之立 體圖,圖22B為圖22A所示之晶圓引擎的俯視圖;圖22(:為 圖22A所示之晶圓引擎的側視圖;圖22D為圖22八所示之晶 圓引擎的後視圖; 圖23為圖22A所示之上端點效應器的一實施例之立體圖; 圖24A-24C ;圖24A為輪式端點效應器對準器的一實施例 之切開圖並顯示一晶圓受到墊所支撐;圖24β為圖24A的輪 式端點效應器對準器之切開圖,並顯示晶圓受到輪支撐且 升问離開墊,圖24C為圖24 A所示的輪式端點效應器對準器 之切開圖,並顯示晶圓受到輪所釋放而回到墊上; 圖25為根據本發明之晶圓引擎的另一實施例之立體圖; 圖26A-26B ;圖26A為徑向驅動器之另一實施例的立體圖 ,圖26B為徑向驅動器之另一實施例; 圖27A-27B,圖27A為顯示根據本發明之晶圓引擎的觸及 與擺盈間隙優點之平面圖;圖27β為顯示所需要的最小間隙 及最大觸及之一習知線性滑動機械臂的平面圖; 圖28顯示根據本發明具有偏離中心旋轉軸線之快速交換 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公 579564 A7 __ —_B7 五、發明説明(11 )~' --— 滑體的範例性動作順序; 圖29A-29D ;圖29八為根據本發明之前端載入介面的一實 施例之立體圖,·圖29B為圖29A所示之整合式系統的正視圖 :圖29C為圖29A所示之前端載入介面的一實施例之側視圖 :圖29D為圖29A所示之前端載人介面的—實施例之平面圖; 圖30A-30B ;圖30A為安裝至一加工工具之整合式系統的 -實施例之立體圖;圖為圖观所示之整合式系統的側 視圖,及 圖31為圖30A-30B所示之整合式系統的側視圖,其中顯示 王口式;r、統如何對於自動化物料搬運系統(amhs)緩衝 自由空間。 本發明的詳細描沐 現在參照概括有關於一晶圓傳輸系統之圖4至31來描述本 强明,本發明的較佳實施例係使用於製造公厘的半導體 晶圓,本發明亦可用來製造半導體晶圓以外的工件,諸如 主光罩、平板顯示器及磁性儲存碟。本發明亦可用來製造 大於或小於300公厘的工件,諸如2〇〇公厘及15〇公厘。甚且 ,本發明雖然較佳在-F〇up系統内運作,請瞭解本發明亦 可在其他工件傳送系、统包括開放式晶圓卡ϋ系、统内部運作。 蓋_合式脊杻結槿 脊柱結構100係基於單一整合式框架或結構可以對於 EFEM作為底部基礎之觀念,可以類似方式重覆製造此基礎 以降低系統的成本’並讓EFEM組件安裝至框架以簡化對準 工作。結構或框架1〇〇盡量減少一前端載入工具所需要的空 本紙張尺歧財® Η家標準(CNS)A4規4(2躺297公*) -15- 579564 A7 __ B7 五、發明説明(12 ) 間量,一框架或結構亦盡量縮短對準時間且大幅簡化在需 要保養程序及/或維修時對於前端工具内部組件之取及。 圖4-5顯示整合式脊柱結構100之一較佳實施例,脊柱ι〇〇 包括多個垂直支架102 ’多個垂直支架102係由一上通路或 支撐構件104及一下通路或支撐構件ι〇6連接在一起。各垂 直支架102具有一内面108及一外面110,如圖4至1〇所示, 各垂直支架102較佳具有大致長方形的剖面,較佳為長方形 剖面以使各垂直支架102的外面11〇係與安裝至垂直支架1〇2 的任何EFEM組件形成密封。各垂直支架1〇2的長方形剖面 亦可在固定至各垂直支架1〇2時確保上支撐構件1〇4及下支 樓構件106相對於内面108及外面110呈現齊平。在本發明的 範圍與精神内,垂直支架1〇2可具有譬如但不限於圓形或卵 形等不同的剖面。 較佳實施例中,脊柱結構10〇主要包含金屬片組件,其中 有數個需要精密度之機具組件,利用可從此製造技術某些 型態獲得精密度之方式來施行此金屬片。譬如,在形成一 U形的上支撐構件1 〇4及下支撐構件1 〇6中的長彎部係對於 對準垂直支架1 02提供很直的參考。一較佳實施例中,孔 120及122沖設在上與下通路i 〇4及1〇6中以在各垂直支架1〇2 與上及下通路104及106之間進一步保障良好的孔對孔對準。 金屬片組件亦對於系統提供了外皮或安裝表面(於下文描 述)以及結構性支撐之功用。目前的EFEM系統中,金屬片 通常作為只提供美觀光製及容納作用之非結構性板材,若 將金屬片採用在數個結構性組件中,可鉅幅降低EFEM的材 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 579564 A7 B7 五、發明説明(13 ) 料成本。 上支#構件104固定至各垂直支架1〇2的頂部114,而下支 樓構件106固定至各垂直支架1〇2的底部112。為此,脊柱 1〇〇在扭曲及彎曲方面提供很直且硬的結構藉以建造一前端 載入系統。一項較佳實施例中’上支撐構件丨〇4及下支撐構 件106由單件金屬片製成,金屬片中用於創造上支撐構件 104之彎部係取決於各垂直支架ι〇2之上部114的寬度,所以 “u”形上支撐構件104的寬度大致類似於各垂直支架ι〇2的上 部H4之寬度。同樣地,“u”形下支撐構件106的寬度較佳係 類似於各垂直支架102的底部112之寬度。各支撐構件1〇4及 106預疋相對於各垂直支架1〇2的内面log及外面"ο呈現齊 平。 一項較佳實施例中,各垂直支架1〇2的下部112係比各垂 直支架102的上部114更寬,如圖4至5清楚顯示,脊柱結構 100係以一垂直定向對準各垂直支架丨〇2,使得各垂直支架 102大致彼此平行。各垂直支架1〇2較佳以中心分隔505公厘 ’這是依照SEMI E-15.1對於相鄰載入埠之最小容許間隔。 在本發明的範圍與精神内,垂直支架1 〇2亦可以分隔不同或 不相等的距離。 為了在扭曲及側向提供一剛性結構,各垂直支架i 〇2係固 定至上支撐構件104及下支撐構件1〇6。如圖4所示,各垂直 支架102位於上支撑構件1〇4及下支撐構件106之間。如前述 ’各垂直支架102在上支撐構件1〇4及下支撐構件106中與安 裝孔120及122對準。在範例中,各垂直支架i〇2由一螺栓或 -17- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 579564 A7 B7FIG. 11 is a partial exploded view of the integrated microenvironment and structure according to the present invention; FIG. 11 is an integrated microenvironment and structure shown in FIG. 11 Fig. 1 j is a perspective view of a part of the conventional embodiment of the Jinshishi-Yueyue ', mouth structure according to the present invention; the diagram is another embodiment of the integrated microenvironment and structure according to the present invention 15; FIG. 15 is an end view of the integrated microenvironment and structure shown in FIG. 14; FIG. 15 is a partial exploded view of a consistent embodiment of the integrated frame wood showing the integrated microenvironment and structure shown in FIG. 15; 1: A-17B, according to the conventional technique, FIG. NA is a plan view of a conventional wafer processing apparatus #-embodiment; FIG. 17B is a wafer processing robot arm shown in FIG. Top view of the effector; The picture shows a 7mm embodiment of a fast-change wafer engine according to one of the present invention.) Binding line 13-579564 A7 ______B7 V. Description of the invention (10) A perspective view; Figure 19 is Figure 1 Three-dimensional view of the wafer engine, showing the drive Figure 20 is a perspective view of another embodiment of a wafer engine according to the present invention; Figure h is a perspective view of the wafer engine shown in Figure 18, showing a fan / Air flow created by the filter unit; Figures 22A-22D; Figure 22A is a perspective view of another embodiment of a wafer engine equipped with a wheel alignment device and a 10 reader on a sliding body mechanism according to the present invention; Figure 22B is a top view of the wafer engine shown in Figure 22A; Figure 22 (: is a side view of the wafer engine shown in Figure 22A; Figure 22D is a rear view of the wafer engine shown in Figure 22A; Figure 23 FIG. 24A is a perspective view of an embodiment of the upper endpoint effector shown in FIG. 22A; FIGS. 24A-24C; FIG. 24A is a cut-away view of an embodiment of the wheeled endpoint effector aligner and shows that a wafer is supported by a pad ; 24β is a cut-away view of the wheeled end effector aligner of FIG. 24A, and shows that the wafer is supported by the wheel and lifted away from the pad, and FIG. 24C is the wheeled end effector alignment shown in FIG. 24A Cut-out view of the device, and shows that the wafer is released by the wheel and returned to the pad; A perspective view of another embodiment of the wafer engine; FIGS. 26A-26B; FIG. 26A is a perspective view of another embodiment of the radial driver, FIG. 26B is another embodiment of the radial driver; FIGS. 27A-27B, FIG. 27A FIG. 27β is a plan view of a conventional linear sliding robotic arm showing one of the minimum clearance and the maximum reach required for a wafer engine according to the present invention. Quick exchange of the central axis of rotation This paper scale is applicable to China National Standard (CNS) A4 specifications (210X297, 579564 A7 __ —_B7 V. Description of the invention (11) ~ '--- Exemplary action sequence of the sliding body; Figure 29A- 29D; FIG. 29A is a perspective view of an embodiment of the front-end loading interface according to the present invention, FIG. 29B is a front view of the integrated system shown in FIG. 29A: FIG. 29C is a front-end loading interface shown in FIG. 29A A side view of an embodiment: FIG. 29D is a plan view of the embodiment of the front-end human interface shown in FIG. 29A; FIG. 30A-30B; FIG. 30A is a perspective view of the embodiment of an integrated system installed to a processing tool; The picture shows the view Side view of the integrated system, and FIG. 31 is a side view of the integrated system shown in FIGS. 30A-30B, which shows the king-mouth; R & lt, how the system buffer space free for automated material handling system (AMHS). A detailed description of the present invention will now be described with reference to FIGS. 4 to 31, which summarizes a wafer transfer system. The preferred embodiment of the present invention is used for manufacturing semiconductor wafers of a millimeter. The present invention can also be used to Manufacture parts other than semiconductor wafers, such as main photomasks, flat panel displays, and magnetic storage disks. The invention can also be used to make workpieces larger or smaller than 300 mm, such as 200 mm and 150 mm. Furthermore, although the present invention preferably operates in the -F0up system, please understand that the present invention can also operate in other workpiece transfer systems, including open wafer card systems, and the system. Lid _ closed spine knot hibiscus spine structure 100 is based on the concept of a single integrated frame or structure that can be used as the bottom foundation for EFEM. The foundation can be repeatedly manufactured in a similar way to reduce the cost of the system 'and let EFEM components be installed on the frame to simplify. Alignment work. Structure or frame 100. Minimize the empty paper ruler Qicai required by a front-end loading tool. Family Standard (CNS) A4 Regulation 4 (2 lying 297 male *) -15- 579564 A7 __ B7 V. Description of the invention (12) The amount of time, a frame or structure also minimizes the alignment time and greatly simplifies access to the internal components of the front-end tool when maintenance procedures and / or repairs are required. 4-5 shows a preferred embodiment of the integrated spine structure 100. The spine 100 includes a plurality of vertical supports 102. The multiple vertical supports 102 are composed of an upper passageway or support member 104 and a lower passageway or support member 104. 6 connected together. Each vertical bracket 102 has an inner surface 108 and an outer surface 110. As shown in FIGS. 4 to 10, each vertical bracket 102 preferably has a generally rectangular cross-section, preferably a rectangular cross-section so that the outer surface of each vertical bracket 102 Forms a seal with any EFEM component mounted to the vertical bracket 102. The rectangular cross section of each vertical bracket 102 can also ensure that the upper supporting member 104 and the lower supporting member 106 are flush with respect to the inner surface 108 and the outer surface 110 when being fixed to each vertical bracket 102. Within the scope and spirit of the present invention, the vertical bracket 102 may have different cross sections such as, but not limited to, a circle or an oval. In the preferred embodiment, the spine structure 100 mainly includes a metal sheet component, among which there are several machine tool components that require precision, and the metal sheet is implemented in a manner that can obtain precision from certain types of this manufacturing technology. For example, the long bends in the upper support member 104 and the lower support member 106 that form a U-shape provide a straight reference for aligning the vertical support 102. In a preferred embodiment, the holes 120 and 122 are punched in the upper and lower passages i 04 and 106 to further secure a good hole pair between each vertical bracket 102 and the upper and lower passages 104 and 106. Align the holes. Sheet metal components also provide the system with a skin or mounting surface (described below) and structural support for the system. In the current EFEM system, the metal sheet is usually used as a non-structural sheet that only provides beauty and accommodation. If the metal sheet is used in several structural components, it can greatly reduce the EFEM material. The paper size is applicable to China. Standard (CNS) A4 specification (210X 297 mm) 579564 A7 B7 5. Description of the invention (13) Material cost. The upper branch member 104 is fixed to the top 114 of each vertical bracket 102, and the lower branch member 106 is fixed to the bottom 112 of each vertical bracket 102. To this end, the spine 100 provides a straight and rigid structure in terms of twisting and bending to build a front-end loading system. In a preferred embodiment, the 'upper support member 104 and the lower support member 106 are made of a single piece of metal sheet, and the bending part of the metal sheet used to create the upper support member 104 depends on the vertical support The width of the upper portion 114, so the width of the "u" -shaped upper support member 104 is substantially similar to the width of the upper portion H4 of each vertical bracket ι02. Similarly, the width of the "u" -shaped lower supporting member 106 is preferably similar to the width of the bottom 112 of each vertical bracket 102. The support members 104 and 106 are pre-aligned with respect to the inner log and the outer face of each vertical bracket 102. In a preferred embodiment, the lower portion 112 of each vertical stent 102 is wider than the upper portion 114 of each vertical stent 102. As clearly shown in FIGS. 4 to 5, the spine structure 100 is aligned with each vertical stent in a vertical orientation. 〇 02, so that the vertical supports 102 are substantially parallel to each other. Each vertical bracket 102 is preferably separated by a center of 505 mm ', which is the minimum allowable interval for adjacent loading ports according to SEMI E-15.1. Within the scope and spirit of the present invention, the vertical brackets 102 can also be separated by different or unequal distances. In order to provide a rigid structure in a twisted and lateral direction, each vertical bracket i 〇2 is fixed to the upper support member 104 and the lower support member 106. As shown in FIG. 4, each vertical bracket 102 is located between the upper support member 104 and the lower support member 106. As described above, each vertical bracket 102 is aligned with the mounting holes 120 and 122 in the upper support member 104 and the lower support member 106. In the example, each vertical bracket i〇2 is made of a bolt or -17- This paper size applies to China National Standard (CNS) A4 (210X 297 mm) 579564 A7 B7

五、發明説明(η ) 銷固定至上支撐構件1〇4,此螺栓或銷係固定至垂直支架 1 02的頂部1 1 4(例如經由安裝孔1 20),且至少一個螺栓或鏔 固疋至刖面11 〇或後面丨08。各垂直支架102亦必須固定至下 支撐構件1 06,範例中,一螺栓或銷係固定至各垂直支架 的底部丨12(例如經由安裝孔122),且至少一個螺拴或銷 固定至前面110與後面108兩者。 上支撐構件104及下支撐構件1〇6的“u”形構造可進一步防 止各垂直支架102在位置中產生旋轉,雖然上通路1〇4及下 通路106如圖4至5所示由單件金屬片製成,本發明的範圍及 精神内’上支撐構件1〇4及下支撐構件1〇6亦可由多件材料 製成。一項較佳實施例中,如圖5清楚顯示,上支撐構件 W4及下支撐構件1〇6具有一穿孔狀表面,上支撐構件1〇4及 下支撐構件106的穿孔狀表面可讓來自一風扇/過濾單元 15 0(FFU)的空氣流過(見圖1〇)。 當下支撐構件106固定至垂直支架1〇2時,係形成可供不 同EFEM組件安裝之一前安裝表面118及一後安裝表面U6 (見圖6至1〇)。一般而言,脊柱1〇〇創造至少三個平行且共線 狀女驮表面:上部112的前面11〇、前安裝表面ns、及後安 裝表面1 1 6。如下文所描述,EFEM組件係安裝至這三個表 面中的一者,這三個表面之間具有一種已知的空間關係, 因此安裝至這些表面的組件可由最小調整加以對準、或根 本不需要調整即可對準。 下支撐構件1 〇6亦創造一個位於前安裝表面π 8與後安裝 表面116之間之空氣流動區域12 1,將空氣流動區域1 2 1設計 -18- 本纸張尺度適用中國國家棣準(CNS) A4規格(210X297公釐)5. Description of the invention (η) A pin is fixed to the upper support member 104, and the bolt or pin is fixed to the top 1 1 4 of the vertical bracket 102 (for example, via the mounting hole 120), and at least one bolt or pin is fixed to Face 11 or 08. Each vertical bracket 102 must also be fixed to the lower supporting member 106. In the example, a bolt or pin is fixed to the bottom of each vertical bracket 12 (for example, via the mounting hole 122), and at least one bolt or pin is fixed to the front 110 With both back 108. The "u" structure of the upper support member 104 and the lower support member 106 can further prevent each vertical bracket 102 from rotating in position, although the upper passage 104 and the lower passage 106 are formed by a single piece as shown in Figs. 4 to 5. The upper support member 104 and the lower support member 106 may be made of a plurality of materials within the scope and spirit of the present invention. In a preferred embodiment, as clearly shown in FIG. 5, the upper support member W4 and the lower support member 106 have a perforated surface, and the perforated surfaces of the upper support member 104 and the lower support member 106 allow the Air flows through the fan / filter unit 150 (FFU) (see Figure 10). When the lower support member 106 is fixed to the vertical bracket 102, it forms a front mounting surface 118 and a rear mounting surface U6 (see Figs. 6 to 10) for different EFEM component installations. In general, the spine 100 creates at least three parallel and collinear female-in-law surfaces: the front surface 110 of the upper portion 112, the front mounting surface ns, and the rear mounting surface 116. As described below, EFEM components are mounted to one of these three surfaces with a known spatial relationship between them, so components mounted to these surfaces can be aligned with minimal adjustment or not at all Need to adjust to align. The lower support member 1 06 also creates an air flow area 12 1 between the front mounting surface π 8 and the rear mounting surface 116, and designs the air flow area 1 2 1-18. This paper size is applicable to China's national standards ( CNS) A4 size (210X297 mm)

裝 訂Binding

579564 A7 ---- B7 _ 五、發明説明(15 ) 為可容納一 FOUP門開啟/關閉模組139,印⑽門開啟/關閉 杈組1J 9已叉引導退離埠門開口並下降至空氣流動區域1 2 i 内。 將FOUP門開啟/關閉模組丨3 9與晶圓引擎3 〇〇操作區域加以 · 隔離將具有許多優點,譬如,一FFU 15〇產生的單一空氣流 ▲ 係刀成兩個隔離的空氣流,其中一空氣流將導往門開 啟/關閉模組139,同時第二分離的空氣流將導入晶圓引擎 區域中。相較於單一空氣流流通於晶圓引擎區域及F〇up門 開啟/關閉模組1 3 9之情形,兩個隔離的空氣流將對於F〇Up 門開啟/關閉模組139提供一更乾淨的環境。如果對於晶圓 引擎〇00及FOUP組件130只有單一的空氣流動路徑,晶圓引 擎3 00創造的顆粒可能會污染foup/艙門組件139。 下支撐構件106的後安裝表面116亦作為FOUP門開啟/關閉 杈組139與晶圓引擎區域之間之保護性障壁,後安裝表面 116可防止晶圓引擎3〇〇產生的顆粒進入用於存放F〇up門開 啟/關閉模組139之空氣流動區域121内。後安裝表面1丨6亦 可讓晶圓引擎300具有局部過濾及排氣系統,藉以排出在晶 圓平面下方含有顆粒的“髒,,空氣而不會污染FOUP門開啟/關 - 閉模組13 9 (描述如下)。 如圖4至5所示的脊柱結構1〇〇係構成一個四fcmjp 1/〇琿 EFEM ’在本發明的精神及範圍内,efem可包括任意數量 的I/O璋。此外,:EFEM可能包括位於將晶圓傳送通過的各 個I/O埠之間的空間或空白"〇埠,如前文所述’脊柱結構 100可縮放尺寸’垂直支架102數量及上支撐構件104與下支 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) ^/^564 訂579564 A7 ---- B7 _ V. Description of the invention (15) To accommodate a FOUP door opening / closing module 139, the seal door opening / closing fork group 1J 9 has been fork-guided to exit the port door opening and descend to the air Within the flow zone 1 2 i. Isolating the FOUP door opening / closing module 丨 39 from the wafer engine 3,000 operating area. Isolation will have many advantages, such as a single air flow generated by an FFU 150. ▲ The knife is divided into two isolated air flows. One of the air flows will be directed to the door opening / closing module 139, while the second separated air flow will be directed into the wafer engine area. Compared to the case where a single air flow flows through the wafer engine area and the F0up door opening / closing module 1 39, the two isolated air flows will provide a cleaner for the F0Up door opening / closing module 139. environment of. If there is only a single air flow path for wafer engine 00 and FOUP assembly 130, particles created by wafer engine 300 may contaminate foup / door assembly 139. The rear mounting surface 116 of the lower support member 106 also serves as a protective barrier between the FOUP door opening / closing fork group 139 and the wafer engine area. The rear mounting surface 116 prevents particles generated by the wafer engine 300 from entering for storage The F0up door opens / closes the air flow area 121 of the module 139. The rear mounting surface 1 丨 6 also allows the wafer engine 300 to have a local filtering and exhaust system, thereby exhausting "dirty, air that does not contaminate the FOUP door opening / closing-closing module 13 that contains particles below the wafer plane" 9 (Description is as follows.) The spinal structure 100 shown in Figs. 4 to 5 constitutes a four fcmjp 1 / 〇 'EFEM' In the spirit and scope of the present invention, efem may include any number of I / O 璋. In addition, EFEM may include spaces or blank spaces between the various I / O ports through which wafers are transferred, as described above, "Spine structure 100 scalable size", number of vertical brackets 102, and upper support members 104 The size of the paper used in the paper is subject to the Chinese National Standard (CNS) A4 (210 X 297 mm) ^ / ^ 564 Order

A7A7

Hold

579564 A7 B7 兩對的閂鍵與槽,各對彼此具有相同結構及操作。 一艙前進板134通常包括配接在F〇up丨〇底表面的對應槽 内之二個運動銷1 j 5或某些其他對齊特性,藉以界定前進板 134上之FOUP 10底表面的一固定及可重覆位置。一旦在艙 前進板134上偵測到一F0UP 1〇,F〇up 1〇朝向埠門14〇前進 直到FOUP門接觸或靠近埠門14〇為止。需使各門的前表面 變成互相接觸以困住顆粒並確保埠門閂鍵緊密配合在F〇Up 門鍵槽内。羅森魁斯特(R0senqiest)等人名稱為“艙門對埠門 式扣持糸統’’的美國專利申請〇9/115,414號、及佛斯奈 (Fosmght)等人名稱為“艙對埠門式扣持及排空系統,,之美= 專利申請09/13 0,254號係揭露用於在|^〇1;1>1〇與璋門之間確 保一緊密且潔淨介面之系統,這兩申請案係讓渡予本發明 的擁有人並整體以引用方式併入本文中。 一旦FOUP 10與埠門耦合之後,EFEM内的線性及/或旋轉 性驅動态係將FOUP 1 0及埠門一起移入EFEM的内部,然後 遠離载入埠開口,使得工件隨後可藉由晶圓引擎3〇〇加以取 及。如圖10所示,埠門140附接至F〇up門’且一控制件係 致動一滑件使載體及埠門沿著位於各垂直支架1〇2中的凸輪 1 24而平移。凸輪124係將互鎖的載體與埠門垂直往下導入 下支撐構件1〇6的空氣流動區域121内。如前述,埠門14〇及 FOUP門在存放於空氣流動區域121中時係與ciass-1區域的 其餘部份相隔離。線性滑動及旋轉性驅動構造(未圖示)係為 此技藝所習知而不需詳述,一線性滑件可包含一線性軸承 及一驅動機構,範例中,線性軸承可包括一珠或空氣軸承 -21 - 本紙張尺度適用中Η ®家標準(CNS) A4規格(210X297公釐)' ----- B7 五、發明説明(18 ) 。同樣地,驅動機構可包括一馬達,此馬達具有一凸輪導 螺桿、一皮帶驅動器或一線性馬達。範例中,旋轉性驅動 為可包含一齒輪馬達、一直接驅動器、一皮帶驅動器或其 他類似裝置。 在FOUP 10及埠門移動離開對接/隔離板138之後,晶圓引 擎或機械臂300可將工件傳輸入工件前端而無來自所儲存的 FOUP 1〇及蟑門的干擾。一旦工具對於一工件批次完成操作 且工件回到FOUP 10之後,控制件再度致動驅動器及滑件使 得門移回到I/O埠内,在此處將F0UP門傳輸及固定sF〇up 10 ° 對接/隔離板138安裝至各垂直支架1〇2的前面n〇,對接/ 隔離板138係將工具前端的内部區域(classq或“乾淨,,區域) 與外部大氣或外部區域相隔離,對接/隔離板138亦提供一 ”面平面藉以使FOUP 10前往一靠近且可控制的鄰近處(譬 如分隔0至5公厘)。板138與F〇up 1〇及埠門14〇形成一輔助 密封件,一辅助密封件可使板138與F〇(Jp 1〇之間存在一分 P同,但仍在板Π8與FOUP 10之間創造氣密性密封。板138與 F〇l;P 10之間需要氣密性密封以防止氣體洩出Class-Ι區域 外或藉以保持載入蟑介面的惰性環境。 對接/隔離板13 8較佳係藉由包含加工設有一或多個F〇lJp 開口之單件材料製成,對接/隔離板丨3 8包括對齊孔i 將其 對於各垂直支架1 〇2精確地定位,這將對於EFEM的所有 FOUP 1 〇開口之間提供一種經加工的精密關係。對接/隔離 板138亦可包含利用相同參考特性安裝至各垂直支架之 -22- 本紙張尺度適財® 8家標準(CNS) A4規格(21GX297公复) —- 579564579564 A7 B7 Two pairs of latches and slots, each pair having the same structure and operation. A cabin forward plate 134 generally includes two moving pins 1 j 5 or some other alignment feature that fit in corresponding grooves on the bottom surface of Fupup, thereby defining a fixation for the bottom surface of FOUP 10 on the forward plate 134. And repeatable positions. Once a F0UP 10 is detected on the cabin forward plate 134, F0up 10 advances toward the port door 14o until the FOUP door touches or approaches the port door 14o. The front surfaces of the doors need to be brought into contact with each other to trap the particles and ensure that the port door latch key fits tightly into the F0Up door keyway. US Patent Application No. 09 / 115,414 with the name of "Rosensenest" and others as "Hatch-to-port door-holding system", and Fosmght and others as "Hatch-to-port door Detention and emptying system, the beauty = Patent Application No. 09/13 0,254 discloses a system for ensuring a tight and clean interface between | ^ 〇1; 1 > 10 and the door, these two applications are Assigned to the owner of the present invention and incorporated herein by reference in its entirety. Once the FOUP 10 is coupled with the port gate, the linear and / or rotational drive states in EFEM move the FOUP 10 and the port gate into the EFEM together, and then away from the loading port opening, so that the workpiece can be subsequently passed through the wafer engine Take it in. As shown in Fig. 10, the port door 140 is attached to the F0up door 'and a control member actuates a slider to translate the carrier and the port door along the cams 12 in the vertical brackets 102. The cam 124 guides the interlocked carrier and the port door vertically downward into the air flow region 121 of the lower support member 106. As mentioned above, the port door 14 and the FOUP door are isolated from the rest of the ciass-1 area when stored in the air flow area 121. The linear sliding and rotational driving structure (not shown) is familiar to this technology and need not be described in detail. A linear slider may include a linear bearing and a driving mechanism. In an example, the linear bearing may include a bead or air Bearing-21-This paper size is applicable to China Standard ® (CNS) A4 specification (210X297 mm) '----- B7 V. Description of the invention (18). Likewise, the drive mechanism may include a motor having a cam lead screw, a belt drive, or a linear motor. In the example, the rotary drive may include a gear motor, a direct drive, a belt drive, or other similar devices. After the FOUP 10 and the port door are moved away from the docking / isolation plate 138, the wafer engine or robot arm 300 can transfer the workpiece into the front end of the workpiece without interference from the stored FOUP 10 and the cock door. Once the tool has completed the operation for a batch of workpieces and the workpiece returns to FOUP 10, the control member again activates the driver and slider to move the door back to the I / O port, where the F0UP door is transmitted and fixed sF〇up 10 ° The docking / isolating plate 138 is installed to the front of each vertical bracket 102. The docking / isolating plate 138 isolates the internal area (classq or "clean," area) of the front end of the tool from the external atmosphere or external area, and docks / Isolation plate 138 also provides a "face plane" to enable FOUP 10 to approach a close and controllable neighborhood (eg, separated by 0 to 5 mm). The plate 138 and F0up 10 and the port door 14 form an auxiliary seal. An auxiliary seal can make the plate 138 and F0 (Jp 1〇 have a point P and the same, but it is still on the plate Π8 and FOUP 10 Create air-tight seals between the plates. Airtight seals are required between the plates 138 and F0; P 10 to prevent gas from leaking out of the Class-I area or to maintain an inert environment loaded into the cockroach interface. Docking / Isolation Plate 13 8 is preferably made by processing a single piece of material with one or more F0lJp openings, the docking / isolating plate 3 8 includes alignment holes i to position it accurately for each vertical bracket 102 Provides a processed precision relationship between all FOUP 10 openings of EFEM. The docking / isolating plate 138 may also include -22 mounted to each vertical bracket using the same reference characteristics. ) A4 specification (21GX297 public reply) --- 579564

各別材料件,板1 3 8可由諸如但不限於塑膠、金屬、金屬片 甚至玻螭等材料製成。 一項較佳實施例中,對接/隔離板138係由一種諸如聚碳酸 ®曰等然色材料加工而成,利用無色材料加工製成對接/隔離 板138係提供在工具運作時可看見微環境内部或區域 之附加優點,目前的E15載入埠/SEIVII E63 B〇lts介面並未定 義此彳寸f生。對接/隔離板13 8沒有任何結構特性,因此可能 只由數個螺栓及/或銷固定至脊柱1〇〇的各垂直支架1〇2,因 此 了谷易地移除對接/隔離板13 8。並且,因為並無EFEM 、’且件參考此對接/隔離板13 8而對準,可從EFEM移除對接/隔 離板138而不會擾亂諸如埠門14〇、f〇up前進板134或晶圓 引擎300等EFEM組件之裝設或對準。這將提供一種可簡單 取及EFEM的“乾淨”區域(圖1 〇的ciass-1區域)進行維修、保 養或消除誤差之方法。 圖8顯示安裝至脊柱結構1〇〇之晶圓引擎3〇〇,此圖清楚顯 不’晶圓引擎300可線性移行以取及EFem的所有ι/〇埠,晶 圓引擎300沿著一軌組件302移行,軌組件3〇2係安裝至下支 樓構件1 06的後安裝表面11 6。此實施例中,將線性驅動器 302顯示為一皮帶驅動器。本發明·的範圍及精神内,線性驅 動器3 02亦可包含其他驅動系統諸如但不限於直接驅動器、 線性馬達、纜線驅動器或鏈節驅動器。晶圓引擎3〇〇的組件 描述於下文,此等驅動系統為此技藝所習知而不需詳述。 圖9顯示安裝至脊柱結構100之圖8所示的執系統3〇2的進 一步細節’軌糸統3 02係包括皆安裝至下通路丨〇6的後安裝 -23- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 579564Individual pieces of material, plates 1 3 8 may be made of materials such as, but not limited to, plastic, metal, sheet metal, and even glass. In a preferred embodiment, the docking / isolating plate 138 is processed from a natural material such as polycarbonate, and the docking / isolating plate 138 is processed from a colorless material to provide a micro-environment that can be seen when the tool is operating. For internal or regional additional advantages, the current E15 loading port / SEIVII E63 Bolts interface does not define this feature. The docking / isolating plate 138 does not have any structural characteristics, so it may be fixed to the vertical brackets 102 of the spine 100 by only a few bolts and / or pins, so Gu easily removed the docking / isolating plate 138. And, because there is no EFEM, and the pieces are aligned with reference to this docking / isolating plate 138, the docking / isolating plate 138 can be removed from the EFEM without disturbing, such as the port door 140, f0up forward plate 134 or crystal Installation or alignment of EFEM components such as the circular engine 300. This will provide a way to easily access the “clean” area of EFEM (ciass-1 area in Figure 10) for repair, maintenance or elimination of errors. Figure 8 shows the wafer engine 300 mounted to the spine structure 100. This figure clearly shows that the wafer engine 300 can move linearly to access all ι / 〇 ports of EFem, and the wafer engine 300 is along a track The module 302 moves, and the rail module 302 is mounted to the rear mounting surface 116 of the lower supporting building member 106. In this embodiment, the linear drive 302 is shown as a belt drive. Within the scope and spirit of the present invention, the linear drive 302 may also include other drive systems such as, but not limited to, a direct drive, a linear motor, a cable drive, or a link drive. The components of the wafer engine 300 are described below. These drive systems are known in the art and need not be described in detail. Fig. 9 shows further details of the mounting system 3202 shown in Fig. 8 mounted to the spine structure 100. The "railway system 3 02 series includes the rear mounting which is all mounted to the lower channel." 06- This paper size applies to the country of China Standard (CNS) A4 size (210X 297 mm) 579564

板118之一上\軌310、一下\軌312及一托板導件3ιι。—項 較佳實施例中,上X執310及下X執3 12為圓形或管形並大致 彼此平行。利用一\托板304來接合上\軌31〇、下义執3丨2及 托板導件311,上與下乂軌31〇與312亦對於晶圓引擎3⑻作為 主要支撐件。 …One of the plates 118 has an upper rail 310, a lower rail 312, and a pallet guide 3m. -Item In the preferred embodiment, the upper X-axis 310 and the lower X-axis 3 12 are circular or tubular and are substantially parallel to each other. A bracket 304 is used to join the upper and lower rails 31, 3 and 2 and the bracket guide 311, and the upper and lower rails 31 and 312 are also main supports for the wafer engine 3a. ...

圖9亦顯示較佳位於f〇UP前進組件no底下之一控制各 147,EFEM需要許多電控制裝置(譬如控制配線、Pcb等), 若在保養與修理時容易取及這些裝置將是一項優點。控制 盒147提供一用於安裝電性裝置之區域。一項較佳實施例中 ,控制盒147具有一樞轉前蓋,可放下此前蓋以取及内部的 電組件,控制盒内設有對於EFEM組件供電及操作所需要之 多個電組件及控制系統,預定可容易取及這些電組件進行 保養,因此利用可移除且讓前蓋向下往廠房地板樞轉之數 個螺栓及/或銷來固定住控制盒147的樞轉前蓋。 如圖10、30至3 1所示,脊柱結構1〇〇架構係提供一種可盡 量減小EFEM的足跡及密封住系統的潔淨容積同時保持整體 系統的精確度之方式,FFU 150安裝且密封於上通路1〇4及 一工具介面板154以形成EFEM頂部,藉由將對接/隔離板 138安裝至各垂直支架102的前面11〇來提供前密封件。一個 較佳為芽孔狀表面的片狀金屬板15 2係安裝至下支撐構件 106以形成EFEM的底部,板152亦作為排氣板以讓來自 150及晶圓引擎300的排氣排入大氣環境中。EFEM的各側係 由W板1 5 6所密封,端板15 6係安裝且密封住脊柱1 〇 q (見圖 30)、工具介面板154、板152及FFU 150。如圖1〇所示,來 -24- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) /y564Figure 9 also shows that one of the control units 147, which are preferably located under the f0UP advancing unit, requires many electrical control devices (such as control wiring, Pcb, etc.). If these devices are easily accessible during maintenance and repair, it will be advantage. The control box 147 provides an area for installing electrical devices. In a preferred embodiment, the control box 147 has a pivoting front cover, which can be lowered to access the internal electrical components. The control box is provided with a plurality of electrical components and controls required for power supply and operation of the EFEM component. The system is scheduled to have easy access to these electrical components for maintenance. Therefore, the pivoting front cover of the control box 147 is fixed by a number of bolts and / or pins that can be removed and pivot the front cover downward to the plant floor. As shown in Figures 10, 30, and 31, the spine structure 100 architecture provides a way to minimize the footprint of the EFEM and seal the clean volume of the system while maintaining the accuracy of the overall system. The FFU 150 is installed and sealed in The upper passage 104 and a tool interface panel 154 form the top of the EFEM, and a front seal is provided by mounting a docking / isolation plate 138 to the front surface 110 of each vertical bracket 102. A sheet metal plate 15 preferably having a bud-shaped surface is mounted to the lower support member 106 to form the bottom of the EFEM, and the plate 152 also serves as an exhaust plate to allow exhaust gas from the 150 and the wafer engine 300 to be discharged into the atmosphere. Environment. Each side of the EFEM is sealed by a W plate 156, and an end plate 15 6 is installed and sealed to the spine 10 (see Figure 30), the tool interface panel 154, the plate 152, and the FFU 150. As shown in Figure 10, Lai -24- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) / y564

自FFU 150及滑體FFU 420的潔淨空氣流係移行通過微環境 或Class-Ι區域,並經由底板152及下通路1〇6離開。從z槽風 扇3 5 4排出且包含垂直驅動器3 8 〇所產生的顆粒之空氣流(描 述於下文)亦移行通過底板丨52,來自Z槽風扇354的空氣流 決不會進入乾淨的微環境。 一般而言,脊柱1〇〇創造單一的參考系統,藉以校準及對 準EFEM組件諸如晶圓引擎3〇〇&f〇1jp前進組件13〇。各分 開的EFEM組件可校準至一已知且固定的位準(諸如一垂直 支架102),而非彼此相對校準與對準,相較於今日需要的 習知程序,此種校準方法已經大幅簡化。 1有一背骨之脊fe钴楫 圖11至1 j顯不一脊柱結構的另一實施例,此實施例的主 要結構性元件係包括一水平樑17〇、對齊支架172及一前安 裝板174。如圖η所示,水平樑17〇較佳安裝至各對齊支架 Π2的底部以形成一剛性框架,前安裝板174亦安裝至各對 背支架172而對於外部EFEM組件(譬如17〇111>前進組件ι3〇)提 供一安裝表面。水平樑i 7〇可譬如由鋁擠塑件、鋼管、彎金 屬片的結構、平板、疊板、或最可能由上述某些項目之組 合所製成。水平樑170亦對於線性驅動器3〇6(描述如下)提供 一安裝表面。類似於脊柱結構1〇〇,此實施例係對於安裝及 對準EFEM組件提供單一參考。 圖12顯示,F〇UP門12及載入門140較佳仍儲存在CUss-1 區域内的一隔離區域中,為此,樑17〇必須與對齊支架口2 分開夠遠以讓FOUP門12及埠門140配合在樑170與對齊支架 -25-The clean air flow from the FFU 150 and the sliding body FFU 420 travels through the microenvironment or Class-I area, and exits through the bottom plate 152 and the lower passage 106. The air flow (described below) containing particles generated by the vertical drive 3 8 0 from the z-slot fan 3 5 4 also moves through the bottom plate 52. The air flow from the z-slot fan 354 will never enter a clean microenvironment . Generally speaking, the spine 100 creates a single reference system to calibrate and align EFEM components such as wafer engine 300 & fjp1 forward component 13. Separate EFEM components can be calibrated to a known and fixed level (such as a vertical bracket 102) instead of being aligned and aligned relative to each other. This calibration method has been greatly simplified compared to the conventional procedures required today. . Fig. 11 to Fig. 1 show another embodiment of a spine structure. The main structural elements of this embodiment include a horizontal beam 170, an alignment bracket 172, and a front mounting plate 174. As shown in FIG. Η, the horizontal beam 170 is preferably mounted to the bottom of each alignment bracket Π2 to form a rigid frame, and the front mounting plate 174 is also mounted to each pair of back brackets 172 and for external EFEM components (such as 17〇111 > forward The module i30) provides a mounting surface. The horizontal beam i 70 can be made of, for example, an aluminum extrusion, a steel pipe, a bent metal sheet structure, a flat plate, a laminated plate, or a combination of some of the above items. The horizontal beam 170 also provides a mounting surface for the linear actuator 306 (described below). Similar to the spine structure 100, this embodiment provides a single reference for installing and aligning EFEM components. Figure 12 shows that the F0UP door 12 and the loading door 140 are preferably still stored in an isolated area within the CUss-1 area. To this end, the beam 17o must be far enough away from the alignment bracket opening 2 to allow the FOUP door 12 And port door 140 fits on the beam 170 with the alignment bracket -25-

579564 A7 ______B7 五、發明説明(22 ) 172之間,如圖】2所示,分離件171係放置在各對齊支架172 興樑1 70之間以創造儲存區域。在本發明的範圍及精神内亦 可藉由其他叙置來創造儲存區域,樑丨7〇亦作為一保護性障 壁以免晶圓引擎300所創造的顆粒污染到f〇up門12或璋門 140。 圖1 j頒不’支撐構件或脊柱可包括具有一 CNC銑製鋁板 176之樑170,CNC銑製鋁板176係安裝至樑17〇以支撐x軸線 軌J 10及J 12。藉由一片金屬U形段175進一步增強此結構的 剛性,安裝至段175之垂直對齊支架172係與前述實施例相 似地對準至垂直支架丨〇2。如圖丨丨所示,一前安裝板1 72係 安裝至對齊支架174,諸如FOUP前進組件130等EFEM組件 係安裝至前安裝板172。 樑170可在晶圓處理器(wafer handler)的工作空間底下位 於晶圓引擎3 00與艙開啟器之間,樑i 7〇不論有何種構造皆 提供一個可精密地安裝有EFEM組件之結構性共同元件,而 在安裝或更換EFEM時不再需要於現場進行耗時的調整。 單一框架/套 圖14至16顯示構成一f〇UP對接站之脊柱結構的另一實施 例’此實施例中,安裝有EFEM之脊柱結構係為單一框架或 套202。類似於脊柱結構1〇〇,框架2〇2係對於組件所安裝及 對準之内部(如晶圓引擎3〇〇)與外部(如f〇UP前進組件130) 組件作為單一參考。 如圖14所示,脊柱結構200包括安裝至框架202之三個載 入埠組件204,各載入埠組件204類似於較佳實施例中所揭 -26- 本紙張尺度適用中國國家標準(CNS) A4規格(21〇X297公釐) 579564 A7 B7 五、發明説明(23 ) 露之載入埠組件130。一個用於隔離Class-Ι區域與外部大氣 條件之載入埠門206係對應於各載入埠組件204籍以對於 FOUP套接合及移除FOUP門。在本發明的範圍及精神内’ 框架202亦可有更多或更少個I/O埠。同樣地,框架202可包 括一個位於晶圓傳輸經過的I/O埠之間的充填或實心式I/O 淳。 框架202較佳由單件材料形成,譬如,框架202可由沖壓 機產生,框架202可油許多不同材料製成。譬如,框架202 可由諸如但不限於金屬片、聚丙烯、複合材料或塑膠等材 料製成。框架202亦可包括陽極化表面光製以防止或減少洩 氣。框架202不論由單件材料或分離元件製成,皆可以縮放 尺寸。為此,可以定製框架202以創造符合EFEM需求的多 個FOUP 1/〇埠。 圖15顯示安裝至框架202之數個EFEM組件,單件不銹鋼 所製成的框架202之較佳實施例係具有撓性。譬如,框架 202亦可由一鋁片製成,EFEM必須有足夠剛性以對於EFEM 組件提供精確的支撐與對準點。將額外的支撐件2 10安裝至 框架202,以對於諸如線性驅動器254、過濾單元220、 FOUP前進組件208及工具介面平面等組件提供岡]性且精確 的支撑點。 為了促進空氣流穿過載入琿介面,框架202的頂表面20 1 及底表面203為穿孔狀。一風扇/過濾單元220可安裝至框架 202的頂表面201並形成一密封,藉以控制通過框架202之空 氣速率與品質。此風扇/過濾單元技術係為此技藝所習知而 -27- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)579564 A7 ______B7 V. Description of the invention (22) Between 172, as shown in Fig. 2), the separating piece 171 is placed between the alignment brackets 172 and the beams 170 to create a storage area. Within the scope and spirit of the present invention, it is also possible to create a storage area by other means. The beam 70 also serves as a protective barrier to prevent the particles created by the wafer engine 300 from contaminating the f0up door 12 or the door 140. . Figure 1 The support member or spine may include a beam 170 having a CNC milled aluminum plate 176, which is mounted to the beam 170 to support the x-axis rails J 10 and J 12. The rigidity of this structure is further enhanced by a piece of metal U-shaped section 175. The vertical alignment bracket 172 mounted to the section 175 is aligned to the vertical bracket similar to the previous embodiment. As shown in FIG. 丨, a front mounting plate 172 is mounted to the alignment bracket 174, and an EFEM component such as the FOUP advancing component 130 is mounted to the front mounting plate 172. The beam 170 can be located between the wafer engine 300 and the cabin opener under the working space of the wafer handler. The beam i 70 provides a structure capable of precisely mounting EFEM components regardless of the structure. Common components, and time-consuming adjustments on site are no longer required when installing or replacing EFEM. Single Frame / Sleeve FIGS. 14 to 16 show another embodiment of the spine structure constituting a FOUP docking station. In this embodiment, the EFEM-equipped spine structure is a single frame or sleeve 202. Similar to the spine structure 100, the frame 200 is a single reference for the internal (such as wafer engine 300) and external (such as f0UP advancement module 130) components mounted and aligned. As shown in FIG. 14, the spine structure 200 includes three loading port assemblies 204 mounted to the frame 202, and each loading port assembly 204 is similar to that disclosed in the preferred embodiment. -26- This paper standard applies to the Chinese National Standard (CNS ) A4 specification (21 × 297 mm) 579564 A7 B7 V. Description of the invention (23) Load port assembly 130 exposed. A loading port door 206 for isolating the Class-I area from external atmospheric conditions corresponds to each loading port assembly 204 to engage and remove the FOUP door for the FOUP sleeve. Within the scope and spirit of the present invention, the frame 202 may also have more or fewer I / O ports. Similarly, the frame 202 may include a padding or solid I / O chip located between the I / O ports that the wafer passes through. The frame 202 is preferably formed from a single piece of material. For example, the frame 202 may be produced by a press, and the frame 202 may be made from many different materials. For example, the frame 202 may be made of a material such as, but not limited to, metal sheet, polypropylene, composite material, or plastic. Frame 202 may also include anodized surface finishes to prevent or reduce outgassing. The frame 202 can be scaled regardless of whether it is made of a single piece of material or a separate element. To this end, the framework 202 can be customized to create multiple FOUP 1/0 ports that meet EFEM requirements. Figure 15 shows several EFEM components mounted to the frame 202. The preferred embodiment of the frame 202 made of a single piece of stainless steel is flexible. For example, the frame 202 can also be made of an aluminum sheet. The EFEM must be rigid enough to provide accurate support and alignment points for the EFEM components. Additional support members 2 10 are mounted to the frame 202 to provide precise and accurate support points for components such as the linear actuator 254, the filter unit 220, the FOUP advancing assembly 208, and the tool interface plane. In order to promote air flow through the loading interface, the top surface 20 1 and the bottom surface 203 of the frame 202 are perforated. A fan / filter unit 220 can be mounted to the top surface 201 of the frame 202 and forms a seal to control the air velocity and quality through the frame 202. This fan / filter unit technology is familiar to this technology. -27- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm)

裝 訂Binding

線 579564Line 579564

I、需=述,單一的風扇/過濾單元220可適於達成所需要的 空氣流率。但是當框架2〇2增加尺寸及容積時,框架202可 能需要多個風扇來維持所需要的環境條件,若efem内部不 與外部大氣條件(並非惰性環境)相隔離,空氣可由ffu 抽入’承’尹的微環境中並經過框架202底表面203中的穿孔狀 孔2 1 2通風離開。 若EFEM為惰性乐統,可將一個流捕捉室224安裝且密封 於框架202的底表面203 ,藉以完全圍堵住風扇/過濾單元 220創造的空氣流並使其再循環。端蓋21〇亦可具有一流回 灯路徑,以將離開流捕捉充氣室224的空氣導回到風扇/過 濾單元220進行再循環。 由於框架202創造最小的包圍容積,從空氣處理觀點來看 本發明係為極有效率的系統。具有較小的控制及過濾空氣 谷積之微環境將更容易保持空氣的潔淨度。需要因為較多 空氣推迫通過而劣化的分子過濾器之惰性系統,亦會藉由 包含較小氣體容積之一微環境而獲得利益。範例中,若通 過的氣體具有較小容積及速率,則較不需頻繁地更換過濾 器。 系統容積性空間刺闲 所有前述EFEM(譬如脊柱結構、背骨及框架)的一主要差 異係在於空間利用的基本改變,即使此概念亦適用於本申 請案揭露的所有實施例,空間利用特性僅指脊柱結構丨〇〇。 習知的工具前端中,前端係佔據從載入埠前方(載入面平面) 到加工工具面及從廠房地板到最高點(通常為FFU頂部)及前 -28- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)I. As stated, a single fan / filter unit 220 may be adapted to achieve the required air flow rate. However, when the frame 202 is increased in size and volume, the frame 202 may require multiple fans to maintain the required environmental conditions. If the inside of the efem is not isolated from the external atmospheric conditions (not an inert environment), air can be drawn in by the ffu. 'Yin's micro-environment and vented away through the perforated holes 2 1 2 in the bottom surface 203 of the frame 202. If the EFEM is an inert music system, a flow capture chamber 224 can be installed and sealed on the bottom surface 203 of the frame 202 to completely block and recirculate the air flow created by the fan / filter unit 220. The end cap 21 may also have a first-class light return path to direct air leaving the flow capture plenum 224 back to the fan / filter unit 220 for recirculation. Since the frame 202 creates the smallest enclosed volume, the present invention is an extremely efficient system from an air handling point of view. The micro-environment with less control and filtered air will make it easier to keep the air clean. An inert system that requires a molecular filter that deteriorates because more air is forced to pass, also benefits by containing a microenvironment with a smaller gas volume. In the example, if the passing gas has a smaller volume and velocity, the filter needs to be replaced less frequently. Systematic volume space stabs all of the aforementioned EFEMs (such as spine structure, spine, and frame). A major difference lies in the basic change in space utilization, even if this concept is applicable to all embodiments disclosed in this application. The space utilization characteristics only refer to Spinal structure 丨 〇〇. Among the known tool fronts, the front end occupies from the front of the loading port (the plane of the loading surface) to the surface of the processing tool and from the floor of the plant to the highest point (usually the top of the FFU) and front-28. This paper size applies to Chinese national standards (CNS) A4 size (210 X 297 mm)

裝 訂Binding

579564 A7 ---— _B7 五、發明説明(25 ) 端的全體寬度之所有空間。 由脊柱結構1 〇〇構成的一 EFEM係在載入埠130底下產生明 顯空間,並可將潔淨的晶圓引擎區域還給加工/量測工具或 作其他用途。此外,受包圍的區域或微環境的整體深度亦 仗4知EFEM構造需要的寬度而減小,晶圓引擎徑向滑體 4〇〇的刖部係可旋轉進入駐留在垂直支架1〇2之間的F〇up門 機構一般未使用之區域中。可將空間還給加工工具及可能 對於整體工具具有較低的足跡需求之末端使用者,晶圓引 擎3 00的構造係利用這些新且較小的空間限制,譬如,徑向 、/月件400可比非偏移式版本更加深入加 工工具内。 由於具有遠為較小的系統包套所以明顯較輕,並如果安 故在獨立式滾動框架上,則可滾動遠離加工工具以供直接 取及工具。因為此系統亦比一般加工工具更短,亦可利用 其上方空間作為其他目的,諸如對於AMHS系統的局部 FOUP 10緩衝。對於習知的高吊式AMHS系統,因為需要對 於載入埠之未受阻的上置式路徑,局部緩衝站可能只放置 在載入埠或工具之間。對於滑出式擱架配置,物料可儲存 在直接位於整合式EFEM的受包圍區域上方之一原未使用的 區域中。 如圖30至3 1所示,此系統可以數種方式與加工工具整合 ,並設計為在四個點需要支撐,兩個外垂直支架的基底之 兩點係提供附接與位準點,各端板後下角之兩點則提供後 方支撐位置,可藉由容易將系統移離加工工具之一滾出框 架來提供支撐點,可利用框架構件從工具懸臂式設置或從 -29- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 裝 訂579564 A7 ----- _B7 Fifth, the entire width of the (25) end of the invention description. An EFEM system consisting of a spine structure 100 creates significant space under load port 130 and can return clean wafer engine areas to processing / measurement tools or for other uses. In addition, the overall depth of the enclosed area or micro-environment is also reduced by the width required for the EFEM structure. The crotch system of the radial slide 400 of the wafer engine can be rotated into the vertical bracket 102 The F0up door mechanism is generally in an unused area. Space can be returned to processing tools and end users who may have a lower footprint requirement for the overall tool. The wafer engine 300 is constructed using these new and smaller space constraints, such as radial, 400 / month pieces Deeper into the tool than the non-offset version. It is significantly lighter due to the much smaller system package and, if secured on a free-standing rolling frame, can be rolled away from the processing tool for direct access to the tool. Because this system is also shorter than normal machining tools, the space above it can also be used for other purposes, such as local FOUP 10 buffering for the AMHS system. For conventional high-hanging AMHS systems, because of the need for an unobstructed overhead path to the load port, a local buffer station may be placed only between the load port or the tool. For slide-out shelving configurations, material can be stored in one of the previously unused areas directly above the enclosed area of the integrated EFEM. As shown in Figures 30 to 31, this system can be integrated with processing tools in several ways, and is designed to support at four points. Two points of the base of the two outer vertical brackets provide attachment and alignment points at each end. Two points at the bottom corner of the plate provide rear support positions, which can be provided by easily moving the system away from one of the processing tools and rolling out the frame. The frame members can be cantilevered from the tool or from -29- this paper size applies China National Standard (CNS) A4 (210X 297mm) binding

579564 五、發明説明(26 地板支撐之加工工呈Λ ^ U支撐,亦可能為兩者之一組合並 可在,、中利用滚出框牟 ^ 供之運動點。 ”錢揚升離開加工工具框架所提 如上述之任何整合式微環境 一半導體程序相關之一工 丁女衣至’、 具的則方。本文的工具係包括但 不限於.加工工具,1 &·曰、 、,、用於在半導體晶圓上形成積體電路 圖案,里測工具,其用、^ ^ ^ ^ 列5式各種性質及工件;及儲料器 ’其用於大規模儲存工件 午載具。本文所用的工具可能僅為 -包圍件HX將如下述在板背側上處理之卫件承載在 一包圍空間中,範例中,根據本發明的結構1GG可能包含一 分類器,此分類p蕤出_ 4、0 , 、°錯由或多個載體來排列及傳輸工件。 或者,結構100可包含-分類器或獨立式預對準器,在分 類器或獨立式預對準器的實施例中,完全藉由安裝 100的EFEM組件來進行工杜_ 進仃件彳呆作,亦以結構1 〇〇為基礎構成579564 V. Description of the invention (26 The floor support processing worker is Λ ^ U support, or it may be a combination of the two and can be used as a point of movement for rolling out the frame. ”Qian Yangsheng left the processing tool The framework refers to any integrated microenvironment-semiconductor program related to any of the integrated micro-environment programs. The tools in this article include but are not limited to. Processing tools, 1 & For forming integrated circuit patterns on semiconductor wafers, measuring tools are used, which have various properties and workpieces of type 5 and ^ ^ ^ ^; and stockers, which are used for large-scale storage of workpieces and noon carriers. Used in this article The tool may only be-the enclosing part HX carries the guards processed on the back side of the board as described below in an enclosing space. In the example, the structure 1GG according to the present invention may contain a classifier, this classification p 蕤 出 _ 4, 0,, ° The workpieces are arranged and transmitted by or on multiple carriers. Alternatively, the structure 100 may include a classifier or a stand-alone pre-aligner. In the embodiment of the classifier or stand-alone pre-aligner, it is completely borrowed. Work with 100 EFEM components _ Intensive work, also based on structure 1000

Class-1區域的包圍件係提供可在其中處理工件之一受包圍 的潔淨環境。本發明的數項實施例中,結構丨⑻可視為工具 的-部份(見圖3A)。本發明的其他實施例中,此系統可附 接至工具但仍視為與工具分離(圖29 A-2 9 D)。 如圖H)清楚顯示,F0UP對接站係形成於脊^⑼周圍。 一底盤118固定至底支撐構件1〇6且形成密封。一項較佳實 施例中’底盤118為穿孔狀表面以讓空氣從FFU 15〇通過只 FFU 15〇固定至上支撐構件丨〇4且形成密封,一晶圓傳輸板 122固定至底盤1i8&FFU 15〇且形成密封。晶圓傳輸板丨22 可包括傳輸窗口 121以讓晶圓引擎300在aass-丨區域與加工 -30- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 工具之間傳輸晶圓。 此糸統形成一氣密性密封以維持Chsq環境,一氣密性 密封件係創造於脊柱⑽及底盤118、^ffu Μ㈣Μ ^0、及具有FFU ISO和底盤η8的晶圓傳輸板ΐ22之間。一 =而::區域内的壓力係保持在高於Class-Ι區域周 '大虱壓力之程度,此壓差可防止未過濾的空氣進入Class-1〔域内。為此,空氣傳播的顆粒或污染物係經由底盤川 ^ 口吹出⑸〜1區域外。工具偶而在諸如純氮環境等惡 + T兄中運作’此環i兄中,需要完全隔離。匕“區域與外 部周遭環境,一充氣室可固定且密封於底盤U8,使得結構 ^内的微環境與大氣條件完全隔離…充氣室以(見圖14) 可女裝至底盤118以捕捉空“再循環㈣安裝至脊柱⑽ 之風扇/過濾、單元150。 羞圓引擎_ 般而S ’圖18至23所示的晶圓引擎3〇〇係盡量減小相對 於使用頻率之機械慣性以及晶圓傳輸週期時間之重要性, 此晶圓引擎的部份利益譬如包括:⑴達成較快的晶圓交 換時間,(2)較低的系統總重量,及⑺較不佔體積的整合式 構裝。晶圓引擎300亦可在本中請案揭露之整合式脊柱ι〇〇 的任何實施例内操作、或以一獨立式裝置操作。 晶圓引擎300的一較佳實施例係顯示於圖㈣㈠中,晶圓 引擎300包括四個主要協調式驅動器以在a·内具有:佳 化的晶圓傳輸’四個驅動„沿__線、—^線、一z 軸線及一徑向或r軸線移動一晶圓。 -31 - 本紙張尺度適財關家料(CNS) ‘規格(21()><297公^^ A7 B7 五、發明説明(28 ) 晶圓引擎具有一線性驅動器組件3〇2藉以沿一 X軸線移 動曰曰圓引擎30G。#由沿x軸線的移動可讓晶圓引擎取及 各個F0UP 1/0璋’、線性驅動器組件3〇2包括一 X托板綱及一 軌系統306 ’ X托板3〇4可滑式接合上議3 1〇及下樣3 12,執 系統306安裝至後安裝板116並包括一上乂軌31〇及下\轨312 ,上^執3 10及下\轨3 12沿乂軸線延伸並彼此大致平行。圖18 中過軌組.件j〇6的斷折線顯示軌組件3〇6可有任何長度, 軌,,且件j06可縮放尺寸使得晶圓引擎3〇〇可沿著軌組件3⑽移 仃以言如取及各FOUP 10中所儲存的晶圓。晶圓引擎3〇〇的 旋轉性驅動器350亦安裝至乂托板3〇4,因此,χ托板3〇4造成 的移動係沿著χ軸線驅動晶圓引擎3 〇〇。 晶圓引擎300亦可旋轉,而繞一0軸線樞轉。一較佳實施 例中,如圖1 8所示,旋轉性驅動器35〇包括一支撐柱364, 支撐柱j64沿0軸線延伸並安裝至一 ζ軸線支撐件,旋轉 性驅動器350包括-0馬達362以驅動並轉動支撐柱364,旋 轉性驅動350可在順時針方向或在逆時針方向旋轉,旋轉 1±驅動器350亦可直接安裝至垂直驅動器38〇。沒軸線較佳 並不移行通過滑體400中心,滑體4〇〇的此種偏離中心式構 造的優點描述於下文。 旋轉丨生驅動态3 5 0進一步包括一風扇延伸平台3 5 2,晶圓 引擎j 00的一項車父佳貫施例中,如圖2〇所示,一乙槽風扇 文裝至風扇平台352底側,晶圓引擎300的此構造係將ζ槽風 羽3 5 4疋位在0馬達3 6 2附达並提供一空氣通口以將驅迫經 過晶圓引擎30〇的2柱380之空氣排出,沖過2柱38〇的空氣係 -32- 本紙成〜㈣τ關家料(CNS) Α4規格(_ 297公复了The enclosure of the Class-1 area provides a clean environment in which one of the workpieces can be handled. In several embodiments of the invention, the structure can be considered as a part of the tool (see Figure 3A). In other embodiments of the invention, this system may be attached to the tool but still be considered separate from the tool (Figures 29 A-2 9 D). As shown in Figure H), the FOOP docking station system is formed around the ridge. A chassis 118 is fixed to the bottom support member 106 and forms a seal. In a preferred embodiment, the 'chassis 118 is a perforated surface to allow air to be fixed to the upper support member from the FFU 150 through the FFU 150 and sealed, and a wafer transfer plate 122 is fixed to the chassis 1i8 & FFU 15 〇 and a seal is formed. The wafer transfer board 丨 22 may include a transfer window 121 for the wafer engine 300 to transfer crystals between the aass- 丨 area and the processing-30- This paper size applies to China National Standard (CNS) A4 specification (210X 297 mm) tools circle. This system forms an air-tight seal to maintain the Chsq environment. An air-tight seal is created between the spine ⑽ and the chassis 118, ^ ffu Μ㈣M ^ 0, and the wafer transfer plate ΐ22 with FFU ISO and chassis η8. 1 = And :: The pressure in the area is maintained at a level higher than the pressure of the big lice in the Class-I area. This pressure difference can prevent unfiltered air from entering the Class-1 [domain. To this end, airborne particles or pollutants are blown out of the ⑸ ~ 1 area through the mouth of the chassis. Tools occasionally operate in evil + T brothers, such as pure nitrogen environments. In this ring, brothers need to be completely isolated. "The area and the surrounding environment, an inflatable chamber can be fixed and sealed to the chassis U8, so that the micro-environment within the structure is completely isolated from atmospheric conditions ... the inflatable chamber (see Figure 14) can be worn to the chassis 118 to capture the air Fan / filter, unit 150 for recirculation ㈣ mounted to spine ⑽. Shame engine_ In general, the wafer engine 300 shown in Figures 18 to 23 minimizes the importance of mechanical inertia relative to the frequency of use and the importance of wafer transfer cycle time. Part of the benefits of this wafer engine Examples include: (1) faster wafer exchange time, (2) lower total system weight, and (3) an integrated package that takes up less volume. The wafer engine 300 may also be operated within any of the embodiments of the integrated spine disclosed in this application, or it may be operated as a stand-alone device. A preferred embodiment of the wafer engine 300 is shown in Figure 晶圆. The wafer engine 300 includes four main coordinated drivers to have in a ·: optimized wafer transfer 'four drives' along the __ line ,-^ Line, a z-axis and a radial or r axis to move a wafer. -31-The paper size is suitable for household materials (CNS) 'Specifications (21 () > < 297 public ^^ A7 B7 V. Description of the invention (28) The wafer engine has a linear driver assembly 302 to move the circular engine 30G along an X axis. #The movement along the x axis allows the wafer engine to access each F0UP 1/0 璋'The linear drive assembly 302 includes an X pallet and a rail system 306.' The X pallet 30 is slidably connected to the upper 3, 10, and 3 12 below, and the execution system 306 is installed to the rear mounting plate 116. It includes an upper rail 31 and a lower rail 312, and the upper rail 3 10 and the lower rail 3 12 extend along the axis and are substantially parallel to each other. In FIG. 18, the broken line of the rail group. Piece j〇6 shows the rail. The module 306 can have any length, rail, and the size of the j06 can be scaled so that the wafer engine 300 can be moved along the rail module 3, so that the FOUP 10 stores the Wafer. Rotary driver 350 of wafer engine 300 is also mounted on the pallet 300. Therefore, the movement caused by the pallet 304 drives the wafer engine 300 along the x axis. Wafer The engine 300 can also rotate and pivot about an axis 0. In a preferred embodiment, as shown in FIG. 18, the rotary drive 35 includes a support post 364, and the support post j64 extends along the 0 axis and is mounted to a ζ axis support. Rotary driver 350 includes -0 motor 362 to drive and rotate support post 364. Rotary driver 350 can rotate in clockwise or counterclockwise direction. Rotating 1 ± driver 350 can also be directly installed to vertical driver. 38. No axis is preferred and does not travel through the center of the sliding body 400. The advantages of this off-center structure of the sliding body 400 are described below. Rotation 丨 Driven state 3 5 0 further includes a fan extension platform 3 5 2. In an example of a driver ’s best practice of the wafer engine j 00, as shown in FIG. 20, a B-slot fan is mounted on the bottom side of the fan platform 352. This structure of the wafer engine 300 is a ζ-slot fan. Feathers 3 5 4 are at 0 motor 3 6 2 attached and provide an air vent The engine driving force across the wafer through the air 30〇 2 column 380 of discharged air line crossed the 2 column 38〇 -32- present paper material into ~㈣τ Guan (CNS) Α4 Specification (restored male _ 297

裝 訂Binding

(29 ) 4下技射而遠離由晶圓引擎3⑻所傳送之任何晶圓(見圖2 1) 或者空氣流可排放通過旋轉性驅動器350並離開其底 部。 ’、一 垂直驅動柱380安裝至支撐構件370並沿z軸線往上延伸, 驅動柱380係將晶圓引擎3〇〇的滑體4〇〇(描述於下)及晶圓沿z 車由、、泉往上與往下移動。一項較佳實施例中,如圖1 9所示, 驅動柱380係為一大致從支撐構件37〇呈垂直延伸之長形柱 驅動組件位於驅動柱3 8〇内並包括一 z驅動馬達3 82、一 2纜線迢路384、一 z導執386及一 z滾珠螺絲388。此等驅動 裝置係為此技藝所習知且不需詳述,在本發明的範圍及精 神内亦可由其他裝置來移動滑體機構4〇〇。 滑體400較佳包括一上端點效應器4〇2及一下端點效應器 4〇4 ’藉以沿Γ軸線快速地交換各別晶圓。滑體4〇〇支撐上及 下端點效應器402及404使其平行於各FOUP 10中所儲存的晶 圓。如圖19所示’上端點效應器4〇2及下端點效應器4〇4沿 一類似的直線狀路徑移行。上端點效應器402及下端點效應 器404分隔一段足以讓上端點效應器4〇2及下端點效應器4〇4 同時儲存晶圓之距離,滑體4〇〇包括徑向驅動馬達4 1 〇以使 上端點效應器402及下端點效應器404沿徑向或r軸線呈線性 移動。 上端點效應器402係由一第一支撐件406所支#,而下端 點效應器404由一第二支撐件408所支撐。上端點效應器支 #件406及下端點效應器支撐件408各可滑式接合及移行於 一徑向導轨4 10内,徑向導軌410大致延伸跨過滑體400長度 -33- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 579564 A7 _____B7 五、發明説明(30^" 各仏向‘動馬達4 1 〇係驅動一徑向驅動皮帶4丨4。徑向驅 動皮帶414a連接至第一支撐件4〇6,而第二徑向驅動皮帶 4 14b連接至第二支撐件4〇8。徑向驅動馬達41〇可以順時針 方向或日才針方向旋轉以順著_徑向驅動滑輪4 1 6及一端點 惰滑輪418轉動徑向驅動皮帶並延長及縮回各別的端點效應 器。此驅動機構為此技藝所習知而不需詳述。在本發明範 Π及和神内亦,可有其他種沿彳至向或r軸線移動晶圓之裝置。 晶圓引擎300具有許多活動元件,活動元件容易產生顆粒 ,言如,上端點效應器402及下端點效應器4〇4之連續性伸 長及縮回將在微環境内創造顆粒。為了防止顆粒污染到位 於任一端點效應器上之晶圓,一傦體風扇/過濾單元 (FFU)420係安裝至滑體4〇〇底側,滑體FFU42〇經由滑體滑槽 420連4拉入空氣、將空氣拉過滑體、 、妙 將空氣棑出到α㈣區域内’空氣流的此局慮係= 降低放入Class-Ι區域内的顆粒量。 習知情形中’ A部份微環境係包括|一的風扇/過遽單元 ,此風扇/過濾、單元係將空氣流通經過微環境且僅過濾流入 EFEM的空氣流。在風扇/過濾單元下游微環境内創造的任 何顆粒係留在潔淨環境中直到排出EFEM外為止,尤其因為 半導體製造中晶圓愈來愈需有更低的顆粒污染容忍值,所 以需要盡量降低微環境内的顆粒數。 晶圓引擎300的局部過濾係移除在顆粒創造時由曰曰曰圓引擎 300上的任何旋轉或滑動機構所創造之顆粒。一項較佳實施 例中,如圖19及2 1所示,一局部風扇/過濾單元或風扇系統 -34- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公董) ~' ----- 579564 A7 B7 五、發明説明(31 係緊鄰z柱3 80及滑體機構4〇〇兩者之線性驅動器,特別如圖 2 1所示,固定至滑體機構400的風扇/過濾單元係將經過濾 的空氣排入潔淨的微環境内,同時垂直驅動器3 8 0的z槽風 扇系統將未過濾的空氣排過EFEM的底板,晶圓引擎3 00係 過濾及排放空氣進入EFEM的Class-Ι區域内。若晶圓引擎 300不具有安裝至滑體機構400的風扇/過濾器,滑體機構 400創造的顆粒將移行通過class-1區域而污染由任一端點效 應器所支撐之晶圓。 圖2 0顯示晶圓引擎3 0 0的另一實施例,此實施例中,滑體 400係接合z柱j 80使得2;柱380大致沿著r軸線。類似於晶圓引 擎3 00的先前實施例,此實施例包括一 0馬達362、一垂直 驅動柱380及一徑向滑體400。0馬達繞Θ軸線轉動晶圓引 擎,ζ柱沿ζ軸線線性移動徑向滑體4〇〇 ,徑向滑體4〇〇沿徑 向或I*軸移動端點效應器4(Η。為此,每當θ馬達362旋轉時 ,晶圓引擎及晶圓將繞0軸線轉動。類似於晶圓引擎3〇〇的 先前實施例,此實施例亦可包括在一ν槽風扇中安裝至徑向 滑體400之一個風扇/過濾單元。 如前文所述,晶圓引擎300的滑體4〇〇可包括不同構造的 端點效應器L8至19所示’上及下端點效應器4〇2及 404可包括一被動邊緣支樓件 t卜播、止 又枒仟此構造係為此技藝習知用於 300公厘晶圓之被動邊緣握持端點效應器。圖22顯示,上端 點效應器402可包括一主動邊缘据垃立 透緣握持部,而下端點效應器 404可包括一被動邊緣支撐件。或 4 # *而點效應器402及404 可包括譬如一具有背側接觸的直处狼垃 扪具工握持部、一減小的接觸 -35 - A7 B7 五、發明説明(32 區域、可移除式墊之任何組合。 同樣地’後向驅動器400可包括用於在不同階段處理晶圓 =不同型的端點效應器,譬如,一端點效應器可能只處理‘‘ 脚曰曰圓,但第二端點效應器可能只處理“乾淨,,的晶圓。或 者可將一端點效應器設計為在傳輸到加工工具之前對準 及讀取晶圓ID,但第二端點效應器可能包括用於處理加工 後的熱晶圓之高溫墊。 拉整合式工具 白知的晶圓處理機械臂係將各別的晶圓譬如從一 F〇up 10傳达至一分離的加工站,加工站係檢驗或對準晶圓然後 晶圓處理機械臂可將晶圓傳送到下站。加工站運作時,晶 圓處理機械臂時常必須呆坐或回到—刚p⑽傳送第二晶 圓’此作業降低了系統的產出率。 一實施例中,晶圓引擎3⑽包括_滑體_,滑體柳可進 行通常在—分離的加工站執行之—或數個上述功能,藉由 將一或多項上述功能整合在滑體4⑽内將可增加系統的產出 率並降低EFEM的足跡。 圖22至23顯示配備有安梦A %㈣ 名女衣在滑體400上的一輪式對準器 440及ID讀取器430之一晶圓弓丨敬 圓弓丨草j 00,此實施例類似於圖i 8 至19所示的晶圓引擎3〇〇,作 添加了一安裝在上端點效應器 402上之輪式對準器44〇、及一 ^ 文裝至滑體400之ID讀取器 430。在本發明精神及範圍内 一輪式對準器。 下端點效應器404亦可包括 1D讀取器430可往上或往下觀看以讀取晶圓頂部或底部的(29) 4 shots away from any wafers transferred by the wafer engine 3⑻ (see Figure 2 1) or air flow can be discharged through the rotary drive 350 and leave its bottom. '. A vertical driving post 380 is mounted to the support member 370 and extends upward along the z-axis. The driving post 380 is a sliding body 400 (described below) of the wafer engine 300 and the wafer is driven along the z, Spring moves up and down. In a preferred embodiment, as shown in FIG. 19, the driving column 380 is an elongated column driving assembly extending substantially perpendicularly from the supporting member 37 and is located in the driving column 3 800 and includes a z driving motor 3 82, a 2 cable loop 384, a z guide 386 and a z ball screw 388. These driving devices are known in the art and need not be described in detail. Within the scope and spirit of the present invention, other devices can also be used to move the sliding body mechanism 400. The sliding body 400 preferably includes an upper endpoint effector 402 and a lower endpoint effector 404 'to quickly exchange the respective wafers along the Γ axis. The slider 400 supports the upper and lower end effectors 402 and 404 so as to be parallel to the crystal circle stored in each FOUP 10. As shown in FIG. 19 ', the upper endpoint effector 402 and the lower endpoint effector 400 move along a similar linear path. The upper end effector 402 and the lower end effector 404 are separated by a distance sufficient for the upper end effector 4202 and the lower end effector 404 to store wafers at the same time. The slider 400 includes a radial drive motor 4 1 〇 In this way, the upper end effector 402 and the lower end effector 404 move linearly along the radial or r axis. The upper end effector 402 is supported by a first support 406, and the lower end effector 404 is supported by a second support 408. The upper end effector support piece # 406 and the lower end effector support piece 408 are each slidably engaged and moved within a radial guide 4 10, and the radial guide 410 extends substantially across the length of the slide 400 -33- Applicable to China National Standard (CNS) A4 specification (210X 297 mm) 579564 A7 _____B7 V. Description of the invention (30 ^ " Each direction 'moving motor 4 1 0 series drives a radial drive belt 4 丨 4. Radial drive belt 414a is connected to the first support 4 06, and the second radial drive belt 4 14b is connected to the second support 4 08. The radial drive motor 41 0 can be rotated clockwise or sun-dial to rotate along _ The radial drive pulley 4 1 6 and an end idler pulley 418 rotate the radial drive belt and extend and retract the respective end effectors. This drive mechanism is known in the art and need not be described in detail. There are also other types of devices that move wafers along the 彳 -direction or the r-axis. Wafer engine 300 has many moving elements, which are prone to particles. For example, the upper end effector 402 and the lower end effect The continuous elongation and retraction of the device 400 will be in the microcircle Particles are created inside. In order to prevent particles from contaminating the wafers located on any of the end effectors, a car body fan / filter unit (FFU) 420 is installed on the bottom side of the slider 400, and the slider FFU 42 slides through the slider. The groove 420 is connected to 4 to pull in the air, pull the air through the sliding body, and pull the air out into the α㈣ area. The concern of the air flow is to reduce the amount of particles placed in the Class-1 area. 'Part A of the microenvironment system includes a fan / passing unit. This fan / filter unit is used to circulate air through the microenvironment and only filter the airflow flowing into the EFEM. Created in the microenvironment downstream of the fan / filter unit Any particles remain in the clean environment until they are discharged from the EFEM, especially because wafers in semiconductor manufacturing are increasingly required to have a lower tolerance for particle contamination, so the number of particles in the microenvironment needs to be minimized. Part of the wafer engine 300 Filtration removes particles created by any rotating or sliding mechanism on the circular engine 300 during particle creation. In a preferred embodiment, as shown in Figures 19 and 21, a local fan / filter unit Or fan统 -34- This paper size applies to Chinese National Standard (CNS) A4 specifications (210X297 public directors) ~ '----- 579564 A7 B7 V. Description of the invention (31 is next to the z-pillar 3 80 and the sliding body mechanism 4〇〇 The linear drive of the two, especially as shown in Figure 21, the fan / filter unit fixed to the sliding body mechanism 400 exhausts the filtered air into a clean micro-environment, and at the same time, the vertical drive of the 380-z fan system The unfiltered air is exhausted through the bottom plate of the EFEM. The wafer engine 300 series filters and discharges the air into the Class-1 area of the EFEM. If the wafer engine 300 does not have a fan / filter mounted to the slide mechanism 400, the particles created by the slide mechanism 400 will migrate through the class-1 area and contaminate the wafer supported by any of the end effectors. FIG. 20 shows another embodiment of the wafer engine 300. In this embodiment, the sliding body 400 is connected to the z-pillar j 80 so that 2; the pillar 380 is substantially along the r axis. Similar to the previous embodiment of the wafer engine 300, this embodiment includes a 0 motor 362, a vertical drive post 380, and a radial slider 400. The 0 motor rotates the wafer engine about the Θ axis, and the ζ post is linear along the ζ axis Moving the radial slider 400, the radial slider 400 moves the end effector 4 (Η) in the radial direction or the I * axis. For this reason, whenever the theta motor 362 rotates, the wafer engine and the wafer will Rotate around the 0 axis. Similar to the previous embodiment of the wafer engine 300, this embodiment can also include a fan / filter unit mounted to the radial slider 400 in a v-slot fan. As mentioned earlier, the crystal The sliding body 400 of the circular engine 300 may include end effectors L8 to 19 of different configurations, as shown in the upper and lower end effectors 4202 and 404 may include a passive edge wing member, and it may stop. This structure is a passive edge-holding endpoint effector for 300mm wafers known in this art. Figure 22 shows that the upper endpoint effector 402 may include an active edge-through transparent edge-holding portion, and The lower end effector 404 may include a passive edge support. Or 4 # * and the point effectors 402 and 404 may include, for example, A straight wolf tooling grip with dorsal contact, a reduced contact -35-A7 B7 V. Invention Description (Any combination of 32 area, removable pads. Similarly 'back drive 400 may include wafers that are processed at different stages = different types of end effectors. For example, one end effector may only process '' feet, but the second end effector may only process `` clean, An end effector can be designed to align and read the wafer ID before transferring to the processing tool, but the second end effector may include a high temperature pad for processing hot wafers after processing. The integrated processing tool Baizhi's wafer processing robot arm transfers individual wafers, such as from a F0up 10 to a separate processing station. The processing station inspects or aligns the wafer and the wafer processing robot arm The wafer can be transferred to the next station. When the processing station is operating, the wafer processing robot often has to sit or return-just transfer the second wafer. This operation reduces the output rate of the system. In one embodiment, Wafer Engine 3⑽ includes _sliding body_, sliding body Liu Kejin Lines are usually performed in-separate processing stations-or several of the above functions. By integrating one or more of the above functions in the sliding body 4⑽ will increase the system's output rate and reduce the EFEM footprint. Figures 22 to 23 show Equipped with a round aligner 440 and an ID reader 430, one of the wafer aligners 440 and ID readers 430 on the sliding body 400 of Anmeng A% ㈣ famous women ’s clothing. This embodiment is similar to Figure i 8 The wafer engine 300 shown in FIG. 19 is added with a wheel aligner 44 mounted on the upper end effector 402 and an ID reader 430 mounted on the slider 400. In A wheel-type aligner within the spirit and scope of the present invention. The lower endpoint effector 404 may also include a 1D reader 430 which can be viewed upward or downward to read the top or bottom of the wafer.

579564 A7 B7 五、發明説明(33 ) 頂及/或底表面之標記,在本發明的範圍及精神内,m讀取 為4J〇亦可安裝於垂直驅動器380 '或安裝在晶圓引擎3〇〇其 他處的一固定位置中。較佳實施例中,最好將一頂側m讀 取器430安裝在滑體400上以供快速的m讀取。一第二⑴讀 取可女驶在EFEM中其他處的一固定位置來讀取底側丁?標 記藉以依需要確認或釐清晶圓ID。 若需要1D讀取但晶圓定向不重要時,則可免除對準器, 且ID項取器430可觀看晶圓抵達端點效應器的各位置之1]:)標 記。為利於此操作,ID讀取器430或一鏡組件可在晶圓表面 上方紅轉以觀看ID標記,這將不再需要旋轉晶圓來讀取m ,可藉以改善潔淨度及產出率。 一對準器諸如利用輪或其他裝置來控制晶圓繞著一軸線 的旋轉,圖23至24顯示一具有一輪式對準器44〇之端點效應 器的一實施例,輪式對準器440包括一驅動系統449及一槳 板442,槳板442對於晶圓係為主要支撐。槳板料2的端點上 設有兩組的被動梢輪446及兩個墊448,輪446及塾448係在 對準期間的不同時間支撐晶圓,—位於槳板442背端的驅動 輪450係在晶圓對準時沿一第三接觸表面支撐住晶圓。 一實施例中,輪式端點效應器440在一 FOUP 1〇中的一晶 圓底下滑動,並且升高直到晶圓受到墊448支撐為止。墊 448較佳只沿底邊緣支撐晶圓。為了對準晶圓,藉由驅動輪 45〇將晶圓往前推並往上到達輪446上,晶圓係揚升離開墊 448並由驅動輪45〇及梢輪446完全支撐。此時,驅動輪45〇 可旋轉以在現場使晶圓旋動,可在晶圓引擎3〇〇傳送晶圓時 -37. 579564 A7 __ B7 五、發明説明(34 ) 進行此操作,晶圓引擎300不必留在位置中將晶圓對準。 或者,如圖26B所示,滑體400可包括一真空失頭對準器 4 1 1 ’對於真空夾頭對準器4丨丨之驅動機構(包括一揚升及旋 轉軸、、’泉)可能駐留在滑體4 0 0内。一感測器409可安裝至端 點效應器403以將留在端點效應器上時之晶圓的邊緣加以定 位,感測器409亦可安裝至一與端點效應器403獨立之結構 般而3 ’感測4 0 9可位於各種位置,只要感測器4 〇 9 的位置可讀取晶圓頂表面即可。 可相對於旋轉角度將邊緣位置加以映繪藉以找出晶圓中 心與定向,感測器409作為一種次級回饋裝置,隨時皆知道 感測器409相對於晶圓的位置。因此,感測器4〇9可送出代 表曰曰圓未對準之誤差訊號,因為對準器從感測器4〇9接收額 外的誤差資料,一具有此感測器的對準器將可改善對準器 的秸確度。晶圓隨後可藉由夾頭4 1 1重新定向並由晶圓引擎 300放在下個放下站的中心。 感’則為' 409可獨立安裝在EFEM内作為與晶圓引擎3〇〇分離 的一組件,此構造中,晶圓放在可旋轉的夾頭4 1 1上。安裝 /、有位置控制及測量裝置(未圖示)的機構上之感測器 4〇9你移往晶圓邊緣附近,直到感測器訊號處於理想位準為 止隧後感測器機構使用來自感測器409的訊號時,晶圓可 旋轉,以將感測器409位置保持在此理想位準,使感測器 4〇9對於晶圓邊緣有效地保持在相同的相對位置。當晶圓旋 轉日才,記錄下相對於晶圓角位置之感測器位置,此資料代 表晶圓邊緣相對於晶圓旋轉位置之徑向位置變化,並可用 -38-579564 A7 B7 V. Description of the invention (33) Marking on the top and / or bottom surface, within the scope and spirit of the present invention, m is read as 4J. It can also be mounted on the vertical driver 380 'or mounted on the wafer engine 3. 〇 in a fixed position elsewhere. In a preferred embodiment, a top-side m reader 430 is preferably mounted on the slider 400 for fast m reading. A second reading Can a woman drive a fixed position elsewhere in EFEM to read the bottom side? Mark to confirm or clarify the wafer ID as needed. If 1D reading is required but the orientation of the wafer is not important, the aligner can be eliminated, and the ID item picker 430 can watch the wafer arrive at each position of the end effector]] :) mark. To facilitate this operation, the ID reader 430 or a mirror assembly can be turned red above the surface of the wafer to view the ID mark. This will no longer require rotating the wafer to read m, which can improve cleanliness and yield. An aligner, such as a wheel or other device, controls the rotation of the wafer about an axis. Figures 23 to 24 show an embodiment of an end effector with a wheel aligner 44. Wheel aligner 440 includes a driving system 449 and a paddle plate 442. The paddle plate 442 is a main support for the wafer system. There are two sets of passive sprocket wheels 446 and two pads 448 at the end of the paddle sheet 2. The wheels 446 and 塾 448 support the wafer at different times during the alignment—the driving wheels 450 at the back end of the paddle 442 The wafer is supported along a third contact surface during wafer alignment. In one embodiment, the wheeled end effector 440 slides under a wafer bottom in a FOUP 10 and rises until the wafer is supported by the pad 448. The pad 448 preferably supports the wafer only along the bottom edge. In order to align the wafer, the wafer is pushed forward by the drive wheel 45 and up to the wheel 446. The wafer system is lifted off the pad 448 and is fully supported by the drive wheel 45 and the tip wheel 446. At this time, the driving wheel 45 can be rotated to rotate the wafer on the spot, and it can be -37. 579564 A7 __ B7 when the wafer engine 300 transfers the wafer V. Description of the invention (34) To perform this operation, the wafer The engine 300 does not have to remain in position to align the wafer. Alternatively, as shown in FIG. 26B, the sliding body 400 may include a vacuum aligner 4 1 1 ′ and a driving mechanism for the vacuum chuck aligner 4 丨 丨 (including a lifting and rotating shaft, and a spring). May reside in the sliding body 400. A sensor 409 can be mounted to the end effector 403 to locate the edge of the wafer when it is left on the end effector. The sensor 409 can also be mounted to a structure independent of the end effector 403. The 3 ′ sensing 409 can be located at various positions, as long as the position of the sensor 409 can read the top surface of the wafer. The edge position can be mapped relative to the rotation angle to find the center and orientation of the wafer. As a secondary feedback device, the sensor 409 knows the position of the sensor 409 relative to the wafer at any time. Therefore, the sensor 409 can send an error signal representing the Japanese circle misalignment, because the aligner receives additional error data from the sensor 409, an aligner with this sensor will be able to Improve the accuracy of the aligner. The wafer can then be reoriented by the chuck 4 1 1 and placed by the wafer engine 300 in the center of the next drop station. The sense is' 409 can be independently installed in EFEM as a component separated from the wafer engine 300. In this configuration, the wafer is placed on a rotatable chuck 4 1 1. Install /, the sensor 409 on the mechanism with position control and measurement device (not shown), you move near the edge of the wafer until the sensor signal is at the ideal level. When the sensor 409 signals, the wafer can be rotated to maintain the position of the sensor 409 at this ideal level, so that the sensor 409 can effectively maintain the same relative position to the edge of the wafer. When the wafer rotates, the sensor position relative to the wafer angular position is recorded. This data represents the change in the radial position of the wafer edge relative to the wafer rotation position.

579564 A7 ____ B7 __ 五、發明説明(35 ) 以計算出相對於晶圓夾頭中心之晶圓中心以及基準的定向 。:¾連同感測器機構位置一起記錄下感測器訊號量值,可 提供額外的邊緣位置資訊藉以改善晶圓中心計算或基準的 定向之精確度。 輪式端點效應器對準器440可包括其他組件,譬如但不限 於一光學凹口感測器452藉以偵測沿著晶圓邊緣的凹口。譬 如’ 一旦凹·口已位於晶圓邊緣,利用光學凹口感測器452, 驅動輪450可將晶圓旋轉至所需要的位置並縮回,以讓晶圓 落回到墊448上。可在端點效應器處於位置中或處於移動時 進行此操作,藉由在FOUP 10之間、或一FOUP 10與一加工 工具之間傳送時對準晶圓的能力將可大幅縮短或消除一端 點效應器必須呆坐的時間量。並且,如果晶圓引擎3 〇〇可 在飛行同時(on the fly)”對準一晶圓,則不需要分離的加工 站。 滑體400能夠對於各種輔助功能、測量及用於擷取各種晶 圓資料的感測器提供一穩定的安裝平台。範例中,可將組 件整合入或安裝至滑體400以偵測一晶圓邊緣、偵測晶圓上 的凹口位置、讀取〇CR/條碼、進行顆粒計數(背側或前側) 、決定膜厚度/均勻度或電路元件線寬、及偵測電阻率(經由 接觸探針或非接觸性裝置)及晶圓厚度。亦可將此技藝已知 用於檢驗及標記晶圓之其他方法併入滑體4⑻内。 為了 k 一載體傳輸工件,端點效應器4〇2及4〇4在所傳輸 工件底下水平移動然後往上移動以將工件揚升離開其停: 位置,端點效應器402及404亦可包括邊緣握持部以在邊緣 39 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公董)------— A7 B7 五、發明説明(36 ) 支撐住工件。或去嫂 _ _飞者鳊點效應器402及404可為刀片型端點 政應為以在底表面支撐住— 广丄 ^ 什 此寺貫施例中,一直办 源⑽示)可附接至或遠離槳板442,並創造一負壓而= 撓性真空營經由工件處理機械臂導通至端點效應器乃片表 面。啟動真空源時,-負壓形成於端點效應器刃片的表面 上,創造能夠穩固地固持住一工件之一吸力。一具有已: Μ的真W (未圖示)亦可設置於機械臂上並與真空系统相 聯以偵測—卫件何時與端點效應器接合並限制通過直空管 的空氣拉力。請瞭解本發明不限於上述的端點效應器,可 使用多種不同的端點蚀庵$ ””效C為故汁,只要端點效應器具有 取與放下工件的能力即可。 红/月to 400亦適可加工一晶圓並隔離晶圓與區域的環 i兄祀例中’滑體4GG可包括加丄1具以加熱或冷卻晶圓表 或進行熱予表面加工。另一實施例中,滑體4〇〇可包括 λ又未圖不)’當晶圓引擎3〇〇將晶圓傳輸出加工工具且 位夂Class-Ι區域内時’此殼體可供一晶圓縮回並暫存其中 八又提供優於Class-1區域環境的一惰性或潔淨環境,此 π、、、先在傳达4可於晶圓表面上方包括漂浮的氧氣或一惰性 氣體。 i重交換能力 k加工站移除一經加工晶圓與一新晶圓放入加工站之間 的時間係稱為“交換時間,,’㈣大部份的加工工具,產出 率係由加工時間加上交換時間所決定,加工時間或交換時 間任一者縮紐皆會提高產出率,加工時間為工具製造商的 -40- (37 五、發明説明 惟貝 對於二F时間則為主要EFEM製造商的權責。 (:二中,習知的單一端點效應器晶圓處理機械臂 理機械臂的’' 7 :可犯為8至16秒並依據站配置及晶圓處 、k又而定。此機械臂常用下列摔作順庠/ , 工站交換一 s m | n鈿忭順序在一加 於決定會影響交換時間的項目以斜體表示,用 、 W的臨界路徑外之項目以(括號)標示。 L從加工站取得晶圓 2.將經加工的晶圓放到載入埠 J•從對準器取得經對準的晶圓 4.將對準器的晶圓放到加工站 [開始加工晶圓] -(加工%機械臂從載入埠取得新晶圓) 6. (加工時,機械臂將新晶圓放到對準器) 7. (加工時,對準器將晶圓對準) [重覆] -快速交換機械臂(譬如晶圓引擎300)具有兩個端點效應 器,因而可利用下列簡述順序進行與上述相同的功能,藉 以矩幅縮短交換時間: [完成程序] 1. 以漿片1從加工站取得晶圓 2. 以槳片2將經對準的晶圓放到加工站 [加工晶圓] 3·(加工時,從載入埠取得新晶圓) 4.(加工時,將新晶圓放到對準器) -41 - 1 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐)579564 A7 ____ B7 __ 5. Description of the invention (35) To calculate the orientation of the wafer center and the datum relative to the center of the wafer chuck. : ¾ Record the sensor signal value together with the sensor mechanism position, which can provide additional edge position information to improve the accuracy of wafer center calculation or reference orientation. The wheeled endpoint effector aligner 440 may include other components such as, but not limited to, an optical notch sensor 452 to detect notches along the edge of the wafer. For example, once the notch has been located at the edge of the wafer, using the optical notch sensor 452, the driving wheel 450 can rotate the wafer to the desired position and retract it to allow the wafer to fall back onto the pad 448. This can be done while the end effector is in position or moving, and the ability to align the wafer when transferring between FOUP 10, or between a FOUP 10 and a processing tool can greatly shorten or eliminate one end The amount of time a point effector must sit still. And, if the wafer engine 300 can align a wafer on the fly, a separate processing station is not needed. The slider 400 can be used for various auxiliary functions, measurements and for capturing various crystals. The circular data sensor provides a stable mounting platform. In the example, the component can be integrated into or mounted on the slide 400 to detect the edge of a wafer, detect the position of the notch on the wafer, and read the CR / Bar code, counting particles (back or front), determining film thickness / uniformity or circuit element line width, and detecting resistivity (via contact probe or non-contact device) and wafer thickness. This technique can also be used Other methods known for inspecting and marking wafers are incorporated into the slider 4⑻. In order to transfer a workpiece to a carrier, the end effectors 4002 and 404 move horizontally under the transferred workpiece and then move upward to move the workpiece The workpiece lifts away from its stop: position, the end effectors 402 and 404 can also include edge grips at the edge 39-This paper size applies Chinese National Standard (CNS) A4 specifications (210X 297 public directors) ---- --- A7 B7 V. Description of the invention (36) Workpieces. Or go to _ _Flyer's point effectors 402 and 404 can be blade-type end effectors to support on the bottom surface-Guang 丄 ^ In this example, the source has been shown) Attach to or away from paddle 442 and create a negative pressure = The flexible vacuum battalion is conducted to the surface of the end effector via the workpiece processing robot arm. When the vacuum source is turned on,-negative pressure is formed on the end effector On the surface of the sheet, create a suction that can hold a workpiece firmly. A true W (not shown) with: M can also be set on the robot arm and connected to the vacuum system to detect when the guard It engages with the end effector and limits the air pulling force through the straight air tube. Please understand that the present invention is not limited to the above-mentioned end effector. A variety of different end effects can be used. The effector has the ability to take and drop the workpiece. Red / month to 400 is also suitable for processing a wafer and isolating the wafer from the area. In the example of the slide body, the slide body 4GG may include an additional one for heating or Cool the wafer surface or perform thermal pre-surface processing. In another embodiment, the sliding body is 400 Including λ and not shown) 'When the wafer engine 300 transfers the wafer out of the processing tool and is located in the Class-1 area' This housing can be used to retract a wafer and temporarily store eight of them to provide excellent In an inert or clean environment in the Class-1 area environment, the π ,,, and first can include floating oxygen or an inert gas above the wafer surface. I Heavy exchange capability k processing station removes a processed crystal The time between a circle and a new wafer being put into a processing station is called "exchange time," most of the processing tools, the output rate is determined by the processing time plus the exchange time, the processing time or the exchange time Either contraction will increase the output rate, and the processing time is -40- (37 of the tool manufacturer). V. INTRODUCTION OF THE INVENTION However, for the second F time, it is the responsibility of the main EFEM manufacturer. (: Secondary, the conventional single-end effector wafer processing robotic arm of the mechanical arm "7: It can be committed in 8 to 16 seconds and depends on the station configuration and the wafer location, k. This robotic arm The following are commonly used as slashes /, and the station exchanges one sm | n 钿 忭 in order. The items that affect the exchange time are indicated in italics, and the items outside the critical path with, W are marked with (brackets). L Obtain wafers from processing station 2. Place processed wafers into loading port J • Obtain aligned wafers from aligner 4. Place wafers from aligner to processing station [Start wafer processing ]-(Processing% Robotic Arm Gets New Wafer From Loading Port) 6. (During Processing, Robotic Arm Puts New Wafer Into Aligner) 7. (During Processing, Aligner Aligns Wafer) [ [Repeat]-The quick-exchange robotic arm (such as the wafer engine 300) has two end effectors, so the following functions can be used to perform the same functions as described above to shorten the exchange time: [Complete the procedure] 1. Obtain the wafer from the processing station with the paddle 1. 2. Place the aligned wafer to the processing station with the paddle 2. [Processing wafer] 3 · (During processing, load from Obtain new wafers) 4. (Put new wafers into the aligner during processing) -41-1 This paper size applies to China National Standard (CNS) A4 specification (210X297 mm)

5.(加工時,對準器將晶圓對準) 6·(加工時,從對準器取得經對準的晶圓) [重覆] 、、械臂速度將交換時間降低3至6秒 :可略微縮短機械臂完成所有動作之整體時間,在具有5. (During processing, the aligner aligns the wafer) 6 · (During processing, the aligned wafer is obtained from the aligner) [Repeat], the arm speed reduces the exchange time by 3 to 6 seconds : It can slightly shorten the overall time for the robot arm to complete all actions.

2工—間的應用中整體動作時間非常重要,所以上述 唬中的項目將進入產出率的臨界路徑中。 同、#幸肉心而點效應器對準器44〇之晶圓引擎则 :果機械臂具有飛行同時對準的能力及快速交換的能力 日進—步改良產出率並減少全體機械臂的動作。飛行 裝 可對準的作用並不會縮短交換 又換日才間,但確實縮短了整體 械#動作時間,因而在1有 ^ /、虿短的加工時間或機械臂必須 後夕個加工站的情形中括古 ]r月計y中k阿了產出率。並且,藉由減少 訂The overall action time is very important in the 2-work-to-work application, so the above project will enter the critical path of output rate. The wafer engine of the same and # lucky meat heart and point effector aligner 44 is: if the robot arm has the ability to align at the same time and the ability to exchange quickly, it will advance daily-step by step to improve the output rate and reduce the movement of the entire robot arm . The alignment effect of the flying equipment does not shorten the exchange and change the time, but it does shorten the overall mechanical operation time. Therefore, there is a short processing time at ^ /, or the robot arm must be processed at the eve of the processing station. In the circumstances, the output rate is calculated in the monthly plan. And, by reducing the order

線 :動作及晶圓交遞的數量,飛行同時對準的作用可增 機械臂的使料命並改m度。 1於飛订同日讀準的快速交換晶圓引擎·,可相比較的, 作順序係為: [完成程序] •以漿片1從加工站取得晶圓 •以紫片2將經對準的晶圓放到加工站 [加工晶圓] 3 (加工時,從載入埠取得新晶圓) 4.(加工時,將晶圓對準 丁 + I j日^私至下個位置進行快速交換) -42-Line: the number of movements and wafer transfers, the simultaneous alignment of the flight can increase the manipulator's life and change m degree. 1Yu Feiding's fast-swap wafer engine that reads on the same day. Comparable, the sequence is: [Complete the procedure] • Obtain wafers from the processing station with the slurry sheet 1. • Align the aligned wafers with the purple sheet 2. Place the wafer in the processing station [Process wafer] 3 (During processing, obtain a new wafer from the loading port) 4. (During processing, align the wafer with D + I j ^ private to the next position for quick exchange ) -42-

579564 A7 B7 五、發明説明(39 ) 未受限的Z軸線動作 圖25顯示一晶圓引擎3〇〇,,此晶圓引擎3〇〇,包括一偏離中 心的滑體400,此滑體4〇〇具有一輪式對準器454及一m讀取 态4 j 0,及延伸的z軸線驅動柱3 8 0 ·。晶圓引擎的此實施例 係包括一延伸的z柱380’,藉以譬如取及一儲料器、或一可 能位於FOUP 1/0埠上方之載入埠或加工站。基本上,z軸線 驅動柱380或380,的高度未受限制,晶圓引擎3〇〇或3〇〇,可藉 由沿徑向或r軸線移動上端點效應器4〇2或下端點效應器4⑽ 來取及位於一FOUP 10内的一晶圓。將上端點效應器4〇2或 下端點效應器404進入F0UP 10内所需移行的距離設計為一 短距離,因為其為最常需要的晶圓引擎3〇〇或3〇〇,動作。垂 直驅動柱380或3 80’的高度並不影響上端點效應器4〇2或下端 點效應器404必須移行之距離,因此,垂直驅動柱38〇或38〇, 的咼度並不影響沿著徑向或r軸之動作。 習知的晶圓處理機械臂必須將z驅動柱線性移往F〇up⑺ ,使得端點效應器可從FOUP 10取及並移除晶圓。因此,用 於此晶圓處理機械臂的一高垂直驅動柱係需要以一馬達或 一皮帶驅動器來移動一大的垂直柱,移動此慣量將對於^曰 圓處理機械臂施加大的應變。因為沿著最常移行之秤向。、 軸線的動作軸線亦為最短距離,此申請案所揭露的晶圓if 擎係為優於此等晶圓處理機械臂之改良。 圖2 7 A頰示,一習知的線性滑動機械臂可觸入加工工具内 部250公厘,以將晶圓傳輸及取放至加工工具内。同樣地, 一習知的晶圓處理機械臂需要在EFEM工作空間内具有 -43· 本紙張尺度適用巾S S家標準(CNS) A4規格(210 X 297公董) " --579564 A7 B7 V. Description of the invention (39) Unrestricted Z-axis operation Figure 25 shows a wafer engine 300, which includes an off-center sliding body 400, and this sliding body 4 〇〇 has a wheel aligner 454 and an m read state 4 j 0, and an extended z-axis drive column 3 8 0 ·. This embodiment of the wafer engine includes an extended z-pillar 380 ', for example to access a stocker, or a loading port or processing station that may be located above the FOUP 1/0 port. Basically, the height of the z-axis driving column 380 or 380, is not limited. The wafer engine 300 or 300 can move the upper end effector 402 or the lower end effector in a radial or r axis. 4⑽ to retrieve a wafer located in a FOUP 10. The distance required for the upper endpoint effector 402 or lower endpoint effector 404 to enter the UP 10 is designed as a short distance because it is the most commonly required wafer engine 300 or 300 to operate. The height of the vertical driving column 380 or 3 80 'does not affect the distance that the upper end effector 402 or the lower end effector 404 must travel. Therefore, the degree of vertical driving column 38 or 38 does not affect the Radial or r-axis motion. The conventional wafer processing robot must linearly move the z-driven column to F0up⑺ so that the end effector can take in and remove the wafer from the FOUP 10. Therefore, a high vertical driving column system for the wafer processing robot arm needs to move a large vertical column by a motor or a belt drive. Moving this inertia will exert a large strain on the circular processing robot arm. Because along the most frequently travelling scales. The moving axis of the axis is also the shortest distance. The wafer if engine disclosed in this application is an improvement over these wafer processing robot arms. Fig. 2A shows a cheek. A conventional linear sliding robotic arm can access the inside of the processing tool by 250 mm to transfer and place the wafer into the processing tool. Similarly, a conventional wafer processing robot arm needs to have -43 in this EFEM working space. This paper size is suitable for standard (CNS) A4 specifications (210 X 297 mm) "-

A厘的最小間隙,使得晶圓處理機械臂可在EFEM内活動。 圖27Bk纟頃不偏離中心的滑體繞$軸線而旋轉之觸及與擺盪 間隙之優點。一項較佳實施例中,圖19的0軸線所示之偏 離中〜的滑體旋轉軸線係偏離大約50公厘。對於晶圓引擎 3〇〇之偏離中心的旋轉軸線具有兩種顯著優點,第一,一端 點效應器(譬如上端點效應器402或下端點效應器404)在加工 工具内的最大觸及係增至35〇公厘;第二,EFEMi作空間 内所需要的最小間隙降至42G公厘,最大觸及及最小間隙距 離僅供示範。藉由增大端點效應器在加工工具内的觸及並 同可減j a曰圓引擎3〇〇在内活動所需要之最小間隙, 可降低EFEM的整體足跡。 圖28顯不具有偏離中心旋轉軸線的一快速交換滑體400之 圓引擎300的一種範例性動作順序,範例中,步驟一顯示 晶圓引擎300係在載入埠區域一揚升晶圓。步驟二顯示: 晶圓引擎300沿一徑向軸線將晶圓從載入埠一縮回。步驟三 顯示:晶圓引擎300繞0軸線旋轉,同時沿乂軸移回以免與 載入埠一相碰撞。步驟四顯示:晶圓引擎3〇〇沿X軸線移往 加工站的I/O埠。步驟五顯示:晶圓引擎300持續繞Θ軸線 方疋轉並沿X軸線將晶圓定位以進入加工站内。步·驟六顯示·β 晶圓引擎300等待程序完成。步驟七顯示:晶圓弓丨擎3〇〇將 經加工的晶圓交換為準備好進入加工站之新晶圓。最後, 步驟八顯不:晶圓引擎3〇〇在一徑向軸線將經加工的晶圓縮 回,同時沿X及0軸線移動以使經加工的晶圓回到載入埠二 、二或三内。 -44-The minimum clearance of A centimeter allows the wafer processing robot arm to move within the EFEM. Fig. 27Bk shows the advantages of the contact with the swing gap without the off-center sliding body rotating around the $ axis. In a preferred embodiment, the axis of rotation of the sliding body shown by the zero axis in FIG. 19 is offset by about 50 mm. There are two significant advantages to the off-center rotation axis of the wafer engine 300. First, the maximum reach of an end effector (such as the upper end effector 402 or the lower end effector 404) in a processing tool is increased to 35mm; Second, the minimum clearance required in the EFEMi working space is reduced to 42G mm, and the maximum reach and minimum clearance distance is for demonstration purposes only. By increasing the reach of the end effector in the machining tool and reducing the minimum clearance required for the internal movement of the circular engine 300, the overall footprint of EFEM can be reduced. FIG. 28 shows an exemplary sequence of operations of the circular engine 300 without a rapid exchange slide 400 that is off-center from the axis of rotation. In the example, step one shows that the wafer engine 300 lifts the wafer in the loading port area. Step 2 shows: the wafer engine 300 retracts the wafer from the loading port 1 along a radial axis. Step three shows: the wafer engine 300 rotates around the 0 axis and moves back along the Z axis to avoid collision with the loading port 1. Step 4 shows that the wafer engine 300 moves to the I / O port of the processing station along the X axis. Step 5 shows that the wafer engine 300 continuously rotates around the Θ axis and positions the wafer along the X axis to enter the processing station. Step 6 shows that the β wafer engine 300 waits for the program to complete. Step 7 shows that the wafer bow 300 exchanges the processed wafer for a new wafer ready to enter the processing station. Finally, step eight is not shown: the wafer engine 300 retracts the processed wafer on a radial axis and moves along the X and 0 axes to return the processed wafer to the loading port II, II or Three inside. -44-

579564 A7 B7 五、發明説明(41 ) ' 上述的晶圓引擎300及300|提供優於習知晶圓處理機械臂 之數種利益。對於大部份的晶圓處理應用而言,將晶圓插 入及移出一 F〇UP 10或一加工站所需要的徑向動作係具有最 高的任務循環及最長的整體移行距離。晶圓引擎3〇〇在試圖 取及晶圓前係將徑向驅動器400放置在盡量靠近晶圓處,此 放置方式可降低上端點效應器402及下端點效應器4〇4之移 動質量及動作時間以及磨損。 Z驅動柱380係佔用相同之當晶圓引擎3〇〇旋轉時由晶圓掃 出的空間容積,驅動柱380亦不延伸於工作平面底下,一習 知的晶圓處理機械臂必須利用晶圓平面底下的區域來取及 FOUP 10内的部份晶圓。一般而言,端點效應器係安裝至一 個沿2軸線往上與往下移行之柱頂部,此柱佔用原作他用的 二間。同樣地,當柱沿乂軸線水平移行時,晶圓平面底下的 區域必須大致清空,使柱不會跑入而損傷任何障礙物。 晶圓引擎300可作出數種變化及/或修改而仍保有上述獨特 兀件及優點,範例中,某些應用可免除χ軸線驅動器3〇2。 同樣地,單一的徑向軸線可能即已足夠,並且,部份應用 (譬如分類器)可能不需要旋轉性驅動器。取而代之,2軸線 驅動為j80將安裝至乂托板3〇8。譬如,一分類器應用可能令 所有載入琿文I朝向相同的方向,並且如果將對準及I 〇讀 取功能整合在晶圓引擎300内,則不再需要旋轉。 圖29至j 1顯示整合式系統的數種構造,圖顯示安裝在 一滾出框架上之整合式系統,如前述,習知的efem係一路 往下延伸至晶圓廠地板。藉由以一脊柱結構1〇〇構成一 -45- 本纸張尺度適用巾國國家標準(CNS) A4規格(210X297公~ -------- 579564 A7 B7 五、發明説明(42 ) EFEM所獲得的空間節省或此申請案揭露的其他實施例,大 幅降低了整合式系統的足跡。如圖29A所示,整合式系統係 安裝在一滾出框架上,使得載入埠組件留在900公厘的 S Ε ΜI標準南度。當此整合式系統以螺检接合至一加工工具 的前端及一項較佳實施例中,在整合式系統後方及晶圓廠 地板約有2呎的開放空間,晶圓嚴從前不曾有此空間,此空 間可讓半導體製造商將諸如電控制盒等其他物件放置在整 合式系統底下。 或者,一加工工具現在可具有一養護取及部,可藉由在 整合式系統底下爬行來觸及此養護取及部。滾出框架亦可 改善與整合式系統相螺栓的加工工具之整體養護特性。範 例中,若需要在加工工具上進行養護,整合式系統可從加 工工具解除螺栓,可將滾出框架的輪解除鎖定,並可將整 合式系統從加工工具的前端加以滾離。一種螺栓至加工工 具的習知EFEM並不含有可將EFEM滾出之輪,且通常係為 需要不只一個養護人員將EFEM升高離開加工工具之笨重裝 置。如前述,本發明的整合式系統僅有數百磅重量,因此 易由單一養護人員從加工工具前方加以滾離。 圖30顯示整合在一加工工具内之整合式系統,範例中, 本發明的系統可一體成型並安裝至一加工工具,此系統的 一項優點為:如果晶圓廠内每個加工工具係安裝有一整合 式系統,晶圓廠將具有一種可配合各加工工具需求之前端 載入系統,並包含一類似環境以降低儲存備料及訓練養護 人力之需求。 -46- 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐)579564 A7 B7 V. Description of the Invention (41) '' The above-mentioned wafer engines 300 and 300 | provide several benefits over conventional wafer processing robot arms. For most wafer processing applications, the radial motion required to insert and remove wafers into a FUP 10 or a processing station has the highest duty cycle and the longest overall travel distance. The wafer engine 300 places the radial driver 400 as close to the wafer as possible before trying to access the wafer. This placement method can reduce the moving quality and action of the upper endpoint effector 402 and the lower endpoint effector 404. Time and wear. The Z driving column 380 occupies the same volume of space scanned by the wafer when the wafer engine 300 rotates, and the driving column 380 does not extend under the work plane. A conventional wafer processing robot must use wafers. The area under the plane is used to access some wafers in FOUP 10. In general, the end effector is mounted on the top of a column that moves up and down along the 2 axis. This column occupies two original rooms for other purposes. Similarly, when the post moves horizontally along the 乂 axis, the area under the wafer plane must be approximately cleared so that the post does not run in and damage any obstacles. The wafer engine 300 may make several changes and / or modifications while still retaining the unique elements and advantages described above. In the example, some applications may eliminate the χ-axis driver 302. Similarly, a single radial axis may be sufficient, and some applications (such as classifiers) may not require a rotary drive. Instead, the 2-axis drive, the J80, will be mounted to the shim plate 308. For example, a classifier application may cause all loaded scripts I to be in the same direction, and if the alignment and I 0 reading functions are integrated into the wafer engine 300, no rotation is required. Figures 29 to j 1 show several configurations of the integrated system. The figure shows the integrated system mounted on a roll-out frame. As mentioned previously, the conventional efem system extends all the way down to the fab floor. By forming a spine structure of 100-45- This paper size is applicable to the national standard (CNS) A4 specification of the towel (210X297) ~ -------- 579564 A7 B7 V. Description of the invention (42) The space savings obtained by EFEM or other embodiments disclosed in this application have significantly reduced the footprint of the integrated system. As shown in Figure 29A, the integrated system is mounted on a roll-out frame, leaving the loading port assembly at 900 mm S EI standard south. When this integrated system is screwed to the front end of a processing tool and in a preferred embodiment, there is about 2 feet behind the integrated system and on the fab floor. Open space, which has never existed before for wafers. This space allows semiconductor manufacturers to place other items such as electrical control boxes under an integrated system. Alternatively, a processing tool can now have a maintenance access section and can be borrowed This maintenance access is accessed by crawling under the integrated system. Rolling out of the frame can also improve the overall maintenance characteristics of processing tools that are bolted to the integrated system. In the example, if maintenance is required on the processing tool, the integrated system The bolt can be released from the machining tool, the wheel that rolls out of the frame can be unlocked, and the integrated system can be rolled away from the front of the machining tool. The conventional EFEM of a bolt to the machining tool does not contain the ability to roll the EFEM out Wheel, and is usually a cumbersome device that requires more than one maintenance person to lift the EFEM out of the processing tool. As mentioned previously, the integrated system of the present invention weighs only a few hundred pounds and is therefore easily rolled by a single maintenance person from the front of the processing tool Figure 30 shows an integrated system integrated in a processing tool. In an example, the system of the present invention can be integrated and installed into a processing tool. One advantage of this system is that if each processing tool in a fab is An integrated system is installed. The fab will have a front-end loading system that can meet the needs of various processing tools, and contains a similar environment to reduce the need for storage and preparation of materials and training of maintenance personnel. -46- This paper standard is applicable to China Standard (CNS) Α4 size (210 X 297 mm)

裝 訂Binding

線 579564 A7 B7 五、發明説明(43 ) 電控制系統 習知的EFEM必須包含一種與世界各國電力需求相容之配 電器,因此,現今大部份EFEM必須能夠適應110 V或220 V 系統。為求能夠適應任一系統,EFEM需包括電力組件,諸 如升壓或降壓變壓器及其他電組件。此等電組件必須安裝 在EFEM内,因而增力口EFEM的足跡。 本發明的EFEM的設計中,諸如F〇UP前進板組件、晶圓 引擎300及風扇/過濾單元150等所有電組件係全部在48 V系 統下運作。一般而言,本發明的EFEM可電性連接至一 110V 或220V系統,此1 10V或220V系統係降壓至48V藉以控制所 有前述元件。藉由簡化EFEM的配電系統,不再需要諸如升 壓變壓器等許多習知的配電組件,藉以進一步降低本發明 之E F E Μ的足跡。 -47- 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐)Line 579564 A7 B7 V. Description of the Invention (43) Electric Control System The conventional EFEM must include a type of distributor compatible with the power needs of countries around the world. Therefore, most EFEMs today must be able to adapt to 110 V or 220 V systems. To be able to adapt to any system, EFEM needs to include power components such as step-up or step-down transformers and other electrical components. These electrical components must be installed in the EFEM, thus increasing the footprint of the EFEM. In the design of the EFEM of the present invention, all electrical components, such as the FOUP forward plate assembly, the wafer engine 300, and the fan / filter unit 150, are all operated in a 48 V system. Generally speaking, the EFEM of the present invention can be electrically connected to a 110V or 220V system. The 110V or 220V system is stepped down to 48V to control all the aforementioned components. By simplifying the EFEM power distribution system, many conventional power distribution components, such as step-up transformers, are no longer needed, thereby further reducing the footprint of the E F E M of the present invention. -47- This paper size applies to China National Standard (CNS) Α4 size (210 X 297 mm)

Claims (1)

一種可供安裝半導體前端組件之整合式的框架,該整合 式的框架對於所有相對準的組件提供單一參考,包含: 立至J兩個垂直支架,各該垂直支架具有一上部、一下 部、一前面及一後面; 上支撐構件,其固定至各該垂直支架的頂部; 下支撐構件,其固定至各該垂直支架的下部,該下 支撐構件創造一固定至該前面之前安裝表面、及一固定 至該後面之後安裝表面;及 該等前端載入組件安裝至該等前與後安裝表面。 如申請專利範圍第丨項之整合式的框架,其中該下支撐構 件進-步創造位於該前安裝表面與該後安裝表面之間之 一埠門/載體門儲存區域。 其中該上支撐構 其中該下支撐構 其中各該垂直支 如申明專利範圍第1項之整合式的框架 件具有至少一個穿孔狀表面。 如申請專利範圍第1項之整合式的框架 件具有至少一個穿孔狀表面。 如申請專利範圍第1項之整合式的框架 架大致彼此平行。 一種可供安裝半導體前端組件之整合式的框架,兮_ 式的框架對於所有相對準的組件提供單一參考木〜整合 至少兩個垂直支架,各該垂直支架具有一上匕、3 · 部、一前面及一後面; 、一下 一上支撐構件,其固定至各該垂直支架的頂部· 一下支撐構件,其固定至各該垂直支架的S卸 ,該下 -48-An integrated frame that can be used to install semiconductor front-end components. The integrated frame provides a single reference for all relatively aligned components, including: two vertical brackets standing to J, each of which has an upper, lower, and A front and a rear; an upper support member fixed to the top of each of the vertical brackets; a lower support member fixed to the lower portion of each of the vertical brackets, the lower support member creating a mounting surface fixed to the front, and a fixing To the rear mounting surface; and the front-loading components are mounted to the front and rear mounting surfaces. For example, the integrated frame of the scope of patent application, wherein the lower support member further creates a port door / carrier door storage area between the front mounting surface and the rear mounting surface. The upper support structure, wherein the lower support structure, and each of the vertical supports, such as the integrated frame member of claim 1, have at least one perforated surface. An integrated frame member such as the one in the scope of patent application has at least one perforated surface. For example, the integrated frames of the scope of patent application No. 1 are approximately parallel to each other. An integrated frame that can be used to install semiconductor front-end components. The _-type frame provides a single reference frame for all relatively aligned components. Integrate at least two vertical brackets, each of which has an upper dagger, 3 Front and back; 1. Upper and lower support members, which are fixed to the top of each vertical bracket. · Lower support members, which are fixed to S of each vertical bracket. The lower -48- 支撐構件創造一固定至該前面之前安裝表面· 該等前端載入組件安裝至該下支撐構 及該垂直支架的後面。 勺則安裝表面 如申請專利範圍第6項之整合式的框架,且 件具有至少一個穿孔狀表面。 一 4上支撐構 如申請專利範圍第6項之整合式的框架,复 件具有至少一個穿孔狀表面。 、α亥下支撐構 9. 如申請專利範圍第6項之整合式的框架 架大致彼此平行。 其中各該垂直支 10. -種可供安裝半導體前端組件之整合式的框 敗入 式的框架對於所有相對準的組件提供單一參考,勺二正合 至少兩個垂直支架,各該垂直支架具有一上一 部、一前面及一後面; 口 、一下 一上支撐構件,其固定至各該垂直支架的頂部· 一2骨支撐構件,其固定至各該垂直支架的後面, 一則安裝板,其固定至該垂直支架的前面;及 該等前端載入組件安裝至該前安裝板及該背骨支撐構 一種可供安裝半導體前端組件之整合式的框架,該整合 式的框架對於所有相對準的組件提供單一參考,包含· 至少兩個垂直支架,各該垂直支架具有一上部、一下 部、一前面及一後面; —一組件安裝表面,其具有-1/0埠,該組件安裝表面固 定至各該垂直支架的頂部及下部;及 -49- 本紙張尺度適用中國國家標準(CNS) Α4規格(21〇 X 297公釐) ---------—The support members create a front mounting surface fixed to the front. The front loading components are mounted to the lower support structure and to the rear of the vertical bracket. The mounting surface of the spoon is an integrated frame such as the scope of patent application No. 6, and the piece has at least one perforated surface. An integrated support frame such as the 6th patent application, the copy has at least one perforated surface. Supporting structure under α-sea 9. The integrated frames such as the scope of patent application No. 6 are substantially parallel to each other. Each of these vertical supports 10.-An integrated frame-type recessed frame that can be used to install semiconductor front-end components provides a single reference for all relatively aligned components. The two spoons are at least two vertical supports, each of which has A top, a front and a back; a mouth, a top support member, which is fixed to the top of each vertical bracket, a 2 bone support member, which is fixed to the back of each vertical bracket, a mounting plate, which Fixed to the front of the vertical bracket; and the front-loading components are mounted to the front mounting plate and the back bone support structure, an integrated frame for mounting semiconductor front-end components, the integrated frame for all relatively aligned components Provide a single reference, including · At least two vertical brackets, each of which has an upper portion, a lower portion, a front and a rear;-a component mounting surface with a -1/0 port, the component mounting surface is fixed to each The top and bottom of the vertical bracket; and -49- This paper size applies to China National Standard (CNS) Α4 specification (21〇X 297 mm) --------- 5系寻前端載入組件安奘 架的後面。 牛…(组件安裝表面及該垂直支 12. := 共安裝半導體前端組件之整合式的框架,該整合 采對於所有相對準的組件提供單-參考’包含: 至少兩個垂直支牟,夂— 面、…各5亥垂直支架具有-第-安裝表 第一女裝表面及一第二t狀主I 二及第三安裝表面彼此平行;及衣义,〜寺弟一、第 二等=入組件係安裝至該垂直支架之第一、第二 及弟一文牧表面的其中至少一者。 13. 一種用於在一 1有一恭w 和外部大氣條;隔離的二竟之的晶圓載體與-基板物件之系統,包含 間傳輸半導體晶圓及相關 及 二的框木’其包括相隔且安裝至-下支撐構件 “冓件之至少兩個垂直支架,該 一個1/0埠及—埠門/載體Η儲存區域; 一載體對接’隔離板’其安裝至各該垂直支竿· 曰=前Γ件,其安裝至該下支輪藉以支樓該 二 圓載體移往該載體對接/隔離板、及將 该曰曰Η載體移離該載體對接/隔離板; 用於牛’其具有-埠門及-驅動機構’該埠門係 盘^丨Η載體Π ’而該驅動機構係用於在該I/O ”。埠門/載體門儲存區域之間移動該埠門;及 愈外=處:機械臂’其安裝至該下支撐構件並位於該 興外σ卩大氣條件隔離的環境内。 -50-The 5 Series seeks to load the rear of the component mounting bracket.牛 ... (The component mounting surface and the vertical support 12.: = An integrated frame for co-mounting semiconductor front-end components, the integration provides a single reference for all relatively aligned components-reference 'contains: at least two vertical support, 夂 — Each of the 5H vertical brackets has-the first installation surface of the first installation table and a second t-shaped main I, and the third installation surface are parallel to each other; and Yiyi, ~ Temple One, Second, etc. = IN The module is mounted to at least one of the first, second, and first surface of the vertical bracket. 13. A wafer carrier and an external atmospheric strip for isolation; -A system of substrate objects, including semiconductor wafers and related and two frame woods, which includes at least two vertical brackets separated from each other and mounted to a lower supporting member, one 1/0 port and -port door / Carrier Η storage area; a carrier docking 'isolation plate' which is mounted to each of the vertical support rods, said = front Γ piece, which is mounted to the lower support wheel by which the two round carriers are moved to the carrier docking / isolation board, And remove the carrier from the Body docking / isolation board; used for cattle 'which has -port door and -drive mechanism'the port door system plate ^ 丨 Ηcarrier Π' and the drive mechanism is used in the I / O ". Port door / carrier door The port door is moved between storage areas; and outer space = where: the robot arm is mounted to the lower support member and is located in an environment isolated from the atmospheric conditions of the outer space. 579564 六、申請專利範圍 其中該等垂直支架係大 其中該載體對接/隔離板 〇 其中該載體對接/隔離板 14. 如申請專利範圍第13項之系統, 致彼此平行。 15. 如申請專利範圍第13項之系統, 係可移除地安裝至各該垂直支架 16. 如申請專利範圍第15項之系統, 為透明狀。 17. 18. 如申請專利範圍第13項之系統 區域具有至少一個穿孔狀表面t 如申請專利範圍第13項之系統 包括: 其中該埠門/載體門儲存 其中該晶圓處理機械臂 之下支撐構 一線性驅動器,其安裝至該整合式的框架 件而具有沿一 x軸線的線性動作; 一旋轉性驅動器 線旋轉; 其安裝至該線性驅動器而繞一 0軸 - Z軸線線性驅動器’其從該旋轉性驅動器延伸且具有 沿-2軸線的線性動作,該2軸線與該Θ軸線偏移並大致 平行;及 I向驅動為,其可移除式安裝至該Z軸線線性驅動器 且〇括至 > 個H文應器而具有沿一徑向軸線的線性 動作。 19. 如申請專利範圍第18項之系統,其中當該旋轉性驅動器 旋轉時,該徑向軸線繞著該0軸線旋轉。 20. 種用於在一具有一載體門及_載體套的晶圓載體與一 和外部大氣條件隔離的環境之間傳輸半導體晶圓及相關 基板物件之系統,包含: -整合式的框架,其具有可供安裝前端栽 夕、部安裝表面及一内部安裝表面表 =部大氣條件,且該内部安裝表面與外部 隔碓’該整合式的框架創造至少一孔仏件相 門儲存區域; 1/0埠及-載體門/蟑 一載體前進組件,其安裝至該外部支撐表面; 載體對接/隔離板,其安裝至該外部安妒矛面· :晶圓引擎’其安裝至該内部安裝表面;Y ’ 21. 22. 23. …、:車門組件,其具有一埠門及一驅動機構,該埠門係 σ月式接D δ亥整合式框架,該驅動機構係用於 與該載體m埠㈣存區域之間移動該埠門。 如申請專利範圍第20項之系統,其中該系統安裝至一加 =工具,使得該系統升高於該晶圓廠地板上方且由一支 撐結構所支撐,所以在該㈣與該晶圓廢地板底下之間 存在一開放空間。 如申請專利範圍第20項之系統,其中該系統進一步包括 女衣至该外部安裝表面之一控制盒。 一種用於傳送晶圓之系統,包含: 至少一珂端載入埠組件,其選自包括下列各物的群組 ⑴載入埠組件’(ii)一風扇/過濾單元,(出)一晶圓處 理機械臂,(iv) 一 F〇UP對接/隔離板,及(v)一埠門組件 •’及 一整合式的框架,其可供安裝該等前端載入組件,該 -52- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 24. 24. 則端載入組件之單一參考 至 上支撐構件及一下支 框架創造用於精密地對準該等 ’該整合式的框架係包括安裝 撐構件之至少兩個垂直支架。 ^ ^ 去的晶圓載體盘一 、〇邛大氣條件隔離的環境之間傳 基板物件之系統,包含: 干午-曰曰Η及相關 --合式的框架’其包括相隔並安裝至一下支撐 及一上支撐構件之至少兩個垂 一 I叉木该框架界定至少 一 1/0埠及一埠門/載體門儲存區域; 一透明的載體對接/隔離板,其安裝至各該垂直支架; 一載體前進組件,其安裝至兮丁士 木 ^^ g 女表至忒下支撐構件,該載體前 進組件暴露於該等外部大氣條件; 一埠門組件,其呈有一遠門e ., 槐〆 、$旱門及一驅動機構,該驅動機 冓糸用於在該I/O埠與該埠門/載體門儲存區域之間 該埠門;及 一晶圓處理機械臂 環境内,包括: ,其位於該與外部大氣條件隔離之 --線性驅動n ’其安裝至該下支稽構件而具有沿 一X軸線的線性動作; ---旋轉性驅動器’其安裝至該線性驅動器以繞— Θ軸線旋轉; --—z軸線線性驅動器,其從該旋轉性驅動器延伸而 具有沿一 z軸線的線性動作,該z軸線與該0軸線呈偏 移且大致平行;及 -53- 申請專利範579564 6. Scope of patent application Where the vertical brackets are large, where the carrier is docked / isolated board 〇 where the carrier is docked / isolated board 14. If the system of the scope of application for patent No. 13 is parallel to each other. 15. If the system under item 13 of the patent application is removably mounted to each of the vertical supports 16. If the system under item 15 of the patent application is transparent. 17. 18. If the system area of the patent application item 13 has at least one perforated surface t If the system of the patent application item 13 includes: wherein the port door / carrier door is stored therein and the wafer processing robot arm is supported below A linear actuator is mounted to the integrated frame member and has a linear motion along an x-axis; a rotary drive line rotates; it is mounted to the linear drive and rotates around a 0-Z-axis linear drive 'its follower The rotary drive extends and has a linear motion along the -2 axis, the 2 axis is offset from the Θ axis and is substantially parallel; and the I-direction drive is that it is removably mounted to the Z-axis linear drive and includes > Each H-reactor has a linear motion along a radial axis. 19. The system of claim 18, wherein when the rotary drive rotates, the radial axis rotates about the 0 axis. 20. A system for transferring semiconductor wafers and related substrate objects between a wafer carrier having a carrier door and a carrier sleeve and an environment isolated from external atmospheric conditions, comprising:-an integrated frame, which It has a front surface for installation, a partial installation surface, and an internal installation surface. The internal installation surface is separated from the outside. The integrated frame creates at least one hole and a door storage area; 1 / Port 0 and-a carrier door / cockroach a carrier advance assembly, which is mounted to the external support surface; a carrier docking / isolation plate, which is mounted to the external security spear surface: a wafer engine, which is mounted to the internal mounting surface; Y '21. 22. 23.… ,: car door assembly, which has a port door and a drive mechanism, the port door is a σ month type D δ Hai integrated frame, the drive mechanism is used to communicate with the carrier m port Move the port between storage areas. For example, the system of the patent application No. 20, wherein the system is installed to a plus = tool, so that the system is elevated above the fab floor and supported by a support structure, so the waste floor and the wafer waste floor There is an open space underneath. For example, the system of claim 20, wherein the system further includes a control box from women's clothing to the external mounting surface. A system for transferring wafers, comprising: at least one K-end loading port assembly selected from the group consisting of the following: a loading port assembly '(ii) a fan / filter unit, (out) a crystal Round processing robotic arm, (iv) a FUP UP docking / isolating plate, and (v) a port door assembly • 'and an integrated frame, which can be used to install these front-loading components, the -52- 本The paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 24. 24. The single reference upper support member and the lower support frame of the end loading component are created to precisely align the 'the integrated The frame includes at least two vertical brackets for mounting the supporting members. ^ ^ Go to the wafer carrier disk 1. The system for transferring substrate objects between the environment isolated from the atmospheric conditions, including: Dry afternoon-Yue and related-combined frame 'It consists of spaced and installed to the lower support and At least two vertical I forks on an upper supporting member, the frame defining at least one 1 / 0th port and one port door / carrier door storage area; a transparent carrier docking / isolation plate that is mounted to each of the vertical brackets; A carrier advancing assembly, which is installed to the Xi Dingshi ^^ g female watch to the support member of the cymbal, the carrier advancing assembly is exposed to these external atmospheric conditions; a port door assembly, which presents a remote door e. And a drive mechanism for driving the port door between the I / O port and the port door / carrier door storage area; and a wafer processing robot arm environment, including: Isolation of external atmospheric conditions-a linear drive n 'which is mounted to the lower support member and has a linear motion along an X axis; --- a rotatable drive' which is mounted to the linear drive to rotate around the Θ axis;- -—Z axis Sexual drive, which has the rotary driving operation from the linear extending along a z axis, the z axis with the 0 axis offset from and substantially parallel form; and Van patent -53- —徑向驅動器 動器,並包括至少 的線性動作。 ,其可移除地安裝至該2軸線線性驅 一端點效應器而具有沿一徑向轴線 一種用於在-SMIF搶與_和外部大氣條件隔離的環 間傳輸半導體晶圓及相關基板之EFEM系統,包含·· 一整合式的㈣,其具有安裝至—上支掉構件及一下 支撐構件之至少兩個垂直支架,該框架界定―助阜; :晶圓引擎,其安裝至該下支撐構件,該晶圓引擎位 於該和外部大氣條件隔離的環境内; 、一SMIF搶前進組件,其安裝至該下支撐構件,該驗前 進組件暴露於該等外部大氣條件; 一 SMIF艙對接板,其安裝至各該垂直支架,該對接板 暴路於該等外部大氣條件;及 該整合式的框架的垂直支架係提供可供對準該晶圓引 擎、該SMIF艙前進組件及該SMIF艙對接板之一共同參 26·如申句專利範圍第25項之系統,其中該晶圓引擎包括·· 一線性驅動器,其安裝至該下支撐構件,而具有沿一χ 軸線的線性動作; 一旋轉性驅動器,其安裝至該線性驅動器以繞一0轴 線旋轉; 一 Ζ軸線線性驅動器,其從該旋轉性驅動器延伸,而具 有沿一Ζ軸線的線性動作’該Ζ軸線與該0軸線呈偏移且 大致平行;及 -54· 579564 8 8 8 8 A B c D 、申請專利範圍 一徑向驅動器,其可移除式安裝至該Z軸線線性驅動器 ,並包括至少一端點效應器而具有沿一徑向軸線的線性 動作。 27.如申請專利範圍第25項之系統,其中該SMIF艙對接板為 透明狀。 -55- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 579564 J/^JU 第091119819號專利申請案 7 中文說明書替換頁(92年12月) A7f年^月日修正 B7 五、發明説明(43a ) 元件符號說明 10 前開式整合艙(FOUP) 12 FOUPH 20 前端單元或設備前端模組(EFEM) 22 殼體 24 工作操縱機械臂 26 預對準器 28,204 載入埠總成 100,200 脊柱結構 102 垂直支架 104 上通路或支撐構件 106 下通路或支撐構件 ' 108 内面 110 外面 112 底部 114 頂部 116 後安裝表面 118 前安裝表面 120,122 安裝孔 121 空氣流動區域 124 凸輪導件 130 FOUP支撐總成 132 FOUP前進支撐件 133 FOUP前進模組 134 FOUP前進板 135 運動銷 138 FOUP對接/隔離板 139 FOUP門開啟/關閉模組 140,206 埠門 144 對齊孔 -47a- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 579564 第091119819號專利申請案 中文說明書雜頁(92年12月) g 了日修正*^ 五、發明説明( 43b ) 147 控制盒 150,220 風扇/過濾單元(FFU) 152 片狀金屬板 154 工具介面板 156 端板 170 水平樑 171 分離器 172 對齊支架 174 前安裝板 175 片金屬U形段 176 CNC銑製鋁板 201 頂表面 202 單一框架或套 203 底表面 208 FOUP前進總成 210 端蓋 212 穿孔狀孔 224 流捕捉充氣室 254 線性驅動器 300,300* 晶圓引擎 302 線性驅動器總成 304,308 X托板 306 軌總成 310 上X執 311 托板導件 312 下X執 350 旋轉性驅動器 352 風扇延伸平台 354 Z槽風扇 362 Θ馬達 -47b- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 579564 第091119819號專利申請案 中文說明書替換頁(92年12月) 日修正 五、發明説明( 43c ) 364 支撐柱 370 z軸線支撐件 380,380' Z軸線驅動柱 382 Z驅動馬達 384 Z纜線道路 386 Z導軌 388 Z滾珠螺絲 Φ00 徑向滑體 401 端點效應器 402 上端點'效應器 404 下端點效應器 406 第一支撐件 408 第二支撐件 409 感測器 410 徑向驅動馬達 411 真空夾頭對準器 414,414a 徑向驅動皮帶 414b 第二徑向驅動皮帶 416 徑向驅動滑輪 418 端點惰滑輪 420 滑體風扇/過濾單元 430 ID讀取器 440,454 輪式對準器 442 槳板 446 梢輪 448 墊 449 驅動系統 450 驅動輪 -47c- 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐)— Radial actuators and include at least linear motion. , Which is removably mounted to the 2-axis linear drive-end effector and has a radial axis for transporting semiconductor wafers and related substrates between the -SMIF grab and isolated from external atmospheric conditions. The EFEM system includes an integrated cymbal, which has at least two vertical brackets mounted to an upper support member and a lower support member, the frame defining ―helper ;: a wafer engine that is mounted to the lower support Components, the wafer engine is located in the environment isolated from external atmospheric conditions; a SMIF advance assembly, which is installed to the lower support member, the inspection advance assembly is exposed to these external atmospheric conditions; a SMIF cabin docking board, It is mounted to each of the vertical brackets, and the docking plate is exposed to the external atmospheric conditions; and the vertical brackets of the integrated frame are provided to align the wafer engine, the SMIF module forward assembly, and the SMIF module. One of the boards is commonly referred to 26. The system of item 25 of the patent claim, wherein the wafer engine includes a linear drive mounted to the lower support member and having an axis along the χ axis A linear actuator that is mounted to the linear actuator to rotate about a 0 axis; a Z-axis linear actuator that extends from the rotary actuator and has a linear motion along a Z axis; the Z axis Offset from the 0-axis and approximately parallel; and -54 · 579564 8 8 8 8 AB c D, a patent application for a radial drive, which is removably mounted to the Z-axis linear drive and includes at least one endpoint The effector has a linear motion along a radial axis. 27. The system of claim 25, wherein the SMIF tank docking plate is transparent. -55- This paper size is in accordance with Chinese National Standard (CNS) A4 (210 X 297 mm) 579564 J / ^ JU Patent Application No. 091119819 7 Chinese Manual Replacement Page (December 1992) B7 V. Description of the invention (43a) Component symbol description 10 Front open integrated module (FOUP) 12 FOUPH 20 Front-end unit or equipment front-end module (EFEM) 22 Housing 24 Working manipulator arm 26 Pre-aligner 28,204 Loading port total 100,200 spine structure 102 vertical support 104 upper passage or support member 106 lower passage or support member '108 inner surface 110 outer 112 bottom 114 top 116 rear mounting surface 118 front mounting surface 120, 122 mounting hole 121 air flow area 124 cam guide 130 FOUP support Assembly 132 FOUP forward support 133 FOUP forward module 134 FOUP forward plate 135 Movement pin 138 FOUP docking / isolation plate 139 FOUP door opening / closing module 140, 206 Port door 144 Alignment hole -47a- This paper size applies to Chinese national standards ( CNS) A4 size (210 X 297 mm) 579564 Patent Application No. 091119819 Chinese specification miscellaneous pages (December 1992 ) G day correction * ^ V. Description of the invention (43b) 147 Control box 150, 220 Fan / filter unit (FFU) 152 Sheet metal plate 154 Tool interface plate 156 End plate 170 Horizontal beam 171 Separator 172 Alignment bracket 174 Front mounting plate 175 pieces of metal U-shaped section 176 CNC milled aluminum plate 201 top surface 202 single frame or sleeve 203 bottom surface 208 FOUP advance assembly 210 end cap 212 perforated hole 224 flow capture plenum 254 linear actuator 300, 300 * wafer engine 302 linear actuator Assembly 304,308 X pallet 306 rail assembly 310 upper X holder 311 holder guide 312 lower X holder 350 rotary drive 352 fan extension platform 354 Z slot fan 362 Θ motor-47b- This paper size applies to Chinese national standards (CNS ) A4 size (210 X 297 mm) 579564 Patent Application No. 091119819 Replacement page (December 1992) Date of amendment V. Description of invention (43c) 364 Support post 370 Z-axis support 380,380 'Z-axis drive post 382 Z drive motor 384 Z cable road 386 Z guide rail 388 Z ball screw Φ00 radial slider 401 end effector 402 End effector 404 Lower end effector 406 First support 408 Second support 409 Sensor 410 Radial drive motor 411 Vacuum collet aligner 414, 414a Radial drive belt 414b Second radial drive belt 416 Radial drive pulley 418 End point idler pulley 420 Sliding body fan / filter unit 430 ID reader 440, 454 Wheel aligner 442 Paddle plate 446 Pin wheel 448 Pad 449 Drive system 450 Drive wheel -47c- This paper size is applicable to China Standard (CNS) Α4 size (210 X 297 mm)
TW91119819A 2001-08-31 2002-08-30 Unified frame, system for transferring semiconductor wafers and related substrate objects, and system for transporting wafers TW579564B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US31672201P 2001-08-31 2001-08-31
US10/087,638 US7100340B2 (en) 2001-08-31 2002-03-01 Unified frame for semiconductor material handling system
US10/087,092 US7217076B2 (en) 2001-08-31 2002-03-01 Semiconductor material handling system

Publications (1)

Publication Number Publication Date
TW579564B true TW579564B (en) 2004-03-11

Family

ID=32931204

Family Applications (1)

Application Number Title Priority Date Filing Date
TW91119819A TW579564B (en) 2001-08-31 2002-08-30 Unified frame, system for transferring semiconductor wafers and related substrate objects, and system for transporting wafers

Country Status (1)

Country Link
TW (1) TW579564B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7651079B2 (en) 2005-07-29 2010-01-26 Samsung Mobile Display Co., Ltd. Substrate support plate transfer apparatus for fabricating organic light emitting display
TWI453854B (en) * 2009-05-28 2014-09-21 Semilev Gmbh Device for particle free handling of substrates

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7651079B2 (en) 2005-07-29 2010-01-26 Samsung Mobile Display Co., Ltd. Substrate support plate transfer apparatus for fabricating organic light emitting display
TWI453854B (en) * 2009-05-28 2014-09-21 Semilev Gmbh Device for particle free handling of substrates

Similar Documents

Publication Publication Date Title
TW579538B (en) Wafer engine
JP4309263B2 (en) Semiconductor tool interface frame
JP4309264B2 (en) Semiconductor material handling equipment
KR100688436B1 (en) Universal tool interface and/or workpiece transfer apparatus for smif and open pod applications
TW591736B (en) Integrated system for tool front-end workpiece handling
CN1996552B (en) Wafer engine
CA1267978A (en) Semi-conductor processing system
US6979165B2 (en) Reduced footprint tool for automated processing of microelectronic substrates
TW579564B (en) Unified frame, system for transferring semiconductor wafers and related substrate objects, and system for transporting wafers
JP3647330B2 (en) Semiconductor manufacturing apparatus and device manufacturing method
KR100540973B1 (en) SMIF Loader for Transfer of Material Storage Box at Semiconductor Manufacturing
KR200315711Y1 (en) SMIF Loader for Automatic Opening and Closing, and/or Transfer of Material Storage Box at Semiconductor Manufacturing
JP2004047839A (en) Sealed container opening/closing device

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent