KR100472108B1 - 기판처리시스템의표면세척을위한방법및그장치 - Google Patents

기판처리시스템의표면세척을위한방법및그장치 Download PDF

Info

Publication number
KR100472108B1
KR100472108B1 KR1019970059589A KR19970059589A KR100472108B1 KR 100472108 B1 KR100472108 B1 KR 100472108B1 KR 1019970059589 A KR1019970059589 A KR 1019970059589A KR 19970059589 A KR19970059589 A KR 19970059589A KR 100472108 B1 KR100472108 B1 KR 100472108B1
Authority
KR
South Korea
Prior art keywords
chamber
gas
cleaning
heater
deposition
Prior art date
Application number
KR1019970059589A
Other languages
English (en)
Other versions
KR19980042360A (ko
Inventor
리-쿤 시아
엘리 이에
게리 퐁
스리니바스 네마니
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR19980042360A publication Critical patent/KR19980042360A/ko
Application granted granted Critical
Publication of KR100472108B1 publication Critical patent/KR100472108B1/ko

Links

Images

Classifications

    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

본 발명은 반도체 웨이퍼의 고온(적어도 약 500-800℃) 처리를 위한 시스템, 방법 및 장치를 제공한다. 본 발명의 시스템, 방법 및 장치는 다중 처리 단계가 전체 처리 시간을 감소하고 고종횡비 소자에 대한 고품질 처리를 보장하도록 동일한 챔버 내에서 인-시튜 수행되도록 한다. 또한 동일 챔버 내의 다중 처리 단계 수행은 처리 파라미터의 제어를 증가시키고 소자 손상을 감소시킨다. 특히, 본 발명은 고온 증착, 가열 및 균일한 두께의 유전체막을 형성하기 위한 효율적인 세척, 양호한 갭 충전 능력, 고밀도, 저습도, 및 다른 요구된 특성을 제공할 수 있다.

Description

기판 처리 시스템의 표면 세척을 위한 방법 및 그 장치{METHODS AND APPARATUS FOR CLEANING SURFACES IN A SUBSTRATE PROCESSING SYSTEM}
본 출원은 공동 발명자가 조나단 프랑켈, 해리 포넥칸티, 이나 쉬무런, 및 비스베스워렌 시베러마크리스한이고 발명의 명칭이 "고온 처리 챔버용 가열기/리프트 어셈블리"인 출원; 및 공동 발명자가 조나단 프랑켈 및 비스베스워렌 시베러마크리스한이고 발명의 명칭이 "고온 처리 챔버용 챔버 라이너"인 출원; 공동 발명자가 게리 퐁 및 이리윈 실베스트이고 발명의 명칭이 "하부에 설치된 원격 플라즈마 시스템을 가진 기판 처리 장치"인 출원; 공동 발명자가 조나단 프랑켈이고 발명의 명칭이 "고온 처리 챔버용 리프트 어셈블리"인 출원; 공동 출원자가 비베스워렌 시베러마크리스한 및 게리 퐁이고 발명의 명칭이 "단자(비플라즈마) 처리시 챔버 세척 검출 단부에 대한 시스템 및 방법"인 출원; 공동 출원자가 조나단 프랑켈, 이나 쉬무룬 비스베스워렌 시베러마크리스한, 및 유겐 푸샨스키이고 발명의 명칭이 "고온 처리 챔버용 덮개 어셈블리"인 출원; 공동 출원자가 비스베스워렌 시베러마크리스한, 엘리 이히, 조나단 프랑켈, 리 쿤 시아, 게리 퐁, 쉬리니바스 네마니, 어윈 실베스트레, 인나 쉬무런, 및 팀 레빈이고 발명의 명칭이 "반도체 웨이퍼의 고온 처리를 위한 시스템 및 방법"인 출원; 공동 발명자가 리 쿤 시아, 비스베스워렌 시베러마크리스한, 쉬리니바스 네마니, 엘리 이히, 및 게리 퐁이고 발명의 명칭이 "챔버 재료 표면으로부터 질소를 생성하기 위한 방법 및 장치"인 출원; 공동 발명자가 리푼 시아, 엘리 이히, 및 쉬리니바스 네마니이고 발명의 명칭이 "대기중보다 낮고 고온 온도 조건하에서 금속전 유전체 층을 증착하기 위한 방법 및 장치"인 출원; 공동 발명자가 엘리 이히, 리 쿤 시아, 및 쉬리니바스 네마니이고 발명의 명칭이 "얇은 트렌치 절연을 위한 방법 및 장치"인 출원; 공동 발명자가 조나단 프랑켈이고 발명의 명칭이 "기상 증착 장치의 온도를 제어하기 위한 시스템 및 방법인 출원; 공동 발명자가 게리 퐁, 퐁 창, 및 롱 구엔이고 발명의 명칭이 "마이크로파 세척 응용을 위한 사전 안정화된 플라즈마 발생 방법 및 장치인 출원; 및 공동 발명자가 엘리 이히, 리쿤 시아, 파울 게, 및 방 구엔이고 발명의 명칭이 "도핑된 실리콘 산화물 막을 사용하여 극도로 얇은 도핑 지역을 형성하기 위한 방법 및 장치"인 출원에 관한 것이다. 각각의 상기 참조 출원은 어플라이드 머티어리얼스 인코포레이티드에 양도되었고, 본 발명의 양도인 및 각각의 상기 참조 출원은 참조된다.
본 발명은 반도체 처리에 관한 것이다. 특히, 본 발명은 약 500℃ 이상의 온도에서 높은 종횡비 피쳐 위에 유전체 막을 형성하기 위한 방법 및 장치에 관한 것이고, 상기 유전체 막은 낮은 습기 함량 및 낮은 수축력을 가진다. 본 발명의 실시예는 보로포스포실리케이트 글래스(BPSG) 막, 보로실리케이트 글래스(BSG) 막, 또는 포스포실리케이트 글래스(PSG) 막 같은 도핑 유전체 막을 증착하고, 예를 들어 얇은 트렌치 절연시 소스/드레인 접합부 또는 채널 스톱 확산부로서 사용되는 극도로 얇은 영역을 형성하기 위하여 유용하다. 게다가, 본 발명의 실시예는 금속 전 유전체(PMD) 층, 금속간 유전체(IMD) 층 또는 다른 유전체 층으로서 사용되는 도핑 유전체 막을 증착하기 위하여 사용될 수 있다. 본 발명의 실시예는 얇은 트렌치 절연 충전 산화물, 절연층, 캡핑층, 또는 다른 층으로서 사용되는 도핑되지 않은 실리케이트 글래스(USG) 막 같은 도핑되지 않은 유전체 막을 증착하기 위하여 추가로 사용될 수 있다.
현대 반도체 소자를 제조하는 처음 단계 중 하나는 반도체 기판 상에 유전체 층을 형성하는 것이다. 공지된 바와 같이, 유전체 층은 화학 기상 증착(CVD)에 의해 증착될 수 있다. 종래 열적 CVD 처리 시, 반응 가스는 열 유도 화학 반응(균질 또는 이질)이 목표된 막을 형성하기 위하여 발생하는 경우 기판 표면에 공급된다. 종래 플라즈마 처리 시, 제어된 플라즈마는 목표된 막을 형성하기 위하여 반응 종을 분리하고 및/또는 에너지화하도록 형성된다. 일반적으로, 열 및 플라즈마 처리 시 반응 속도는 온도, 압력, 및 반응제 가스 흐름 속도 중 하나 이상을 제어함으로써 제어될 수 있다.
반도체 소자 구조는 상기 소자가 몇 십년 전에 처음 소개되었기 때문에 크기면에서 크게 감소되었다. 집적 회로는 칩 상에 설비하는 소자의 수가 매년 두배인 것을 의미하는 2년/하프 크기 법칙(종종 "무어 법칙"이라 불린다)을 따른다. 오늘날의 웨이퍼 제조 설비는 일상적으로 0.5㎛ 및 심지어 0.35㎛의 피쳐 크기를 생산하고, 미래의 설비는 보다 작은 피쳐 크기를 갖는 소자를 생산할 것이다. 소자의 피쳐 크기가 감소하고 집적 밀도가 증가함에 따라, 산업적으로 이전에 중시되지 않은 이슈가 보다 크게 관심의 대상이 된다. 특히, 점차적으로 높은 집적 밀도를 가지는 소자는 높은(예를 들어, 약 3:1 또는 4:1 이상의) 종횡비를 가진다. (종횡비는 두 개의 인접 계단의 높이 대 간격 비로 정의된다).
고 집적 소자를 제조 과정에서 점차적으로 엄격한 요구 사항이 고품질의 소자를 생산하기 위하여 필요하고, 종래 기판 처리 시스템은 이들 요구에 부합하기에 부적합하다. 한 가지 요구 사항은 상기 소자 제조 과정에서 형성된 유전체 막이 실질적인 갭 또는 보이드(voids)를 남기지 않고 높은 종횡비 피쳐 위에 균일하게 증착될 필요가 있다는 것이다. 다른 요구 사항은 이런 막이 이후의 가열 및/또는 습식 에칭 단계가 증착된 막에서 보이드가 오픈되게 하지 않도록 낮은 수축력을 나타날 필요가 있다는 것이다. 그러나, 약 450℃ 이하의 온도에서 유전체 막을 증착하는 종래 기판 처리 시스템은 추후 가열 및/또는 습식 에칭 단계에서 실질적인 보이드를 개방하지 않고 우수한 갭 충전 능력을 가지는 낮은 습기 막을 형성할 수 없다. 공지된 바와 같이, 이들 갭 또는 보이드는 소자에 신뢰적이지 못한 성능 및 다른 문제를 부여한다. 예를 들어 상기 소자에서 PMD 또는 IMD층으로서 사용된 유전체 막은 이들 갭 또는 보이드에 의해 유발된 문제를 피하기 위하여 우수한 높은 종횡비 갭 충전 능력이 필요하다. 추가의 요구 사항은 소자에서의 회로 단락 및 다른 문제를 피하도록 처리 단계 동안 웨이퍼에 금속 오염물이 최소화되어야 한다는 것이다. 공지된 바와 같이, 처리 동안 인-시튜 플라즈마를 사용하는 종래 기판 처리 시스템은 알루미늄 벽 같은 챔버 표면을 공격하는 물리적인 이온 스퍼터링을 경험하고, 기판의 금속 오염을 유발한다. 인-시튜 플라즈마의 사용은 목표되지 않는다. 인-시튜 플라즈마를 사용하지 않는 개선된 기판 처리 시스템은 낮은 습기, 높은 밀도, 낮은 수축도, 우수한 높은 종횡비 갭 충전 능력의 목표된 특성을 가지는 유전체 막을 제공하기 위하여 필요하다.
이런 엄격한 요구 사항들에 부합하는 것 외에, 기판 처리 시스템은 수축 소자를 갖는 고 집적 소자를 위하여 필요한 극도로 얇은 도핑 영역을 형성하기 위하여 보다 높은 요구에 부합하여야 한다. 보다 작은 소자 구조의 출현으로, 반도체의 극도로 얇은 도핑 영역은 예를 들어, 소스/드레인 접합, 얇은 트렌치 절연을 위한 채널 스톱 확산 등을 포함하는 다양한 응용에 필요하다. 예를 들어, 0.8㎛ 이하의 채널 길이를 가지는 MOS 소자는 적당한 소자 성능을 위하여 약 250나노미터(㎚) 이하의 깊이를 가지는 소스/드레인 접합을 요구한다. 약 0.35㎛ 깊이의 트렌치 절연 구조에 의해 분리된 트랜지스터에 대하여, 수백 나노미터의 깊이를 가지는 극도로 얇은 채널 스톱 지역이 요구된다. 극도로 얇은 도핑 영역을 요구하는 응용에 대하여, 도핑 지역의 균일한 도판트 분배 및 접합 깊이의 우수한 제어를 제공하는 것은 중요하다.
이온 주입 및 가스 확산 같은 극도로 얇은 도핑 지역을 형성하기 위한 현재 방법은 몇몇 응용에서 부적당하다. 이들 현재 방법으로, 도판트 분배 및 접합 깊이를 제어하기 위한 능력은 제한되고 특히 도핑 지역은 보다 얕아진다. 이온 주입 같은 방법으로, 도판트 분배를 제어하는 것은 반도체 재료의 표면에 이온의 빌트 업(built-up) 농도로 인해 어렵게 된다. 또한, 이온 주입은 반도체 표면에 손상을 유발하고, 이런 기판 손상을 치유하기 위한 방법은 극도로 얇은 도핑 지역에 대한 도판트 확산 및 접합 깊이 제어를 보다 어렵게한다. 예를 들어, 비교적 높은 에너지 레벨에서 충격받은 이온은 상기 반도체 재료를 통한 터널 또는 채널에의 경향을 가지며 포인트 결함 같은 손상을 유발한다. 불규칙적이고 균일하지 않은 접합 깊이의 원인이 되는 이들 포인트 결함은 고온(약 900℃ 이상)에서 주입된 반도체 재료를 어닐링함으로써 고착될 수 있다. 그러나, 주입된 반도체 재료를 어닐링하는 것은 목표된 바 이상으로 접합 깊이를 더 증가시킨다. 가스 확산 같은 방법으로, 도판트 확산 및 접합 깊이를 제어하는 것은 극도로 얇은 도핑 영역을 형성한 때의 제어를 어렵게 한다. 보다 작은 구조의 소자에 대한 기술적 진보로서, 극도로 얇은 도핑 영역의 도판트 균일성 및 접합 깊이를 제어하는 다른 방법이 필요하다.
극도로 얇은 도핑 영역을 형성 시, 이온 주입 및 다수 확산의 현재 방법에 대한 다른 방법은 도판트 확산 소스로서 도핑 유전체 막을 사용하는 것이다. 이런 다른 방법에서, 도핑 유전체 막은 기판에 증착되고 극도로 얇은 도핑 지역을 형성하기 위하여 기판에 확산된 도판트 소스로서 사용된다. 예를 들어, 도핑 유전체 막은 증착 챔버에서 500℃ 이하의 온도로 증착되고, 도핑 지역을 형성하기 위하여 도판트 확산을 수행하도록 어닐링 노 같은 다른 챔버에서 500℃ 이상의 온도로 추후에 가열된다. 도핑 유전체 막의 두게, 균일성, 및 습기 양을 제어하는 것은 반도체 재료에서 극도로 얇은 도핑 접합부를 충분히 형성하는데 중요하다. 특히, 증착 및 도핑 유전체 막의 두께 및 균일성을 제어하는 것은 확산에 대하여 사용할 수 있는 도판트 양을 어느 정도 약간 제어한다. 확산 소스로서 사용된 도핑 유전체 막의 두께를 제한하는 것은 절약 증착(및 추후 에칭) 시간에 걸쳐 웨이퍼 작업량을 증가시키는데 도움을 준다. 게다가, 도판트 균일성을 가진 균일하게 증착된 막은 막으로부터 기판으로의 도판트의 보다 잘 제어된 확산을 제공한다. 공지된 바와 같이, 도핑 유전체 막의 습기는 결정 구조에 습기를 결합시키기 위하여 도판트와 반응하고, 그 결과 도핑 영역을 형성하기 위하여 기판에 확산하도록 이용할 수 있는 도판트가 보다 적어진다. 이들 막이 확산 시 사용하기 위해 유용한 보다 많은 도판트를 갖기 때문에, 낮은 습기 성분을 가지는 도핑 유전체 막을 사용하는 것이 바람직하다.
몇몇 문제점은 도판트 확산 소스로서 도핑 유전체 막을 사용할 때 종래 기판 처리 시스템에서 발생한다. 한 가지 문제점은 도핑 유전체 막을 증착하기 위하여 종래 시스템을 사용할 때 막 두께 및 균일성의 보다 정밀한 제어를 하는 것이 어렵다는 것이다. 다른 문제점은 도핑 유전체 막 내의 적당한 도판트 양이 극도로 얇은 도핑 영역을 형성하도록 기판에 확산하기 위하여 이용할 수 있다는 것을 보장하는 것이 종종 어렵다는 것이다. 추가의 문제점은 극도로 얇은 도핑 지역이 형성되는 기판 표면 상에, 도판트가 도핑 유전체 막으로부터 기판으로 확산하는 것을 방지하는 장벽층으로서 작용하는 자연 산화물의 존재이다. 이들 문제점은 하기에 상세히 논의된다.
극도로 얇은 도핑 지역을 형성하기 위하여 도판트 확산 소스로서 도핑 유전체 막을 사용하는 장점에도 불구하고, 종래 증착 시스템을 사용할 때 증착된 도핑 유전체 막의 두께 및 균일성을 제어할 수 없는 문제점이 2개의 주된 이유 때문에 특별한 관심거리이다. 첫째, 종래 방법 및 장치를 사용하여 증착된 도핑 유전체 막의 두께 및 균일성을 적절하게 제어할 수 없는 무능력은 형성된 극도로 얇은 도핑 지역의 도판트 균일성 및 접합 깊이를 제어하기 위한 감소된 능력을 유발한다. 예를 들어, 종래 연속적인 CVD 챔버에서, 기판은 벨트 상에 자리하여 챔버의 다양한 부분을 통하여 이동한다. 챔버의 각 부분에서, 소정 두께를 가지는 층은 증착된다. 증착된 막의 두께는 벨트 속도를 변화함으로써 제어되는데, 이는 제한된 제어를 제공한다. 게다가, 여러 웨이퍼 상에 증착된 막의 두께 및 도판트 균일성 제어는 벨트 속도를 사용하여 막 두께 및 도판트 농도를 제어할 때 어렵다. 즉, 여러 웨이퍼 상 증착된 막의 두께는 변화하고 예측할 수 없으며, 웨이퍼-대-웨이퍼 비신뢰도를 유도한다. 둘째, 매우 얇은 막에 대하여 증착된 도핑 유전체 막의 두께를 제어하는 것은 전체적인 효율 및 증가된 웨이퍼 작업 처리량을 위하여 바람직하다. 그러나, 종래 방법은 몇천 옴스트롱(℃) 정도의 두께를 가지는 도핑 유전체 막을 형성할 수 있었다. 또한, 증착된 막의 두께를 제어하기 위하여 벨트 속도 상에 수용한 시스템을 사용하여 가능한 한 얇게 증착된 막의 두께를 유지하는 것은 어렵다. 통상적으로 보다 두꺼운 막이 증착되고, 몇몇 도판트는 그것들이 반도체 재료에 도달하기 전 이동해야 하는 거리가 더 커지기 때문에, 기판에 더 이상 확산하지 않는다. 또한, 에칭 또는 다른 기술에 의해 도판트 확산 소스로서 사용된 두꺼운 막의 제거는 웨이퍼를 처리하기 위한 총 시간을 증가시킨다. 효율을 개선하기 위하여 제조기의 압력을 증가시키고, 증착 및 그 후 막을 제거하기 위하여 필요한 시간을 감소시키기 위하여 가능한 한 얇은 도핑 유전체 막을 형성하는 것이 바람직하다. 도판트 확산 소스로서 사용되는 도핑 유전체 막(웨이퍼를 가로질러 ㅁ0.2 중량 퍼센트 도판트 편차로 약 500℃ 두께 이하)의 두께 및 도판트 균일성을 쉽게 제어할 수 있는 방법 및 장치는 바람직하다.
극도로 얇은 도핑 영역에 대한 도판트 확산 소스로서 도핑 유전체 막을 사용하는 다른 문제점은 적당량의 도판트가 기판에 확산하기 위하여 이용 가능하여야 하는 것이다. 높은 도판트 농도를 가지는 막은 극도로 얇은 접합을 형성하도록 기판에의 균일한 확산을 위해 도판트의 적당량을 제공하는 것이 필요하다. 그러나, 습기 흡수 및 가스 배기는 적당한 도판트 이용에 관한 두가지 문제점이다. 높은 도판트 농도를 가지는 도핑 유전체 막은 웨이퍼가 세척 룸에서 주위 습기에 노출된 후(예를 들어, 다중 단계 처리에서 다음 처리 단계를 위해 도핑 유전체 막을 다른 처리 챔버에 증착한 후 증착 챔버로부터 웨이퍼가 전달될 때) 짧게 습기를 흡수한다. 흡수된 습기(H2O)는 유전체 막의 도판트와 반응하고, 막을 결정화한다. 막 내의 도판트를 묶는 결정 구조로 인해, 이들 도판트는 다른 챔버에서 빠른 열처리 또는 어닐링에 의해 웨이퍼의 추후 가열 후에도 기판에 확산을 위하여 이용할 수 없다. 습기 흡수는 기판에의 확산을 위한 도판트의 양을 줄인다. 습기 흡수 문제 외에, 도핑 유전체 막으로부터 도판트의 배기는 추후 가열 단계에서 발생한다. 이들 도판트는 기판으로부터 막 밖으로 확산하고, 이것은 극도로 얇은 도핑 지역을 형성하기 위하여 기판에 확산될 수 있는 도판트가 더 적어지는 결과를 야기한다.
비록 적당한 도판트가 확산을 위하여 이용될 수 있지만, 자연 산화물 문제점은 확산 소스로서 도핑 유전체 막을 사용할 때 중요한 고려 사항을 남긴다. 극도로 얇은 도핑 영역이 형성될 기판 표면 상에 존재하는 자연 산화물은 실리콘에의 효과적이고 균일한 도판트 확산을 막는다. 그러므로, 도판트에 대한 확산 장벽으로서 작용하는 자연 산화물은 제거될 필요가 있다. 자연 산화물을 제거하는 것은 액체 에칭제를 사용하는 습식 에칭, 및 인-시튜 플라즈마를 사용하는 건식 에칭 같은 종래 기술을 사용하여 행해진다. 그러나, 액체 에칭제를 사용하는 것은 기판을 제어하기 어렵게 하고 과도하게 에칭할 수 있다. 습식 에칭 같은 종래 방법에 의해 세척되는 자연 산화물을 가지는 기판은 자연 산화물이 다시 형성되기 전에 약 일주일 이하의 쉘프 수명을 가지며, 자연 산화물이 제거된 직후에 웨이퍼를 처리하는 것이 목표된다. 인-시튜 플라즈마를 가지는 자연 산화물을 제거하기 위하여 건식 에칭을 사용하는 것은 기판의 표면에 플라즈마 손상을 유발한다. 표면 플라즈마 손상을 유발하는 것외에, 인-시튜(in-situ) 플라즈마 건식 에칭은 상기된 바와 같은 금속 오염을 유발한다. 따라서, 기판 표면을 손상시키지 않고 자연 산화물을 효과적으로 제거하는 것이 중요하고 극도로 얇은 도핑 영역에 대하여 균일하게 도판트가 기판에 확산할 수 있다.
균일한 두께 및 낮은 금속 오염물을 가지는 높은 종횡비 갭 충전 능력을 가지는 밀도 높은, 낮은 습기 유전체 막을 제공하는 것 외에, 집적 회로 소자를 제조하는데 개선된 품질 및 전체 효율성은 증요하다. 소자를 제조하는데 품질 및 전체 효율성을 개선하기 위한 중요한 방법은 챔버를 효과적으로 그리고 경제적으로 세척하는 것이다. 처리 품질 및 전체 효율성을 개선하기 위한 제조기 상의 압력의 증가와 함께 웨이퍼의 품질을 절충하지 않고 다중 단계 처리시 모든 휴지 시간을 제거하는 것은 시간 및 비용 양쪽을 절약하기 위하여 중요하다. CVD 처리 동안, 기판의 표면 상 실리콘 산화물 또는 질화물 같은 층으로부터 처리 챔버 안쪽에 방출된 반응 가스가 처리된다. 목표되지 않은 산화물 증착은 가스 혼합 박스 및 가스 분배 매니폴드 사이 영역 같은 CVD 장치 어느 곳에서나 발생한다. 목표되지 않은 산화물 잔류물은 상기 CVD 처리 동안 처리 챔버의 배출 채널 및 벽 내에 또는 주위에 증착될 수 있다. 시간 외, CVD 장치로부터 잔류물을 세척하는데 실패하는 것은 품질이 낮은, 신뢰받지 못하는 처리와 결함있는 기판의 결과를 야기한다. 잦은 세척 과정 없이, CVD 장치에서 만들어진 잔류물로부터의 불순물은 기판으로 이동한다. 기판 상의 소자에 손상을 유발하는 불순물 문제는 오늘날 점차적으로 작은 소자 크기에 관심 사항이다. 그래서, CVD 시스템 유지는 개선된 소자 양산 및 보다 나은 생산 성능을 유발할 뿐 아니라, 기판 처리의 부드러운 동작을 위하여 중요하다.
주로, 모든 N 웨이퍼의 처리 사이에 주기적인 챔버 세척은 높은 질의 소자를 생산하는데 CVD 시스템을 성능을 개선하기 위하여 필요하다. 챔버 및/또는 기판의 효과적인, 손상 없는 세척을 제공하는 것은 생성된 소자의 성능 및 품질을 향상시킨다. 상기된 챔버 세척 품질을 개선하는 것 외에(진공 밀봉을 부수지 않고 행해진다), 예방 유지 챔버 세척(여기서 진공 밀봉은 챔버를 물리적으로 세척하기 위하여 챔버 덮개를 개방함으로써 파손됨)이 다수의 주기적인 챔버 세척 중에 수행된다. 때때로, 꼭 필요한 예방 유지 챔버 세척을 수행하는 것은 챔버 덮개 및 상기 덮개를 차단하고 있는 다른 챔버 부재를 개방하는 것을 포함하며, 이는 일반 제조 공정에 방해가 되는 시간 소모적 과정이다.
따라서, 균일한 두께와 높은 종횡비의 갭 충전력을 가진 밀도 높은 저습도 유전체 박막을 위한 개선된 방법, 시스템 및 장치가 요구된다. 최상으로, 이러한 개선된 방법 및 장치는 또한 금속 오염을 적게하면서 챔버를 세척하도록 한다. 또한 매우 얇은 접합에 대하여 도판트 확산 소스로서 도핑 유전체 박막을 형성하기 위한 개선된 방법 및 장치를 제공할 필요가 있다. 이들 방법 및 장치는 실리콘 웨이퍼의 표면에 심각한 손상을 주지 않고 도핑 유전체 층으로부터 유효하고 균일한 도판트 확산을 확실히 하기에 충분하도록 천연 산화물을 제거할 수 있어야 한다. 또한 일부 이용에 있어서, 여러 종류의 세척에 소요되는 시간을 최소화하기 위하여 단순화한 설계에 의하여 단일 챔버에서 다중 증착 및 세척 능력을 제공하는 것이 요구된다. 따라서, 요구되는 것은 고품질, 고효율, 고온 증착 및 고효율의 부드러운 세척을 제공할 수 있는 시스템 및 방법이다. 특히, 이들 시스템 및 방법은 높은 종횡비 특성을 가진 장치를 형성하고 매우 얇은 도핑 영역을 형성하도록 하는 공정 요구 조건과 양립할 수 있도록 설계되어야 한다.
발명은 반도체 웨이퍼의 고온 가공(적어도 약 500-800℃)을 위한 시스템, 방법 및 장치를 제공하는 것이다. 본 발명의 실시예는 전체 가공 시간을 감소시키기 위하여 그리고 높은 품질의 가공을 보장하여 높은 종횡비 특성을 가진 고집적 소자를 제조하기 위하여 동일 챔버 내의 인-시튜 다중 공정 단계가 수행되도록 할 수 있는 시스템, 방법 및 장치를 포함한다. 동일 챔버에서 다중 공정 단계를 수행하는 것은 또한 공정 파라미터에 대한 제어를 증가시키고, 증착된 박막에서 수분 함유량을 실질적으로 감소시키며, 금속 오염물 또는 공정 잔류 오염물에 의한 소자 손상을 감소시킨다.
특히, 본 발명은 상대적으로 얇은 박막 두께를 가진 유전체 박막을 형성하기 위하여 고온 증착, 가열 및 효율적인 세척을 제공한다. 본 발명의 실시예는 특히 보로포스포실리케이트 유리(BPSG) 박막, 보로실리케이트 유리(BSG) 박막 또는 포스포실리케이트 유리(PSG) 박막과 같은 도핑 유전체 박막을 증착하는데 적합하며, 또한 예를 들어 소스/드레인 접합으로서 또는 좁은 트랜치 절연에서의 채널 스톱 확산으로서 이용되는 극히 좁은 도핑 영역을 형성하는데 유용하다. 또한, 본 발명의 실시예는 금속전 유전체(PMD)층, 금속간 유전체(IMD)층 또는 다른 유전체층으로서 이용되는 도핑 유전체 박막을 증착하기 위하여 이용될 수 있다. 본 발명의 다른 실시예는 좁은 트랜치 절연 충전 산화물, 절연층, 캡핑층 또는 다른 층으로서 이용되는 도핑되지 않은 유전체 박막을 증착하기 위하여 이용될 수 있다.
본 발명에 따른 방법은 약 10-760 토르 사이의 압력을 가진 진공 챔버 내의 기판 위에 CVD에 의하여 유전체 박막을 증착시키는 단계 및 약 500℃보다 높은 온도로 상기 기판을 가열하는 단계를 포함한다. 기판은 평탄화를 위한 증착된 유전체 층의 리플로우를 수행하거나 또는 증착된 도핑 유전체층으로부터 도판트를 유도해내기 위한 것처럼 여러 가지 목적을 위하여 가열될 수 있다. 이러한 공정은 하나의 단계(예를 들어, 500℃이상의 온도에서 웨이퍼 상에 박막을 증착시키고 리플로우 하는 것) 또는 다중 단계(예를 들어, 500℃이하의 온도에서 웨이퍼 상에 박막을 증착시키고 다음에 박막이 증착된 후에 웨이퍼 상의 박막을 가열하는 것)로 수행될 수 있다. 각각의 경우에, 고온 가공은 진공 챔버로부터 웨이퍼를 제거하지 않고 이루어지는데, 이는 유전체 박막 상의 습기 흡수를 감소시키는 장점을 가진다. 고온 가공은 또한 갭핑층을 가진 도핑 유전체 박막의 인-시튜 증착을 가능하게 하여 바람직하게는 도핑 박막으로부터 도판트의 유출을 감소시키고 습기 함유량을 낮춘다. 특정 실시예에서, 반응 가스는 기판 표면으로 전달되고 상기 기판 표면에서는 가열 유도 화학 반응이 발생하여 유전체 박막을 생성하도록 한다. 추가로 또는 선택적으로, 제어된 플라즈마는 반응 가스의 분해를 용이하게 하기 위하여 형성될 수 있다.
실시예에서, 유전체 박막은 매우 얇은 극히 좁은 접합을 위한 도판트 확산 소스로서 이용되는 얇은 도핑 박막이다. 상기 박막은 500℃이상의 온도에서 기판 위에 증착되며 이보다 더 높은 온도, 일반적으로 600℃이상, 바람직하게 약 700℃이상의 온도로 가열되어 유전체층으로부터 하부의 기판으로 도판트를 확산시키도록 한다. 동일 챔버에서 증착 단계와 가열 단계를 수행하는 것은 도핑 유전체 박막의 두께, 균일성 및 습기 함유량에 대한 양호한 제어를 제공한다. 박막의 습기 함유량을 개선시키는 것은 박막의 가용 도판트량을 증가시키며, 이는 특히 고 집적 소자에 극히 좁은 접합부를 형성하는데 바람직하다.
본 발명의 다른 특징은 진공 챔버의 내벽 및 장치의 구성 부분 상의 원하지 않는 증착물을 에칭하고, 가공하기 전에 반도체 웨이퍼로부터 천연 산화물 및 다른 잔류물을 세척하기 위한 원격 플라즈마 시스템을 제공하는 것이다. 원격 에너지 소스를 이용한 부드러운 세척 기술은 바람직하게 금속 오염도를 낮추기 위하여 종래 플라즈마 공정 대신 이용될 수 있다. 예를 들어, 원격 플라즈마 시스템은 원격 플라즈마를 제공하며, 바람직하게 플라즈마로부터의 플루오르 라디컬은 높은 온도에서 챔버로 유입될 수 있으며, 챔버의 부드러운 열 세척을 제공한다. 원격 플라즈마 시스템을 이용할 경우, 화학 반응만이 이용되며, 물리적 스퍼터링 현상 문제는 제거된다. 이에 반하여, 플라즈마 시스템을 이용할 경우, 스퍼터링 문제가 알루미늄 챔버 벽에 발생하며, 이는 가공된 웨이퍼 내에 금속 오염물을 발생시킨다. 원격 플라즈마 시스템을 이용한 열 세척 공정에서, 챔버로 전달되는 라디칼(radical)은 챔버 표면으로부터 원치않는 증착물 및 잔류물을 효과적으로 세척할 수 있으며, 한편 플라즈마는 챔버로부터 멀리 떨어져서 또는 그 외부에 유지된다. 원격 플라즈마 시스템의 다른 장점은 천연 산화물이 웨이퍼로부터 효과적으로 제거되어 실리콘 웨이퍼의 표면을 손상시키지 않고 도핑 유전체층으로부터 효율적이고 균일한 도판트 확산을 보장할 수 있다는 것이다. 원격 플라즈마 시스템의 또 다른 장점은 시스템 역시 요구되는 여러 가지 입력 가스를 이용하여 막을 증착하기 위하여 이용될 수 있도록 구성될 수 있다는 것이다.
바람직한 실시예에서, 원격 플라즈마 세척 시스템은 가공 챔버에 선택된 물질(예를 들어, 플루오르, 염소 또는 다른 라디칼(radical)을 생성하고 전달하도록 구성된 마이크로파 플라즈마 시스템이다. 원격 플라즈마 시스템은 마이크로파 방사선에 의하여 가스를 에너지화하여 에칭 라디칼을 가진 플라즈마를 생성한다. 특히, 마이크로파는 마그네트론 또는 다른 에너지 소스에 의하여 생성되어 도파관 시스템을 통하여 플라즈마가 생성되는 어플리케이터 튜브에 전달된다. 다음에 반응 가스는 어플리케이터 튜브에 유입되고 마이크로파 에너지에 의하여 에너지화되며, 이는 점화된 플라즈마의 이온화를 지속시켜 가공 챔버로 라디칼이 흐르게 한다. 세척을 위하여, 라디칼은 챔버벽 위에 형성된 잔류물과 반응하여 배기 시스템에 의하여 챔버로부터 배출된 반응 가스를 형성하도록 한다. 마이크로파 플라즈마 시스템은 또한 가공 챔버로 증착 반응 가스를 전달함으로써 플라즈마 보강 CVD 막을 증착시킬 수 있다.
본 발명에 따르면, 원격 플라즈마 시스템는 챔버 세척이 종료될 때를 나타내는 종료 검출 시스템을 포함한다. 챔버에서 플라즈마의 결핍은 종래 종료 검출 시스템을 이용할 경우 세척이 완료되는 시간(즉, 챔버 내의 마지막 공정 가스 잔류물이 세척 에천트와 반응하여 챔버로부터 배출될 수 있을 때)을 정확하게 나타내는 것이 곤란하였다. 이는 종래 종료 검출 시스템에서는 플라즈마로부터 방출을 체크하기 위하여 챔버 내의 플라즈마의 이용에 의존하여 세척 공정의 종료를 결정하기 때문이다. 본 발명에서, 종료 검출 어셈블리는 공정 챔버의 가스 출구에 결합되어 SiF4와 같은 배출 세척 가스 반응물에 의한 광흡수에 의하여 발생되는 광세기의 변화를 검출함으로써 세척 공정의 종료를 검출하도록 한다.
본 발명에 따르면, 챔버벽 표면으로부터 플루오르와 같은 흡수된 세척 가스를 게터링(getterring)하는 방법이 제공된다. 본 발명에 따르면, 플루오르를 함유한 제 1세척 가스가 가공 챔버로 유입되어 공정 챔버의 증착 잔류물을 세척하도록 한다. 제 2세척 가스는 상기 제 1세척 가스에 의하여 잔류물이 제거된 후에 공정 챔버로 유입된다. 제 2세척 가스는 상기 제 1세척 가스와 공정 챔버 내부 표면 사이의 반응에 의하여 형성된 세척 잔류물을 제거한다. 챔버로부터 세척 잔류물을 제거 또는 게터링하는 것은 많은 이점을 제공한다. 예를 들어, 본 발명의 바람직한 실시예에서, 플루오르 라디칼은 챔버로부터 멀리 펌핑되는 실리콘-플루오르 가스 산물을 형성함으로써 산화 실리콘과 같은 잔류물을 제거하도록 공정 챔버로 유입된다. 플루오르 기초 세척 공정 후에, 처리될 다음 웨이퍼 상의 증착 박막과 반응하거나 또는 결합될 수 있는 챔버벽 표면 상의 흡수된 플루오르가 게터링된다. 대체적인 실시예에서, 상기 게터링은 마이크로파 발생 원자 산소 및 실리콘 소스를 이용하여 챔버를 건조시킴으로써 수행되어 흡수된 플루오르를 막고 증착된 막의 오염을 방지하기 위하여 챔버 위에 산화물 박막을 증착시키도록 한다.
본 발명은 고온 처리를 위한 다양한 열 저항 및 처리 호환 구성 요소를 제공한다. 본 발명의 시스템은 처리 챔버를 수용하는 밀봉 어셈블리를 가지는 증기 증착 장치를 포함한다. 상기 장치는 약 500-800℃의 온도로 웨이퍼를 가열하기 위한 페데스탈/가열기를 가지는 가열 어셈블리를 포함한다. 페데스탈은 처리 가스와의 반응과 약 400℃, 및 바람직하게 약 500-800℃의 온도에서 처리에 의한 증착에 실질적으로 저항하는 재료를 포함한다. 게다가, 페데스탈은 바람직하게 세척 동안 챔버로 유입된 플루오르 라디칼에 의한 고온(즉, 500-800℃)에서의 에칭에 저항하는 재료를 포함한다. 실시예에서, 페데스탈/가열기는 알루미늄 산화물 또는 바람직하게 알루미늄 질화물 같은 세라믹 재료에 내장된 저항성 가열 엘리먼트를 포함한다.
본 발명의 가열 어셈블리는 챔버 내의 페데스탈/가열기를 지지하고 필요한 전기 접속을 하우징하기 위한 지지 축을 포함한다. 바람직하게 지지 축은 축 내에 진공 밀봉을 제공하기 위하여 페데스탈/가열기에 확산-결합된 세라믹 재료를 포함한다. 이런 진공 밀봉은 축의 내부 공동이 고온 처리 동안 대기 온도 및 압력으로 유지되게 하고, 이것은 처리 및 챔버 내의 처리 및 세척 가스로부터의 부식으로부터 전극 및 다른 전기 접속을 보호한다. 게다가, 축 내에 대기 압력을 제공하는 것은 전력 리드에의 축의 공동 코어 또는 축의 외부 벽을 통한 전력 소모로부터의 아킹(arcing)을 최소화시킨다.
본 발명의 다른 측면에서, 챔버 라이너는 가열기로부터 챔버 벽을 절연하기 위하여 페데스탈/가열기 주위에 제공된다. 바람직하게, 챔버 라이너는 고온에 저항하고 증착/세척 반응에 저항하는 세라믹 같은 재료로 구성된 라이너 부분, 및 갈라짐에 저항하는 재료로 구성된 외부 부분을 포함한다. 라이너의 내부 부분은 증착된 막 균일성에 역으로 영향을 미치는 웨이퍼 가장자리 냉각 효과를 감소시키기 위하여 챔버 벽을 절연한다. 챔버 라이너의 외부 부분은 단일의 비교적 두꺼운 세라믹 라이너에 발생할 수 있는 갈라짐을 최소화하는 동안 웨이퍼 및 벽 사이의 갭을 브릿지 하기 위한 내부 부분보다 실질적으로 두껍다. 실시예에서, 라이너의 외부 부분은 라이너에 의해 제공된 절연을 증가시키기 위하여 공기 갭을 포함한다.
본 발명의 추가 측면에서, 덮개 어셈블리는 밀봉 어셈블리를 위하여 제공된다. 덮개 어셈블리는 처리 및 세척 가스를 수용하고 이들 가스를 챔버로 인도하기 위한 하나 이상의 세척 가스 통로 및 하나 이상의 처리 가스 통로에 결합된 가스 혼합 블록(또는 박스)를 포함한다. 하나 이상의 밸브는 가스가 가스 혼합 블록으로 선택적으로 흐르도록 하기 위하여 세척 가스 통로 또는 처리 가스 통로 상에 제공된다. 이런 실시예는 시스템의 작업 처리량을 증가시키는 처리 및 세척 사이에 빠르고 효과적으로 스위칭하기 위한 장치를 허용함으로써 본 발명의 세척 방법을 용이하게 한다.
실시예에서, 덮개 어셈블리는 하나 이상의 가스와 가스를 처리 챔버에 분산시키기 위한 다수의 홀을 포함하는 복수의 가스 분배 플레이트를 수용하기 위한 가스 주입구를 가지는 베이스 플레이트를 포함한다. 덮개 어셈블리는 가스 분배 홀보다 덜 저항적인 유동적인 흐름을 제공하는 베이스 플레이트에 하나 이상의 바이패스 통로를 포함한다. 세척 동안, 예를 들어, 적어도 일부의 세척 가스는 세척 가스의 속도를 증가시키기 위하여 직접적으로 바이패스 통로를 통하여 챔버로 통과할 것이다. 바람직하게 장치는 가스 분배 홀을 통하여 가스 흐름을 제어하기 위하여 바이패스 통로를 부분적으로 또는 완전히 밀폐하기 위한 밸브 및 제어기 같은 제어 시스템을 포함한다.
본 발명의 장점 및 특징뿐 아니라 이들 및 다른 실시예는 다음 아래 및 첨부된 도면과 관련하여 상세히 기술된다.
A. CVD 반응기의 개관
본 발명의 실시예는 약 500℃ 이상의 온도에서 유전체 막을 증착하는데 사용되는 시스템, 방법 및 장치이다. 특히, 이러한 시스템, 방법 및 장치는 비도핑 유전체 막 및 도핑 유전체 막을 증착시키는데 사용될 수있다. 이와 같은 막은 매우 얕게 도핑 영역, 금속전 유전체층, 금속간 유전체층, 캡핑층, 산화물 충전층 또는 다른 층을 형성하는데 사용될 수 있다. 도 1A는 특정 실시예에 따른 CVD장치(10)의 일실시예에 대한 단면도이다. 유전체층을 증착할 수 있는 것 외에, 본 발명에 따른 장치는 평탄화를 위해 증착된 유전체층의 리플로우를 수행할 수 있으며, 또는 매우 얕은 도핑 영역을 형성할 때 증착된 도핑 유전체층으로부터 도판트를 주입시킬 수있는 고온 가열 능력을 가진다. 더욱이, 본 발명에 따른 장치는 다양한 CVD 챔버 구성 요소 및 웨이퍼 표면을 효율적으로 세척할 수 있다. CVD 장치(10)는 인-시튜 진공 챔버(15)에 다수의 능력을 제공한다. 따라서, 다중처리 단계는 웨이퍼를 한 챔버에서 다른 외부 챔버로 이동시키지 않고 단일 챔버에서 수행될 수 있다. 이것은 대기로부터 습기를 흡수하는 기회를 제거함으로써 웨이퍼 상의 습기 함유량을 감소시킬 수 있으며, 증착된 유전체층에서의 도판트 보유력을 유리하게 증가시킨다. 더욱이, 단일 챔버 내에서 다중처리 단계를 수행하면, 처리의 전체 작업 처리량을 증가시키는 시간을 절약할 수있다.
도 1A를 참조하면, CVD 장치(10)는 진공챔버(15)를 가스 반응 영역(16)에 장착한 밀봉 어셈블리(200)를 포함한다. 가스 분배 플레이트(20)는 플레이트(20)의 관통 홀을 통해, 수직 가동 가열기(25)(웨이퍼 지지 페데스탈 또는 서셉터로 언급됨)상에 배치된 웨이퍼(미 도시됨)에 반응 가스를 분산시키기 위해 가스 반응영역(16)상에 제공된다. CVD 장치(10)는 가열기(25) 상에 지지된 웨이퍼를 가열하기 위해 가열기/리프트 어셈블리(3)를 더 포함한다. 가열기/리프트 어셈블리(30)는 또한 도 1A에 도시된 바와 같이, 플레이트(20)에 근접 배치되어 있으며 점선(13)으로 표시되어 있는 하부 로딩/오프-로딩 위치 및 상부 처리 위치 사이에서 제어 가능하게 이동될 수 있다. 중심 보오드(미 도시됨)는 웨이퍼의 위치에 대한 정보를 제공하기 위해 센서를 포함한다. 이하에 상세히 기술된 것처럼, 가열기(25)는 세라믹, 바람직하게 질화알루미늄으로 밀봉된 저항성으로 가열되는(resistively-heated) 소자를 포함한다. 전형적인 실시예에 있어서, 진공 챔버(15)에 노출된 가열기(25)의 전체 표면은 산화알루미늄(Al2O3 또는 알루미나) 또는 질화알루미늄과 같은 세라믹 재료로 만들어진다. 가열기(25) 및 웨이퍼가 처리 위치(13)에 있을 때, 그들은 장치(10)의 내부벽(17)을 따라 배치된 챔버 라이너(35)에 의해, 그리고 챔버 라이너(35) 및 챔버(15)의 상부 부분에 의해 형성된 환형 펌핑 챔버(40)에 의해 둘러싸여 있다. 이하에 상세히 기술된 것처럼, 챔버 라이너(35)의 표면은 알루미나 또는 질화알루니늄과 같은 세라믹 재료를 바람직하게 포함하며, 상기 세라믹 재료는 가열기(25)에 가열기(25)(고온)와 이 가열기(25)에 비해 훨씬 낮은 온도 상태에 있는 챔버벽(17) 사이의 온도 경사를 낮추기 위해 사용된다.
반응 및 캐리어 가스는 공급 라인(43)을 통해 가스 혼합 박스(또는 가스 혼합 블록)(273)로 공급되며(도 5), 상기 가스 혼합 박스에서는 상기 가스가 혼합되어 플레이트(20)에 전달된다. 가스 혼합 박스(273)는 바람직하게 처리 가스 라이너(43) 및 세척 가스 도관(47)에 결합된 이중 입력 혼합 블록이다. 이하에 상세히 기술된 것처럼, 처리기(50)는 반응 가스 및 캐리어 가스 중 어느 것이 챔버(15) 내로 분산되기 위해 플레이트(20)에 전달되는가를 선택하기 위해 가스 밸브(28)(도 5)를 제어 가능하게 동작시킨다. 도관(47)은 입력 가스를 유입하는 주입구(57)를 가지는 통합 원격 마이크로파 플라즈마 시스템(55)으로부터 가스를 수용한다. 증착 처리 동안, 플레이트(20)에 공급된 가스는 대개는 층 유동(laminar flow)으로 웨이퍼 표면 전반에 걸쳐 균일하게 방사상으로 분배될 수 있는 웨이퍼 표면(화살표 21로 표시됨)쪽으로 배출된다. 세척 가스는 밀봉 어셈블리(200)의 하부벽을 통해 주입구 또는 튜브(도시안됨)로부터 챔버(15)내로 전달될 수있다. 세척 가스는 패스트 가열기(25)의 윗쪽방향으로 그리고 환형 펌핑 채널(40)로 유동된다. 배출 시스템은 진공 펌핑시스템(도시안됨)에 의해 배출라인(60)을 통해 환형 펌핑채널(40)로 가스(화살표 22로 표시됨)를 배출시킨다. 배츨가스 및 잔류물은 바람직하게 스로틀 밸브 시스템(63)에 의해 제어되는 속도에서 환형 펌핑채널(40)로부터 배출라인(60)을 통해 배출된다.
바람직한 실시예에 있어서, CVD장치(10)에서 수행되는 화학적 기상 증착 방법은 종종 서브대기(sub-atmospheric) CVD(SACVD)로 언급되는, 열적 서브대기 압력 방법이다. 전술한 것처럼, 열적 CVD 방법은 적정 막을 생성하기 위해 열 유도 화학 반응(균질 또는 이질)이 발생하는 기판 표면에 반응 가스를 공급한다. CVD 장치(10)에서는 이하에 기술된 것처럼 약 400-800℃ 정도의 온도를 발생시킬 수 있는 저항성으로 가열되는 가열기(25)에 의해 열이 분배된다. 이와 같이 열을 분배하면, 챔버(15) 내에서 다중 단계 처리로 증착, 리플로우 및/또는 드라이브-인, 세척, 및/또는 시이즈닝(seasoning)/게터링 단계를 달성하기 위해 웨이퍼 상에 균일하고 신속하게 열을 가할 수 있다. 대안적으로, 제어된 플라즈마는 RF 전력 공급원(미 도시됨)으로부터 가스 분배 플레이트(20)에 인가된 RF 에너지에 의해 웨이퍼에 인접하여 형성될 수 있다. 낮은 RF 전극을 더 가진 실시예에 있어서, RF 전력 공급원은 처리 챔버(15) 내로 유입된 반응종의 분해를 강화하기 위해서 단일 주파수 RF 전력을 플레이트(20)에 공급하거나 혼합된 주파수를 가진 RF 전력을 플레이트(20) 및 더 낮은 RF 전극에 공급할 수 있다. 플라즈마 처리에서, 기상 증착 장치(10)의 소자의 일부는 이하에 기술된 것처럼 RF 에너지를 수용하기 위해 수정될 수 있다.
CVD 장치(10)에 구성 요소로서 제공되는 원격 마이크로파 플라즈마 시스템(55)은 벽(17) 및 다른 소자를 포함하는 챔버(15)의 여러 소자로부터 바람직하지 않은 증착 잔류물을 주기적으로 세척하기 위해 사용된다. 더욱이, 원격 마이크로파 플라즈마 시스템(55)은 적정 응용에 따라, 웨이퍼의 표면으로부터 고유 산화물 또는 잔류물을 세척 또는 에칭할 수 있다. 비록 라인(57)을 통해 플라즈마 시스템(55)으로 유입되는 가스가 플루오르, 염소 및 다른 라디칼을 제공하기 위해 플라즈마를 생성하는 반응성 세척 가스일지라도, 원격 마이크로파 플라즈마 시스템(55)은 입력 라인(57)을 통해 시스템(55)으로 증착 반응 가스를 입력함으로써 플라즈마 강화 CVD 막을 증착하는데 사용될 수 있다. 일반적으로, 원격 마이크로파 플라즈마 시스템(55)은 플레이트(20)를 통해 챔버(15)에 가스를 분산시키는 도관(47)을 통해 전달되는 에칭 라디칼을 사용하여 플라즈마를 형성하기 위해 마이크로파 방사에 의해 에너지가 부여되는 가스를 입력 라인(57)을 통해 유입한다. 플라즈마 시스템(55)의 더 상세한 설명은 이하에 기술된다. 장치(10)의 몇몇 실시예는 플라즈마 능력을 제공하기 위해 무선 주파수(RF) 플라즈마 시스템을 포함할 수 있다.
모터 및 광 센서(미 도시됨)는 제어판 밸브 시스템(63) 및 가열기(25)와 같은 기계적인 가동 어셈블리의 위치를 이동 및 결정하기 위해 사용된다. 가열기/리프트 어셈블리(30), 모터, 게이트 밸브(280), 제어판 밸브 시스템(63), 원격 마이크로파 플라즈마 시스템(55) 및 다른 시스템 구성 요소들은 일부만이 공지되어 있으며, 제어라인(65)을 통해 처리기(50)에 의해 제어된다.
처리기(50)는 CVD 장치의 모든 동작을 제어한다. 시스템 제어기로서 동작하면, 처리기(50)는 처리기(50)에 결합된 메모리(70)에 저장된 컴퓨터 프로그램인 시스템 제어 소프트웨어를 실행시킨다. 바람직하게, 메모리(70)는 하드 디스크 드라이브일 수 있으며, 다른 종류의 메모리일 수도 있다. 하드 디스크 드라이브(예를 들어, 메모리(70)) 외에, CVD 장치(10)는 플로피 디스크 드라이브 및 카드 래크(card rack)를 포함한다. 처리기(50)는 타이밍, 가스 혼합, 챔버 압력, 챔버 온도, 마이크로파 전력 레벨, 서셉터 위치 및 다른 특정 처리 파라미터를 지시하는 명령 세트를 포함하는 시스템 제어 소프트웨어의 제어 하에서 동작한다. 예를 들어 디스크 드라이브 또는 다른 적정 드라이브에 삽입된 다른 컴퓨터 프로그램 부품 또는 플로피 디스크를 포함하는 다른 메모리 상에 저장된 것과 같은 다른 컴퓨터 프로그램은 처리기(5)를 동작시키기 위해 사용될 수 있다. 시스템 제어 소프트웨어는 이하에서 상세히 기술된다. 카드 래크는 단일 보오드 컴퓨터, 아날로그 및 디지털 입력/출력 보오드, 인터페이스 보오드 및 스테퍼 모터 제어 보오드를 포함한다. CVD 장치(10)의 다양한 부분은 보오드, 카드 케이지 및 커넥터 차수 및 형태를 한정하는 Versa Modular European(VME) 표준에 따른다. VME 표준은 16비트 데이터 버스 및 24비트 어드레스 버스를 가진 버스 구조를 한정한다.
사용자 및 처리기(50) 사이의 인터페이스는 다중챔버 시스템에서 챔버 중 하나로서 기술되는 CVD 장치(10)와 시스템 모니터의 단순화된 도면인 도 1B에 도시된 CRT 모니터(73a) 및 라이트 펜(73b)에 의한다. CVD 장치(10)는 바람직하게 장치(10)에 대한 전기, 배관 및 다른 지지기능을 포함 및 제공하는 메인프레임 유니트(75)에 부착된다. CVD(10)의 기술된 실시예와 호환 가능한 전형적인 메인프레임 유니트는 어플라이드 머티어리얼스 인코포레이티드사에 의해 제조된 정밀도 5000tm 및 센튜라 5200TM 시스템으로서 상업적으로 이용되고 있다. 다중챔버 시스템은 진공을 파괴하지 않고 그리고 다중챔버 시스템 외부에서 웨이퍼를 습기 또는 다른 오염물에 노출시키지 않고 챔버 사이에서 웨이퍼를 이동시키는 능력을 가진다. 다중챔버의 다른 장점은 다중챔버 시스템의 다른 챔버가 전체 공정에서 다른 목적을 위해 사용될 수 있다는 점이다. 예를 들어, 하나의 챔버는 산화물을 증착시키기 위해 사용될 수있으며, 다른 챔버는 고속 열 처리를 수행하기 위해 사용될 수있으며, 또 다른 챔버는 산화물을 세척하기 위해 사용될 수 있다. 이 처리는 다중챔버 시스템내에서 인터럽트되지 않고 계속해서 수행할 수 있어서, 다른 처리 부분에 대한 다양한 개별적인 각 챔버(다중챔버 시스템이 아님) 사이에서 웨이퍼를 전달할 때 종종 발생하는 웨이퍼의 오염을 방지할 수 있다.
두 개의 모니터(73a)가 사용되는 바람직한 실시예에 있어서, 한 모니터는 오퍼레이터를 위해 세척실 벽 내에 장착되며, 다른 모니터는 서비스 기술자를 위한 세척 실 벽 뒤에 장착된다. 양 모니터(73a)는 동일 정보를 동시에 디스플레이하나, 단지 하나의 라이트 펜(73b)만이 사용 가능하다. 라이트 펜(73b)은 펜의 끝에 있는 광 센서를 사용하여 CRT 디스플레이에 의해 방사된 광을 검출한다. 특정 스크린 또는 기능을 선택하기 위해서, 오퍼레이터는 디스플레이의 스크린의 지시 영역을 터치하여 펜(73b) 상의 버튼을 누른다. 터치된 영역은 그것의 가장 밝은 부분의 색을 변화시키며, 새로운 메뉴 또는 스크린은 라이트 펜 및 디스플레이 스크린 사이의 통신을 따라 디스플레이된다. 물론, 키보오드, 마우스 또는 다른 포인팅 또는 통신 장치와 같은 다른 장치는 사용자가 처리기(50)와 통신할 수 있도록 광 펜(73b) 대신에 또는 광 펜(73b)에 부가하여 사용될 수 있다.
도 1C는 세척실 내에 배치된 가스 공급 패널(80)과 관련하여 CVD장치(10)를 기술한다. 전술한 것처럼, CVD 장치(10)는 가열기(25)를 가진 챔버(15), 가스 라인(43) 및 도관(47)을 가진 가스 혼합 박스(273), 및 입력라인(57)을 가진 원격 마이크로파 플라즈마 시스템(55)을 포함한다. 전술한 것처럼, 가스 혼합 박스(273)는 증착 가스 및 세척 가스 또는 다른 가스를 혼합하여 주입 튜브(43)를 통해 처리 챔버(15)에 주입하기 위해 사용된다. 도 1C에 도시된 것처럼, 원격 마이크로파 플라즈마 시스템(55)은 챔버(15) 위에 배치된 가스 혼합 박스(273) 및 게이트 밸브(280)까지 챔버(15)의 측면을 따라 확장하는 도관(47)과 함께 챔버(15) 아래에 배치 및 장착된다. 유사하게, 챔버(15)를 따라 가스 혼합 박스(273)까지 확장하는 가스 공급 라인(43)은 가스 공급 패널(80)로부터 라인(83, 85)을 통해 반응 가스가 공급된다. 가스 공급 패널(80)은 특정 응용에 사용되는 바람직한 공정에 따라 변화할 수 있는 가스 또는 액체를 포함하는 가스 또는 액체 가스 공급원(90)에 대한 라인을 포함한다. 가스 공급 패널(80)은 라인(85)을 통해 공급 라인(43)에 가스 혼합 박스(273)에 혼합하여 전달하기 위해, 소스(90)로부터 증착 처리 및 캐리어 가스(또는 기화된 액체)를 수용하는 혼합 시스템(93)을 가진다. 일반적으로, 각각의 처리 가스를 위한 공급 라인은 (i) 라인(85, 57)로의 처리 가스의 흐름을 자동 또는 수동으로 차단하기 위해 사용될 수 있는 차단 밸브(95), 및 (ii) 공급 라인을 통해 흐르는 가스 또는 액체의 흐름을 측정하는 매스 흐름 제어기(100)를 포함한다. 중독성 가스(예를 들어, 오존 및 세척 가스)가 공정에 사용될 때, 여러 차단 밸브(95)는 종래 구조에서 각 가스 공급 라인 상에 배치될 수 있다. 예를 들어 테트라에틸오르토실란(TEOS), 헬륨(He), 및 질소(N2), 및 선택적으로 트리에틸포스페이트(TEPO), 트리에틸보레이트(TEB), 및/또는 다른 도판트 소스를 포함하는 증착 및 캐리어 가스가 가스 혼합 시스템(93)에 공급되는 속도는 액체 또는 가스 매스 흐름 제어기(MFC)(미 도시됨) 및/또는 밸브(미 도시됨)에 의해 제어된다. 몇몇의 실시예에 있어서, 가스 혼합 시스템(93)은 TEOS 및 TEPO와 같은 반응 가스를 기화시키는 액체 주입 시스템을 포함한다. 이들 실시예에 따르면, 인 소스를 포함하는 TEPO, 실리콘 소스와 같은 TEOS 및 산소(O2) 또는 오존(O3)과 같은 하나 이상의 가스 산소 소스를 포함하는 혼합물은 가스 혼합 시스템(93)으로 형성될 수 있다. TEPO 및 TEOS는 종래 보일러형 또는 버블형 가열 박스에 의해 기화될 수 있는 모든 액체 소스이다. 액체 주입 시스템은 그것이 가스 혼합 시스템으로 유입되는 반응성 가스량을 제어하기 때문에 바람직하다. 기화된 가스는 가열된 라인(85)에 전달되기 전에 헬륨과 같은 캐리어 가스와 가스 혼합 시스템에서 혼합된다. 물론, 도판트, 실리콘 및 산소의 다른 소스가 사용될 수 있다는 것이 인식된다.
더욱이, 가스 공급 패널(80)은 처리기(50)의 제어 하에서 처리 가스 라인(83)을 따라 가스 공급 라인(43)에 또는 세척 가스 라인(57)을 따라 원격 마이크로파 플라즈마 시스템(55)에 N2를 가진 세척 가스를 선택적으로 전달할 수 있는 스위칭 밸브(95)를 포함한다. 처리기(50)에 의해 스위칭 밸브(95)가 입력 라인(57)을 통해 플라즈마 시스템(55)에 N2를 가진 세척 가스를 전달할 때, 챔버(15)로부터 떨어져 있는 플라즈마는 마이크로파 에너지를 인가함으로써 형성되며 세척 라디칼은 가스 도관(47)에 전달되도록 형성된다. 처리기(50)에 의해 또한 다른 밸브(96)가 가스 공급 라인(43)으로 라인(83)을 통해 오존을 전달하고 가스 공급 라인(43)으로 가열된 라인(85)을 통해 가스 혼합 시스템(93)으로부터 증착 및 캐리어 가스를 전달할 수 있다. 대체적인 실시예에 있어서, 밸브(95)는 그 출력에서 단지 라인(97)에 접속되며 세척 가스 및 N2가 라인(97)을 통해 스위칭 밸브(105)(미 도시됨)로 전달되게 한다. 주입구(57) 및 원격 시스템(55)에 근접한 포인트에 위치할 때, 이 실시예의 스위칭 밸브(105)는 원격 마이크로파 시스템(55)에의 주입구(57) 및 주입구(43)에 이르는 라인(83)에 접속된다. 특정 실시예에 있어서, 게이트 밸브(280)는 챔버(15) 내로 흐르는 세척 가스 또는 증착 가스를 선택하기 위해, 시스템 소프트웨어 컴퓨터 프로그램으로부터의 명령을 사용하는 처리기(50)에 의해 제어될 수 있다.
마이크로파 전력 공급원(10) 및 오조네이터(ozonator; 115)는 장치(10)의 챔버(15)가 위치하는 세척실로부터 멀리 떨어져 배치된다. 전력 공급원(110)은 원격 플라즈마 시스템(55)의 전자관에 전력을 공급한다. 오조네이터(115)는 소스(90) 중 적어도 하나의 출력으로서 오존(O3)을 제공하기 위해 입력되는 산소(O2)에 전력을 공급한다. 다른 실시예에 있어서, 전력 공급원(110) 및 오조네이터(115)는 멀리 떨어져 배치되어 있지 않고 세척실 내에 배치될 수 있다. 더욱이, 다중 오존 소스 및/또는 다중 원격 마이크로파 플라즈마 시스템(55)을 필요로 하는 다중챔버 시스템에서는, 다중 오조네이터(115) 및 다중 전력 공급원(110)이 제공될 수 있다.
막을 증착하고, 세척을 수행하며 리플로우 또는 드라이브 인 단계를 수행하는 방법은 처리기(50)에 의해 실행되는 컴퓨터 프로그램을 이용하여 수행될 수 있다. 이 컴퓨터 프로그램 코드는 예를 들어, 68000 어셈블리 언어, C, C++, 파스칼, 포트란 또는 다른 언어와 같은 종래 컴퓨터 판독 가능 프로그래밍 언어로 기록될 수 있다. 적절한 프로그램 코드는 종래 텍스트 편집기를 사용하여 단일 파일 또는 다중 파일로 입력되며 컴퓨터 메모리 시스템과 같은 컴퓨터 사용가능 매체 내에 저장될 수 있다. 만일 입력된 코드 텍스트가 높은 수준의 언어라면, 코드는 컴파일되며, 결과적인 컴파일러 코드는 미리 컴파일된 윈도우 라이브러리 루틴의 목적 코드와 링크된다. 링크된 컴파일 목적 코드를 실행하기 위해서, 시스템 사용자는 목적 코드를 호출하여, 컴퓨터 시스템은 CPU가 프로그램에서 식별된 태스크를 수행하기 위해 코드를 판독 및 실행하는 메모리 내에 코드를 로딩할 수 있다.
도 1D는 특정 실시예에 따라, 시스템 제어 소프트웨어, 즉 컴퓨터 프로그램(150)의 계층 제어 구조의 블록도이다. 라이트 펜 인터페이스를 사용하면, 사용자는 CRT 모니터 상에 디스플레이되는 메뉴 또는 스크린에 응답하여 처리 선택기 서브루틴(153)으로 처리 세트 수 및 처리 챔버 수를 입력한다. 특정 처리를 수행하는데 필요한 소정 세트의 처리 파라미터인 처리 세트는 소정 세트 수에 의해 식별된다. 처리 선택기 루틴(153)은, (i) 적정 처리챔버 및 (ii) 적정 처리를 수행하기 위한 처리 챔버를 동작시키기 위해 필요한 적정 세트의 처리 파라미터를 식별한다. 특정 처리를 실행하는 처리 파라미터는 예를 들어, 처리 가스 혼합 및 흐름 속도, 온도, 압력, 마그네트론 전력 레벨과 같은(및, RF 플라즈마 시스템에 갖추어지는 고 및 저주파수 RF 전력 레벨 및 저주파수 RF 주파수 또는 이들 외에) 플라즈마 조건, 냉각가스 압력, 및 챔버벽 온도와 같은 처리 조건에 관련된다. 처리 선택기 서브루틴(153)은 챔버(15)에서 임의의 시간에 처리가 수행되는 형태(증착, 웨이퍼 세척, 챔버 세척, 챔버 게터링, 리플로우)를 제어한다. 임의의 실시예에서는 하나 이상의 처리 선택기 서브루틴이 제공된다. 처리 파라미터는 방법의 형태에 따라 사용자에게 제공되며 라이트 펜/CRT 모니터 인터페이스에 의해 입력된다.
처리를 모니터링하는 신호는 시스템 제어기의 아날로그 입력 보오드 및 디지털 입력 보오드에 의해 제공되며, 처리를 제어하는 신호는 CVD 시스템(10)의 아날로그 출력 보오드 및 디지털 출력 보오드로부터 출력된다.
처리 시퀀서 서브루틴(155)은 처리 선택기 서브루틴(153)으로부터 식별된 처리 챔버 및 처리 파라미터 세트를 수락하고 여러 처리 챔버의 동작을 제어하는 프로그램 코드를 포함한다. 다수의 사용자는 세트 수 및 처리 챔버 수를 입력할 수있으며, 또는 단일 사용자는 다수의 세트 수 및 처리 챔버 수를 입력할 수 있고, 따라서 시퀀서 서브루틴(155)은 선택된 처리를 적절한 순서로 스케줄링하기 위해 동작한다. 바람직하게, 시퀀서 서브루틴(155)은 (i) 챔버가 사용되는 지를 검사하기 위해 처리 챔버의 동작을 모니터링하는 단계, (ii) 사용된 챔버에서 어느 처리가 수행되는가를 결정하는 단계, (iii) 처리챔버의 유용성과 수행될 처리 형태에 기초하여 적정 처리를 수행하는 단계를 실행하기 위한 프로그램 코드를 포함한다. 처리 챔버를 모니터링하기 위해 종래 방법, 이를테면 폴링이 사용될 수 있다. 처리가 수행되는 것을 스케줄링할 때, 시퀀서 서브루틴(155)은 선택된 처리 또는 각 특정 사용자 입력 요구의 "에이지(age)"에 대한 적정 처리 조건과 비교하여 사용된 처리 챔버의 현재 조건, 또는 시스템 프로그래머가 스케줄링 우선성을 결정하기 위해 포함할 수 있는 임의의 다른 요소를 고려하여 설계될 수 있다.
일단 처리 챔버 및 처리 세트 결합이 다음에 실행될 것인지를 시퀀서 서브루틴(155)이 결정하면, 시퀀서 서브루틴(155)은 시퀀서 서브루틴(155)에 의해 결정된 처리 세트에 따라 처리 챔버(15)에서 다중 처리 태스크를 제어하는 챔버 매니저 서브루틴(157a∼157c)에 특정 처리 세트 파라미터를 전송함으로써 처리세트의 실행을 초기화한다. 예를 들어, 챔버 매니저 서브루틴(157a)은 처리 챔버(15)에서 CVD 및 세척 처리 동작을 제어하는 프로그램 코드를 포함한다. 챔버 매니저 서브루틴(157)은 또한 선택된 처리 세트를 실행하기 위해 필요한 챔버 소자의 동작을 제어하는 여러 챔버 소자 서브루틴의 실행을 제어한다. 챔버 소자 서브루틴의 실시예는 기판 위치설정 서브루틴(160), 처리 가스 제어 서브루틴(163), 압력 제어 서브루틴(165), 가열기 제어 서브루틴(167), 플라즈마 제어 서브루틴(170), 종말점 검출 제어 서브루틴(159) 및 게터링 제어 서브루틴(169)이다. CVD 챔버의 특정 구조에 따르면, 일부 실시예는 전술한 모든 서브루틴을 포함하며 다른 실시예는 몇몇의 서브루틴만을 포함한다. 당업자는 처리챔버(15)에서 어느 처리가 수행되는지에 따라 다른 챔버 제어 서브루틴이 포함될 수 있는지를 용이하게 인식할 수 있다. 동작 중에, 챔버 매니저 서브루틴(157a)은 실행된 특정 처리세트에 따라 처리 소자 서브루틴을 선택적으로 스케줄링하거나 호출한다. 챔버 매니저 서브루틴(157a)은 어떤 처리 챔버(15) 및 처리 세트가 다음에 실행될 것인가를 시퀀서 서브루틴(155)이 스케줄링하는 것과 마찬가지로 처리 소자 서브루틴을 스케줄링한다. 전형적으로, 챔버 매니저 서브루틴(157a)은 여러 챔버 소자를 모니터링하는 단계와, 실행될 처리세트에 대한 처리 파라미터에 기초하여 소자가 동작될 필요성이 있는지를 결정하는 단계와, 모니터링 및 결정 단계에 응답하여 챔버 소자 서브루틴의 실행을 초기화하는 단계를 포함한다.
특정 챔버 구성 요소 서브루틴의 동작은 도 1D를 참조로 하여 이제 기술될 것이다. 기판 위치 설정 서브루틴(160)은 기판을 가열기(25) 상에 로딩하여, 기판과 가스 분배 매니폴드(20) 사이의 공간을 제어하기 위해 챔버(15) 내에서 기판을 적정 높이로 들어올리도록 사용되는 챔버 구성 요소를 제어하는 프로그램 코드를 포함한다. 기판이 처리 챔버(15) 내로 로딩될 때, 가열기(25)는 기판을 수용하기 위해 하강한 다음 적정 높이로 상승된다. 동작 중에, 기판 위치 설정 서브루틴(160)은 챔버 매니저 서브루틴(157a)으로부터 전달되는 지지 높이에 관련된 처리세트 파라미터에 응답하여 가열기(25)의 이동을 제어한다.
처리 가스 제어 서브루틴(163)은 처리 가스 성분 및 흐름 속도를 제어하기 위한 프로그램 코드를 가진다. 처리 가스 제어 서브루틴(163)은 안전 차단 밸브의 개방/폐쇄 위치를 제어하며, 적정 가스 흐름 속도를 얻기 위해 매스 흐름 제어기를 업/다운시킨다. 처리 가스 제어 서브루틴(163)은 모든 챔버 소자 서브루틴과 마찬가지로 챔버 매니저 서브루틴(157a)에 의해 호출되며, 챔버 매니저로부터 적정 가스 흐름 속도에 관련된 서브루틴 처리 파라미터를 수신한다. 전형적으로, 처리가스 제어 서브루틴(163)은 가스 공급 라인을 개방시켜서, (i) 필요한 매스 흐름 제어기를 판독하며, (ii) 챔버 매니저 서브루틴(157a)으로부터 수신된 적정 흐름 속도와 상기 판독값을 비교하며, (iii) 필요에 따라 가스 공급라인의 흐름 속도를 조절하는 단계를 반복적으로 수행한다. 더욱이, 처리 가스 제어 서브루틴(163)은 불안전한 가스 흐름 속도를 모니터링하며 불안전한 조건이 검출될 때 안전 차단 밸브를 동작시키는 단계를 포함한다. 처리가스 제어 서브루틴(163)은 또한 선택되는 적정 처리(세척 또는 증착 등)에 따라 세척 가스 및 증착 가스에 대한 가스 조성 및 흐름 속도를 제어한다. 대체적인 실시예는 하나 이상의 처리 가스 제어 서브루틴(613)을 가질 수 있으며, 각 서브루틴(163)은 특정 처리 형태 또는 가스 라인의 특정 세트를 제어한다.
몇몇의 처리 중에, 질소 또는 아르곤과 같은 불활성 가스는 반응 처리 가스가 유입되기 전에 챔버 내의 압력을 안정시키기 위해 챔버(15) 내로 흐르게 된다. 이들 처리에서, 처리 가스 제어 서브루틴(163)은 챔버 내의 압력을 안정시키기 위해 필요한 시간동안 불활성 가스를 챔버(15) 내로 흐르게 하는 단계를 포함하도록 프로그램되어 적절히 수행된다. 더욱이, 처리가스가 액체 선구물질, 예를 들어 TEOS로부터 기화될 때, 처리 가스 제어 서브루틴(163)은 버블러 어셈블리에서 액체 선구물질을 통해 헬륨과 같은 전달 가스를 버블링하는 단계와, 액체 주입 시스템에 헬륨과 같은 캐리어 가스를 유입시키는 단계를 포함하도록 기록된다. 버블러가 이러한 형태의 처리를 위해 사용될 때, 처리 가스 제어 서브루틴(163)은 적정 처리가스 흐름 속도를 얻기 위해 전달 가스의 흐름, 버블러 내의 압력 및 버블러 온도를 조절한다. 전술한 것처럼, 적정 처리가스 흐름 속도는 처리 파라미터로서 처리가스 제어 서브루틴(163)에 전달된다. 더욱이, 처리가스 제어 서브루틴(163)은 주어진 처리가스 흐름 속도에 대한 필요 값을 포함하는 기억 테이블에 접근함으로써, 적정 처리가스 흐름 속도에 대한 필요 전달 가스 흐름 속도, 버블러 압력 및 버블러 온도를 얻기 위한 단계를 포함한다. 일단 필요 값이 얻어지면, 전달가스 흐름 속도, 버블러 압력 및 버블러 온도는 모니터링되며, 필요 값과 비교된 후 조절된다.
압력 제어 서브루틴(165)은 챔버의 배출 시스템에서 스로틀 밸브의 구멍 크기를 조절함으로써 챔버(15)의 압력을 제어하기 위한 프로그램 코드를 포함한다. 스로틀 밸브의 구멍 크기는 총 처리 가스 흐름, 처리 챔버의 크기, 및 배출 시스템에 대한 펌핑 설정 포인트 압력에 관련하여 목표된 레벨로 챔버 압력을 제어하도록 설정된다. 압력 제어 서브루틴(165)은 챔버의 배출 시스템에서 스로틀 밸브의 구멍 크기를 조정함으로써 챔버(15)내의 압력을 제어하기 위한 프로그램 코드를 포함한다. 스로틀 밸브의 구멍 크기는 전체 처리가스 흐름, 처리 챔버의 크기, 및 배기시스템용 펌핑 세트포인트 압력과 관련하여 적정한 레벨로 챔버 압력을 제어하기 위하여 설정된다. 압력 제어 서브루틴(165)이 실시될 때, 적정한 또는 타겟 압력 레벨은 챔버 매니저 서브루틴(157a)으로부터 파라미터로서 수신된다. 압력 제어 서브루틴(165)은 챔버에 연결된 하나 이상의 종래의 압력 나노미터를 판독함으로써 챔버(15)내의 압력을 측정하고, 타겟 압력과 측정치를 비교하고, 기억된 압력표로부터 타겟 압력에 상응하는 PID(비례, 적분, 및 미분) 값을 얻고, 및 압력표로부터 얻어진 PID 값에 따라 스로틀 밸브를 조절한다. 대체적으로, 압력 제어 서브루틴(165)은 적정한 레벨로 챔버(15) 내의 압력을 조절하기 위하여 특정한 구멍 크기로 스로틀 밸브를 개폐하도록 기록될 수 있다.
가열기 제어 서브루틴(167)은 가열기(25)(및 어떤 기판)를 저항성으로 가열하는데 사용되는 가열 엘리먼트(473)의 온도를 제어하기 위한 프로그램 코드를 포함한다. 도 1E를 참조하면, 챔버 관리 서브루틴(157a)에 의해 실시된 가열기 제어 서브루틴(167)은 입력으로서 적정한 타켓/세트포인트 온도 파라미터 Tdes를 수신한다(단계 580). 단계 582에서, 가열기 제어 서브루틴(167)은 가열기(25)에 위치된 열전쌍의 전압 출력을 측정함으로써 가열기(25)의 현재 온도를 측정한다. 현재 온도는 T(k)라 규정하며, 여기서 k는 가열기 제어 서브루틴(167)의 현재 시간 단계이다. 제어기는 4차 다항식을 이용하여 온도를 계산하거나 기억된 전환표에서 상응하는 온도를 룩업함으로써 열전쌍 전압으로부터 온도 T(k)를 얻는다. 실시예에서, 단계 584에서의 가열기 제어 서브루틴(167)은 온도 에러를 계산한다. Errtemp라 규정된 온도 에러는 방정식 Errtemp = Tdes - T(k)에 의해 결정된다.
단계 584에서, 가열기 제어 서브루틴(167)은 Errtemp(k)의 절대치를 기초로 하여 두 개의 제어 알고리즘 중 하나를 선택할 수 있다. 온도 에러의 절대치가 미리 결정된 한계 에러보다 작을 경우, 가열기 제어 서브루틴은 온도 조절기 알고리즘을 선택할 것이다(단계 586 및 588). 이 알고리즘은 적정한 온도 Tdes로 온도를 정확하게 제어한다. 온도 에러의 절대치가 한계 에러보다 클 경우, 가열기 제어 서브루틴(167)은 온도 상승 제어 알고리즘을 선택할 수 있다(단계 590). 이 알고리즘은 가열기 온도가 적정한 온도 Tdes에 이르는 속도를 제어한다. 즉, 온도 변화율을 제어한다.
온도 조절기 알고리즘(단계 586 및 588)은 가능한 한 Tdes에 가깝게 현재 온도 T(k)를 유지하기 위하여 가열기(25)에 내장된 가열 엘리먼트로 전달된 전력을 갱신하기 위하여 피드백 및 피드포워드를 사용한다. 이 알고리즘에서 피드포워드 제어는 챔버에 공급된 RF 전력 및 가스 흐름의 형태와 양이 주어지는 적정한 온도를 유지하는데 필요한 전력량을 평가한다. 피드백 제어는 온도 에러 Errtemp(k)의 다이나믹 동작을 기초로 하여 평가된 피드포워드 전력을 조절하기 위하여 표준 비례 적분미분(PID) 제어를 사용한다. 이들 루틴이 온도 상승 속도를 제어하기 위하여 탐색되면, 이 루틴들은 시변 Tdes(k)을 한정하고 이어서 적정 온도 궤도를 추적하기 위하여 상기한 PID 제어기를 사용한다.
본 발명에 있어서, 가열기 제어 서브루틴(167)은 바람직하게는 온도 에러의 절대치가 한계 에러보다 클 때 가열기(25)에의 전력을 제어하기 위하여 온도 상승 제어 알고리즘(단계 590)을 사용한다. 이 알고리즘은 시간 단계 k에서 온도 변화율인 T'(k)를 제어한다. 온도 변화율은 가열기(25)가 세라믹 재료로 만들어지기 때문에 제어되어야 하며, 세라믹 재료는 온도 변화가 너무 빠르면 열 충격으로 부서질 수 있다. 상승 제어 알고리즘은 소정의 적정 상승 속도 함수 T'des(k)로 T'(k)를 제어하기 위하여 피드포워드 및 비례 피드백 제어를 사용한다. 적정 상승 속도는 다양한 온도에서 가열기(25)의 열 충격 저항에 의하는, 주로 가열기의 온도의 함수이다. 그러므로, 적정 상승 속도는 가열기의 현재 측정된 온도를 기초로 하여 연속적으로 변화할 수 있으며, 또는 특정 처리의 온도 범위 내의 열 충격을 피하기에 충분히 낮은 최소 속도를 기초로 하여 일정하게 설정될 수도 있다. 제어 알고리즘은 시스템 응답을 댐핑하기 위하여 가열기에 공급된 전력의 변화율에 대한 포화 함수를 사용하여 상승 속도에서 발진을 감소시킨다.
시간설정된 온도 궤도를 추적함으로써 상승 속도를 조절하고자 하는 제어기는 기껏해야 적정 온도 Tdes(K)가 앞으로 어떤 시점 K에서 달성될 수 있다는 것만을 보장할 수 있다. 길이 K의 전체 시간 간격에 걸친 평균 상승 속도는 T'des이다. 그러나, 온도 T'(k)의 임시 변화율은 상기 시간 동안 폭넓게 변화할 것이다. 외란이 K보다 작은 시간 간격 동안만 온도를 안정 상태로 유지할 수 있도록 하는 경우를 고려한다. 그 경우, 제어기는 적정 궤도 Tdes(k)로 가능한 한 빨리 "캐치 업(catch up)"하기 위한 시도를 할 것이다. 제어기가 캐치 업하는 동안 시간 간격에 대한 상승 속도는 T'des보다 더 클 것이다. 상기 시나리오는 열 충격 파손을 초래한다. 상승 속도를 직접 제어함으로써, 본 발명은 이런 가능한 시나리오를 피한다.
도 1E에는 예시적인 상승 제어 알고리즘이 도시된다. 적정 온도 Tdes가 입력되면(단계 580), 현재 온도 T(k)가 측정되고(단계 582), 온도 에러가 결정되며, 상승 제어 알고리즘은 수치 미분 기술을 이용하여 실제 상승 속도 T'(k)를 계산한다. T(k)의 현재 값을 기초로 한 적정 상승 속도 T'des(T(k)), 및 상승 속도에서의 에러 Errrrate=T'des(T(k))-T'(k)를 계산한다(단계 592 및 594). 실제 상승 속도 T'(k)는 온도 측정 샘플의 범위에 걸쳐 측정된 온도 T(k)로부터 계산된다. 일반적으로, T'des(T(k))는 다양한 실시예에서 온도의 연속 함수일 것이다. 특정 실시예에서 T'des(T(k))는 미리 결정된 상수 값으로 설정된다. 계산된 상승 속도 T'(k)는 소정의 샘플 속도(예를 들면, 특정 실시예에서 전력 갱신 주기 1초에 10번)로 온도를 샘플링(즉, 측정)함으로써 결정된다. 이어서, 10 샘플의 평균은 계산되어 이전의 10 샘플의 평균과 비교된다. 첫 번째 10 측정 온도 및 이전의 10 측정 온도의 평균 사이의 차는 평균 측정 온도를 얻기 위하여 전력 갱신 주기에 의해 분할된다. 이어서 평균 측정 온도의 도함수는 계산된 상승 속도 T'(k)에 도달하도록 계산된다. 상승 속도 에러 ErrRRate는 특정 실시예(단계 594)에서의 계산된 상승 속도 T'(k) 및 상수값 T'des(T(k)) 사이의 차를 취함으로써 결정된다. 상기 실시예는 사용될 수 있는 수치 미분 기술의 일 예이지만, 더 복잡해질 수 있는 다른 기술이 다른 실시예에 사용될 수 있다. 다른 실시예에서, 다른 샘플 속도가 사용될 수도 있다.
단계 596에서 상세하게 설명하기 위하여, 특정 실시예에서 사용된 예시적인 제어 함수가 다음 방정식에 의해 주어진다:
P(k+1) = Pmodel[T(k),T'des(T(k))] + Kp*[T'des(T(k))-T'(k)]
여기서 k는 현재 시간 단계이며 k+1은 다음 시간 단계이다. P(k+1)은 다음 시간 단계에서 가열기에 공급되는 전력이다. 적정한 상승 속도 및 측정 온도의 함수인 Pmodel[T(k),T'des(T(k))]은 온도 T(k)에서 T'des(T(k))의 상승 속도를 제공하기 위하여 필요한 전력으로 모델링된다. Kp는 상승 속도 에러 ErrRRate로 승산되며 사용자 한정된 제어 이득 상수(와트/(℃/초))이다. 특정 실시예에서, Pmodel[T(k),T'des(T(k))]은 P(k)로서 접근될 수 있다. 이 접근은 본 발명의 큰 열용량을 갖는 저항성 가열기와 같은 느린 시스템에 특히 양호하다. 제어 함수는 다음 방정식에 의해 접근된다:
P(k+1) = P(k) + Kp*[T'des(T(k))-T'(k)]
P(k+1) - P(k) = Kp*[T'des(T(k))-T'(k)]
가열기의 응답이 늦기 때문에, 시간 사이에 지연이 있어 전력이 조절되고 상기 조절은 적정한 결과를 가져온다. 예를 들면, 가열기의 온도가 안정되고 적정 상승 속도가 양의 값이면, 제어기는 가열기에 대한 전력을 증가시킬 것이다. 그러나, 온도는 적정 상승 속도에 따라 즉시 상승하지는 않는다. 다음 시간 단계에서 제어기는 전력을 더 증가시킨다. 이것은 적정 상승 속도가 충족될 때까지 전력을 계속 증가시킨다. 그러나, 그때 공급된 전력은 적정 상승 속도를 유지하는데 필요한 것보다 더 크게 된다. 상승 속도는 적정값 이상까지 계속 증가한다. 이것을 소위 오버슈트라 한다. 제어기는 전력을 감소시킴으로써 반작용하고 상승 속도는 천천히 감소된다. 다시 한 번, 제어기는 가열기보다 더 빠르게 동작할 수 있고, 그로인해 전력은 너무 많이 감소되고 상승 속도는 그 적정치 이하로 감소된다. 이것은 소위 발진이다. 시간이 경과하여, 발진의 진폭은 감소하고 상승 속도는 일정한 정상 상태 값에 도달한다. 모든 실제 시스템이 조그만 장애를 받기 때문에 작은 정상 상태 에러가 발생된다.
Kp의 값은 오버슈트 및 정상 상태 에러의 크기를 결정한다. Kp가 크면 시스템은 더 발진하지만, 정상 상태 에러는 작게 된다. Kp가 작으면 그 반대로 된다. 전형적으로, Kp는 크게 될 수 있는데, 그 이유는 시스템의 응답을 댐핑하는데, 즉 오버슈트 및 발진을 감소하는데 미분 제어가 사용될 수 있기 때문이다. 정상상태 에러는 적분 제어를 사용함으로써 감소될 수 있지만, 이것은 오버슈트 및 발진을 증가시키는 경향이 있으며 이 제어 알고리즘에서 회피된다. 이 경우, 미분 제어는 이용될 수 없다. 온도의 2차 도함수를 수치적으로 게산할 것이 요구된다. 열전쌍 신호의 신호 대 잡음비가 낮기 때문에, 2차 도함수는 신뢰성있게 계산될 수 없다. 그러므로, 본 발명은 시스템 응답을 댐핑하기 위하여 미분 제어 대신에 정상상태 에러 및 포화 함수(단계 598)를 감소시키고자 큰 Kp를 사용한다. 포화 함수는 Kp가 상승 속도 에러 ErrRRate에 역비례하는 이들 Kp를 효과적으로 스케줄링한다. 시스템 응답의 과도 부분 동안, 에러가 커서 오버슈트가 발생할 때, 유효 이득은 작다. 정상 상태에서, 에러는 작으며, 그로인해 유효 Kp는 크다.
시스템 응답을 댐핑하는데 사용되는 예시적인 포화 함수는 다음 방정식(단계 598)에 의해 주어진다. 이 방정식의 경우, P(k+1)은 상기 주어진 제어 방정식에 의해 한정되는 전력을 나타낸다. P1(k+1)은 가열기에 공급된 실제 전력이다. P'max는 하나의 시간 단계에서 다음 단계로 공급된 전력에서 미리 결정된 최대 허용 가능한 변화이다. 예시적인 포화 함수는 다음과 같다:
> P'max일 경우,
P(k+1)>P(k)에 대하여, P1(k+1)=P(k)-P'max이고,
P(k+1)<P(k)에 대하여, P1(k+1)=P(k)-P'max이다.
그 외의 경우, P1(k+1)=P(k+1)이다.
새로운 용어 Kpeff(k)는 시간 단계 k에서 제어기의 유효 이득으로서 정의될 수 있다. 명백히, P1(k+1)=P(k+1)이면 시간 k에서 유효 이득은 이득 Kp와 같다. 포화 함수가 적용되면, Kpeff(k)는 상기 방정식에서 P1(k+1)에 대하여 P(k+1)을 대체하고 그들을 방정식과 결합함으로써 한정된다:
P(k+1)=Pmodel[T(k), T'des(T(k))] + Kp*[T'des(T(k)) - T'(k)]
용어 Kpeff(k)는 다음과 같다:
P'max = Kpeff(k)*ErrRRate(k);
Kpeff(k) = P'max/ErrRRate(k).
상승 에러율이 클 때 유효 이득을 작게 함으로써 응답에서의 오버슈트 및 발진이 이 포화 함수에 의해 최소화된다. 이것은 불량한 상승 제어 속도로 인한 가열기에 대한 손상 가능성을 감소시킨다. 따라서, 가열기 제어 서브루틴(167)의 상승 제어 알고리즘은 큰 상승 에러율이 발생할 때, 시스템의 응답을 댐핑시키고, 그로인해 보다 효과적인 온도 제어가 가능하게 한다.
플라즈마 제어 서브루틴(170)은 마그네트론 전력 레벨 및 모드(CW 또는 펄스화)를 세팅하기 위한 프로그램 코드를 포함한다. RF 플라즈마 시스템을 갖는 다른 실시예에서, 플라즈마 제어 서브루틴(170)은 챔버(15) 내의 처리 전극에 인가된 저주파 및 고주파 RF 전력 레벨을 설정하고, 사용된 저주파 RF 주파수를 설정하기 위한 프로그램 코드를 포함한다. 물론, 일부 실시예는 마이크로파 전력 레벨에 사용된 하나의 플라즈마 제어 서브루틴(170)과 RF 전력 레벨에 사용된 다른 플라즈마 제어 서브루틴(170)을 가질 수 있다. 이전에 기술된 챔버 성분 서브루틴과 같이, 플라즈마 제어 서브루틴(170)은 챔버 관리 서브루틴(157a)에 의해 실시된다.
플라즈마 제어 서브루틴(170)은 마그네트론 전력 레벨 및 모드(CW 또는 펄스화)를 설정 및 조절하기 위한 프로그램 코드를 포함한다. RF 플라즈마 시스템을 갖는 다른 실시예에서, 플라즈마 제어 서브루틴(170)은 챔버(15) 내의 처리 전극에 인가된 저주파 및 고주파 RF 전력 레벨을 세팅하고, 사용된 저주파 RF 주파수를 설정하기 위한 프로그램 코드를 포함한다. 물론, 일부 실시예들은 마이크로파 전력 레벨에 사용된 어떤 플라즈마 제어 서브루틴(170)과 RF 전력 레벨에 사용된 다른 플라즈마 제어 서브루틴(170)을 가질 수 있다. 이전에 기술된 챔버 관리 서브루틴과 같이, 플라즈마 제어 서브루틴(170)은 챔버 관리 서브루틴(157a)에 의해 실시된다. 게이트 밸브(280)를 갖는 실시예에서, 플라즈마 제어 서브루틴(170)은 마이크로파 전력 레벨의 설정/조절과 협조하기 위하여 게이트 밸브를 개폐시키기 위한 프로그램 코드를 포함한다. 대체적으로, 시스템 소프트웨어는 게이트 밸브(280)를 가지는 실시예에서 별도의 게이트 밸브 제어 서브루틴을 가질 수 있다.
종말점 검출 제어 서브루틴(159)은 광원 및 광 검출기를 제어하고, 흡수로부터 광 밀도변화를 비교하는데 사용하기 위한 광 검출기로부터의 데이터를 수신하고, 및 세척 처리의 종말점을 지시하는 플래그를 상승시키거나 미리 결정된 광 밀도 레벨을 검출할 때 세척처리를 스톱시킴으로써, 종말점을 관리하기 위한 프로그램 코드를 포함한다. 종말점 검출 제어 서브루틴(159)은 챔버 관리 서브루틴(157a)에 의해 실시될 수 있다. 종말점 검출 제어 서브루틴(159)은 하기에 기술된 종말점 검출 시스템을 사용하는 상기한 실시예들에 포함된다. 종말점 검출 시스템을 갖지않는 실시예는 종말점 검출 제어 서브루틴(159)을 사용하거나 가질 필요가 없다는 것을 인식할 것이다.
선택적으로, 게터링 제어 서브루틴(169)은 챔버 관리 서브루틴(157a)에 의해 실시될 수 있다는 것이 포함될 수 있다. 게터링 제어 서브루틴(169)은 챔버 시즈닝(seasoning), 세척 후 플루오르 게터링 등에 사용될 수 있는 게터링 처리를 제어하기 위한 프로그램 코드를 포함한다. 일부 실시예에서, 게터링 제어 서브루틴(169)은 사용된 세척 방법과 결합하여 게터링 제어를 용이하게 하기 위하여 상기 세척 방법 내에 형성된 특정 소프트웨어를 실시할 수 있다.
상기한 CVD 시스템 설명은 주로 일반적인 설명 목적이며 본 발명의 범위의 제한으로서 고려되어서는 안된다. 예시적인 CVD 시스템(10)은 단일 웨이퍼 진공 챔버 시스템이다. 그러나, 다른 CVD 시스템(다중 웨이퍼 챔버 시스템)이 본 발명의 다른 실시예에 사용될 수 있다. 그러나, 본 발명의 어떤 특징들이 도시되고 다중 챔버 처리시스템의 CVD 부분으로서 기술되었지만, 본 발명은 반드시 이러한 방법에 제한되지는 않는다. 즉, 본 발명은 에칭 챔버, 확산 챔버 등과 같은 다양한 처리 챔버에 사용될 수 있다. 설계, 가열기 설계, RF 전력 접속 위치, 소프트웨어 동작 및 구조, 일부 소프트웨어 서브루틴에 사용된 특정 알고리즘, 가스 입구 라인 및 밸브의 구성, 및 다른 변형과 같은 상기한 시스템의 변화가 가능하다. 부가적으로, 전자 사이클로트론 공명(ECR) 플라즈마 CVD 장치, 유도 결합된 RF 고밀도 플라즈마 CVD 장치 등이 사용될 수 있다. 유전체층 및 이러한 층을 형성하기 위한 방법은 어떤 특정 플라즈마 여기 방법에 반드시 제한되지는 않는다.
도 2 및 도 3에 도시된 바와 같이, CVD 장치(10)는 일반적으로 진공 챔버(15) 내의 반도체 웨이퍼를 지지하기 위한 수직으로 이동 가능한 가열기(웨이퍼 페데스탈 또는 서셉터)를 갖는 밀봉 어셈블리(200)를 포함한다. 처리 가스는 웨이퍼상에 다양한 증착 및 에칭단계를 실행하기 위하여 챔버(15) 내로 전달된다. 가스 분배 시스템(205)(도 2 내지 6)은 웨이퍼 상에 가스 소스(90)(도 1C)로부터의 처리 가스를 분배하고, 배출 시스템(210)(도 2-4)은 챔버(15)로부터 처리가스 및 다른 잔류물을 방출한다. CVD 장치(10)는 웨이퍼를 가열하고 챔버 내의 처리 위치 내로 위쪽으로 웨이퍼를 리프팅하기 위한 가열기(25)를 포함하는 가열기/리프트 어셈블리(30)(도 1A, 9-15)를 더 포함한다. 적분 원격 마이크로파 플라즈마 시스템(55)(도 1A 및 16)은 주기적인 챔버 세척, 웨이퍼 세척, 또는 증착단계를 위해 CVD 챔버(10)내에 제공된다.
도 2에 도시된 바와 같이, CVD 장치(10)는 고온 처리 동안에 이들 성분을 냉각시키기 위하여 챔버(15)의 다양한 구성 요소에 냉각제를 전달하기 위한 액체 냉각 시스템(215)을 더 포함한다. 액체 냉각 시스템(215)은 고온 처리에 기인하여 이들 구성 요소 상에의 바람직하지 못한 증착을 최소화하기 위하여 이들 챔버 구성 요소의 온도를 감소시키는 작용을 한다. 액체 냉각 시스템(215)은 가스 분배 시스템(205)에 냉각제를 전달하기 위한 냉각제 매니폴드(미 도시됨)와 가열기/리프트 어셈블리(30)를 통하여 냉각수를 공급하는 한 쌍의 물 접속부(217,219)를 포함한다. 물 흐름 검출기(220)는 가열기 교환기(미 도시됨)로부터 밀봉 어셈블리(200)로의 물 흐름을 검출한다. 장치(10)의 개별 시스템의 바람직한 실시예를 다음에 설명한다.
A. 밀봉 어셈블리
도 2 및 도 6을 참조하면, 밀봉 어셈블리(200)는 알루미늄이나 양극 처리된 알루미늄과 같은 처리 호환가능한 재료로 만들어진 일체식 하우징이다. 밀봉 어셈블리(200)는 어셈블리(200) 내에 내부 리드 어셈블리(230)로 입구 관(43)을 통해 처리 및 세척 가스를 전달하기 위한 외부 리드 어셈블리(225)를 포함한다. 내부 리드 어셈블리(230)는 가열기(25)상에 지지된 웨이퍼(도시하지 않음) 상에 챔버(15)를 통하여 가스를 분산시키는 기능을 한다. 도 2에 도시된 바와 같이, 리드 커버(233)는 밀봉 어셈블리(200)의 상부(즉, 외부 리드 어셈블리) 상의 구성 요소로의 접근을 제공하며, 시스템 동작동안 오퍼레이터가 고온에 노출되는 것을 차단한다. SACVD 처리에 대하여, 리드 커버(233)는 챔버(16)의 가스 완전성을 보장하는 리드 클램프(237)에 클리어런스를 허용하기 위하여 컷아웃(235)를 포함한다. 리드 커버(233)는 일반적으로 예컨대 방지 유지 챔버 세척을 실행하기 위하여 챔버가 개방되지 않으면 대부분의 처리 단계 동안 폐쇄되어 남게 되며, 그로 인해 챔버가 진공 상태가 깨져서 대기 압력 상태로 된다. 리드 힌지(239)는 리드 커버(233)가 폐쇄되는 것을 방지하기 위하여 록킹 래치트 메카니즘(locking ratchet mechanism; 241)을 포함한다.
도 2에 도시된 바와 같이, 밀봉 어셈블리(200)는 진공 록 도어(미 도시됨) 및 슬릿 밸브 개구(243)를 형성하며, 이 슬릿 밸브 개구를 통해 웨이퍼 로딩 어셈블리(미 도시됨)는 처리 챔버(16) 내로 웨이퍼 W를 운반하여 가열기(25) 상에 웨이퍼 W를 로딩한다. 웨이퍼 로딩 어셈블리는 바람직하게는 다중챔버 처리 시스템의 전달 챔버(미 도시됨) 내에 배치된 종래의 로봇 메카니즘이다. 적합한 로봇 전달 어셈블리는 마이단의 미국 특허 제 4,951,601호에 기술되어 있다.
도 3,4,7A 및 7B를 참조하면, 챔버(15) 주위의 밀봉 어셈블리(200)의 내부 벽(245)은 챔버 라이너(250)로 덮혀 있으며, 이 챔버 라이너는 밀봉 어셈블리(200)의 선반(252) 상에 놓여 있다. 챔버 라이너(250)는 처리 가스가 웨이퍼의 후방 측으로 흐르는 것을 억제하는 작용을 한다. 이 외에도, 가열기(25)가 밀봉 어셈블리(200)보다 작은 직경을 갖기 때문에, 라이너(250)는 챔버(15)의 하부로의 가열기(25) 아래의 처리 가스의 흐름을 억제한다. 따라서, 가열기(25)의 하부 및 챔버(15)의 하부 상의 바람직하지 못한 증착이 최소화된다. 더구나, 라이너(250)는 고온 처리 동안 웨이퍼 에지 냉각을 방지하기 위하여, 가열기(25) 상에 웨이퍼의 에지 및 밀봉 어셈블리(200)의 알루미늄 벽 사이의 열 절연을 제공한다. 고온 처리 동안, 라이너(250)는 가열기(25) 상의 웨이퍼의 더 뜨거운 에지(약 550-600℃)로부터 더 냉각된 주위 챔버 벽(약 60℃)으로의 과도한 열 손실을 방지한다. 라이너(250) 없이, 웨이퍼의 에지에서의 열 효과는 웨이퍼에 걸친 온도 균일성에 역효과를 미치며 비균일한 증착을 초래한다. 라이너(250)는 바람직하게는 고온 처리(예를 들면, 500℃ 이상)에 아주 적합한 처리 호환 가능 재료로 형성된 내부(253)를 포함한다. 바람직하게는, 라이너(250)의 내부(253)는 질화 알루미늄, 알루미나 등과 같은 세라믹 재료를 포함하며, 알루미나는 바람직한 재료이다. 내부(253)는 약 0.1 내지 1 인치, 바람직하게는 약 0.2 내지 0.3 인치의 두께를 갖는다.
라이너(250)는 바람직하게는 알루미늄과 같은 세라믹보다 덜 균열되는 재료를 포함하는 외부(255)를 포함한다. 외부(255)는 밀봉 어셈블리의 선반(252) 상에 놓이며, 라이너(250)의 내부(253)를 지지하기 위한 환형 립(254)을 포함한다. 특히 바람직한 실시예에서, 외부(255)는 도 7A 및 7B에 도시된 바와 같이, 내부 에어 갭(259)을 한정하는 복수의, 원주 방향으로 간격지어져 놓인 수직 지주(strut; 257)를 포함한다. 에어 갭(259)은 웨이퍼 온도 균일성을 증가시키기 위하여 외부 챔버 벽으로부터의 라이너(250)의 내부(253)의 절연을 용이하게 한다(반면 웨이퍼의 에지는 가열기 및 웨이퍼 온도에 비해 차가운 주위 챔버 벽 온도 때문에 냉각된다). 이 외에도, 에어 갭(259)은 균열이나 두꺼운 라이너(250)에 발생할 수 있는 다른 열 손상을 최소화하면서 외부 챔버 벽과 가열기(25) 사이의 갭을 브리지시킬 수 있도록 라이너(250)에 두터움을 제공한다. 라이너(250)의 외부(255)는 약 0.5 내지 2 인치의 두께를 가지며, 에어 갭(259)은 약 0.2 내지 1.5 인치의 두께를 갖는다. 환형 커버(261)는 펌핑 채널(40)(아래에 도시됨)의 하부 벽을 형성하기 위하여 외부 라이너(255)의 상부 표면 상에 위치 설정된다. 환형 커버(262)는 펌핑 채널(40) 내의 열 및 처리 가스로부터 라이너(250)의 알루미늄 외부(255)를 차단하기 위하여, 산화 알루미늄이나 질화 알루미늄과 같은 세라믹 재료를 포함한다.
다른 실시예에서(미 도시됨), 라이너(250)는 펌핑 채널(40)의 하부를 형성하는 환형 커버 및 밀봉 어셈블리의 선반(252) 상에 놓여있는 내부 세라믹부(255)만을 포함한다. 이 실시예에서, 외부(255)는 밀봉 어셈블리의 내벽과 세라믹부(255) 사이의 에어 갭(미 도시됨)으로 대체된다. 에어 갭은 밀봉 어셈블리의 냉각 벽으로부터 고온 웨이퍼를 절연하며, 챔버 벽과 가열기(25) 사이의 갭을 브리지하기 위하여 라이너(250)에 두터움을 제공한다.
B. 가스 분배 시스템
도 2 및 6을 참조하면, 외부 리드 어셈블리(225)는 리드 또는 베이스판(265), 냉각제 매니폴드(미 도시됨), 도관(47)을 포함하는 세척 가스 매니폴드(270), 처리 챔버(15)로 입구관(43)을 통하여 처리가스 및 세척 가스를 혼합하여 주입하기 위한 가스 혼합 박스(273), 및 가스 혼합 박스(273)에 세척 및/또는 처리가스를 선택적으로 분배하기 위한 게이트 밸브를 포함한다. 물론, 그것은 게이트 밸브(280)가 선택적이며, 외부 리드 어셈블리(225)는 게이트 밸브 없이 박스(273)에 세척 및/또는 처리가스를 선택적으로 분배하기 위하여 분기될 수 있다는 것을 명백히 알 수 있을 것이다. 도 4에 도시된 바와 같이, 가스 혼합 박스(273), 세척 가스 매니폴드(244) 및 게이트 밸브(280)는 바람직하게는 기판(265)의 상부 표면에, 예를 들면 나사로 고정된다. 제 1 및 제 2 가스 통로(83,85)는 판(265)의 외부에 설치되고 가스 혼합 박스(273)로 연장한다. 가스 통로(83,85)는 각각 오존, TEOS, TEOP, 헬륨, 질소, 세척 가스 등과 같은 가스원(90)(도 1C 참조)에 적당하게 연결된 입구, 및 입구관(43)을 통하여 내부 리드 어셈블리(230)로 전달하기에 앞서 가스를 혼합하기 위한 박스(273) 내에 혼합 영역(93)과 연통하는 출구를 갖는다.
플라즈마 처리를 위하여, CVD 장치(10)는 가스가 차단되지 않고 가스 분배 시스템에서 가스 증착 없이 가스 박스에 고압 RF 전력을 인가하기 위하여 가스 통로(83,85)를 하우징하는 가스 피드-스루(feed-through) 박스(미 도시됨)를 더 포함한다. 예시적인 가스 피드-스루 박스의 설명은 왕의, 미국 특허 제 4,872,947호에 개시되어 있다.
도 6A에 도시된 바와 같이, 세척 가스 매니폴드(70)는 입구(290)로부터 가스를 수용하고, 이들 가스를 유체 통로(293)를 통하여 가스 혼합 박스(273) 내로 향하게 하기 위한 도관(47)을 포함한다. 게이트 밸브(280)는 가스가 가스 혼합 박스(273) 내로 도관(47)을 통과하는 것을 방지하거나 허용하기 위한 통로(293) 내에 위치된 밸브 플러그(미 도시됨)를 포함한다. 게이트 밸브(280)는 핸들(281)을 조작함으로써 수동적으로 동작되거나 처리기(50)에 의해 제어될 수도 있다. 세척 동안, 게이트 밸브(280)는 세척 가스가 플라즈마 시스템(55)을 통하여 박스(273) 내로 통과하는 것을 허용하도록 구성되며, 그들은 웨이퍼나 내부 챔버 벽 및 장치(10)의 다른 성분을 에칭하기 위하여 입구관(43)을 통하여 챔버(15) 내로 향한다.
도 3에 도시된 바와 같이, 챔버(15)의 최상부로부터 측면을 향하여 적절한 굴곡부 및 휨부를 갖는 도관(47)을 갖춘 세척 가스 매니폴드(270)는 장치(10)의 밀봉 어셈블리(200)의 최상부에 일체로 구성된다. 매니폴드(270)의 도관(47)은 장치(10)의 밀봉 어셈블리(200)의 측벽 내에서 일체로 형성된 통로 내부로 통하는 개구부를 가지며, 이 장치(10)는 가열기(25)가 동작하는 고온에 의해 가열된다. 이 통로에는 어플리케이터 튜브(292)로부터의 세척 가스 라디컬의 유입에 의한 에칭 및 부식으로부터 통로의 내부 표면을 보호하는 역할을 하는 내부 라이너(291)가 구비된다. 라이너(291)는 또한 세척 가스 라디컬의 재결합을 방지한다. 세척 가스는 입구(57)로부터 어플리케이터 튜브(292) 내로 유입된다. 라디컬은 플라즈마 시스템(55)의 마그네트론으로부터 방사된 마이크로파 에너지에 의해 어플리케이터 튜브의 세척 가스로부터 생성되고, 밀봉 어셈블리(200)의 챔버(15)의 최하부에 위치된다. 장치(10)의 최하부에 있는 시스템(55)의 위치는 예방적인 유지 세척, 보수 등을 위한 챔버(15)를 위한 서비스 제공을 용이하게 한다. 특히, 최하부-장착된 원격 마이크로파 플라즈마 시스템(55)이 장치(10)의 리드의 최상부에 위치되지 않으므로, 예방적인 유지 세척을 수행하기 위해 장치(10)의 덮개 개방이 용이하게 행해진다. 마이크로파 플라즈마 시스템(55)은 아래에서 더욱 상세히 설명된다. 도 3 및 도 4에 도시된 바와 같이, 입구 튜브(43)는 바람직하게 가공 가스를 챔버(15)에 주입하기 위한 내부 통로(295)와, 세척 가스를 챔버 내부로 향하게 하는 통로(293)와 통하는 외부, 환형 통로(297)을 포함한다.
베이스 플레이트(265)의 최상부 또는 측면에 고정될 수 있는 냉각제 매니폴드는 열 교환기로부터 물 또는 글리콜/물 혼합물과 같은 냉각제 유체를 수용한다. 냉각제는 가공 동안 내부 리드 어셈블리(230)의 성분 및 플레이트(265)로부터 열을 대류 또는 전도로 제거하기 위해 냉각제 매니폴드로부터 베이스 플레이트(265)의 환형 냉각제 채널(93)(도 4 및 도 5)을 통하여 분배된다(아래에서 더욱 상세히 설명됨).
도 2 및 도 5에 도시된 바와 같이, 내부 리드 어셈블리(230)는 일반적으로 가공 및 세척 가스를 챔버(15) 내로 확산시키기 위해 샤워헤드 또는 가스 분배 플레이트(20), 차단기 또는 가스 확산 플레이트(301)와 베이스 플레이트(265)를 포함한다. 플레이트(301,20)는 바람직하게 고온 가공에서 견딜 수 있는 가공-호환 재료로 형성된다. 예를 들어, 플레이트(301,20)는 알루미늄 또는 양극처리된 알루미늄과 같은 금속, 알루미늄 질화물 또는 알루미늄과 같은 세라믹 재료를 포함할 수 있다. 바람직하게, 플레이트(301,20)는 플레이트(301,20)의 표면 상에 가스 증착을 최소화하기 위해 알루미늄 또는 양극처리된 알루미늄과 같은 금속을 포함한다. 특히, 바람직한 실시예에서, 가스 확산 플레이트(301)는 양극 처리된 알루미늄으로 이루어지고 가스 분배 플레이트(20)는 알루미늄으로 이루어진다. 가스 확산 및 분배 플레이트(301,20)는 각각 베이스 플레이트(265)의 하부 표면에 직접 체결된다. 바람직하게, 가스 분배 및 확산 플레이트(301,20)는 각각 다수의 나사산으로 된(threaded) 장착 스크루(303,305)로 베이스 플레이트(265)의 하부 표면에 고정된다. 장착 스크루(303,305)는 베이스 플레이트(265)의 하부 표면과 가스 확산 및 분배 플레이트(301,20)의 표면 사이의 열 전도 교환을 촉진시키기 위해 각각 베이스 플레이트(265)의 하부 표면과 가스 확산 및 분배 플레이트(301,20)의 표면 접촉부 사이에 비교적 견고한 표면간 접촉을 제공한다(아래에서 더욱 상세히 설명됨). 장착 스크루(303,305)는 니켈, HasteloyTM, HaynesTM 등과 같은 가공-호환 재료로 이루어진다.
도 4 및 도 5를 참조하면, 가스 분배 플레이트(20)는 베이스 플레이트(265)의 하부 표면과 플레이트(20)의 접촉 표면의 맞물림을 제공하기 위해 장착 스크루(305)를 수용하는 다수의 홀(315)을 갖춘 외부 플랜지(313)를 갖는 실질적으로 편평한 플레이트(311)이다. 베이스 플레이트(265)는 다수의 가스 분배 홀(315)을 통하여 반도체 웨이퍼로 가공 가스를 균일하게 확산시키기 위해 베이스 플레이트와 가스 분배 플레이트 간에 챔버(317)를 형성하고 베이스 플레이트(265)의 최하부 표면으로부터 가스 분배 플레이트(20)를 이격시키도록 외부 환형 스탠드-오프(stand-off)(316)를 포함한다. 대체적으로, 가스 분배 플레이트(20)는 측벽과 베이스 벽에 의해 형성되는 중앙 배치된 공동을 갖는 접시-형태 장치(도시되지 않음)를 포함할 수 있다.
가스 분배 홀(315)의 크기 및 배열은 가공 특성에 좌우되어 변한다. 예를 들어, 홀(315)은 웨이퍼에 균일한 가스 분배를 제공하기 위해 균등한 간격을 이룬다. 반면에, 필요한 경우, 홀(315)은 불균등한 간격을 이루어 배치된다. 홀(315)은 일반적으로 약 5-100mil 바람직하게는 약 10-50mil 범위의 직경을 갖는다. 바람직하게, 가스 분배 홀(315)은 반도체 웨이퍼 상에서의 증착 균일성을 향상시키도록 설계된다. 홀(상기한 바와 같이 매니폴드 온도 뿐만 아니라)은 매니폴드 외부(최하부) 표면 상에서의 증착 형성을 방지하도록 그리고 특히, 가공 동안 및 가공 후에 벗겨져서 떨어질 수 있는 연성 잔류물의 증착을 방지하도록 설계된다. 대표적인 실시예에서, 홀 어레이는 홀(315)에 대해 동심원을 이룬 링 중의 하나이다. 인접 링 사이의 거리(링-링 간격)는 거의 동일하고, 각 링 내의 홀 사이의 간격은 거의 동일하다. 가스 분배 홀을 위한 적절한 배열에 대한 더욱 완전한 설명은 Wang의 미국 특허 제 4,872,947 호에 개시되어 있고, 이 구체적인 개시는 이미 참조되었다.
가스 확산 플레이트(301)는 가스를 외부 스탠드-오프(316)와 가스 분배 플레이트(20) 간에 형성된 챔버(317) 내로 가스 분배 홀을 통하여 확산시키기 위한 다수의 가스 확산 홀(325)을 포함한다. 베이스 플레이트(265)는 바람직하게 가스가 스탠드-오프(절연체)(318)와 플레이트(301) 사이에 형성된 챔버(320)(도 4 참조) 내로 확산하게 하기 위해 베이스 플레이트(265)를 통하여 흐를 수 있도록 그리고 확산 플레이트(301)가 베이스 플레이트(265)로부터 이격되도록 하기 위한 제 2의 내부 스탠드-오프(318)를 포함한다. 대체적으로, 가스 확산 플레이트(301)는 스탠드-오프(318)보다는 챔버(320)를 형성하기 위한 오목부(도시되지 않음)를 형성한다. 확산 홀(325)은 일반적으로 약 0.02-0.04mm의 직경을 갖는다. 물론 당업자에게는 확산 플레이트(301)가 본 발명의 바람직한 실시예에 포함될 수 있음이 이해될 것이다. 그러나, 가공 가스는 기타 실시예에서, 베이스 플레이트(265)로부터 직접 가스 분배 플레이트(20)의 챔버(317)로 통과될 수 있다.
도 4 및 도 5에 도시된 바와 같이, 베이스 플레이트(265)는 가공 가스를 가스 확산 플레이트(301)에 전달하고 전체 내부 리드 어셈블리(230)를 가공 챔버의 메인 프레임 유닛에 장착하는 기능을 하는 일체로 된, 단일 부분으로 된 엘리먼트이다. RF 플라즈마 처리에서, 내부 리드 어셈블리(230)는 챔버 리드를 접지로부터 전기적으로 절연시키며 챔버 바디를 RF 가스 박스로부터 격리시키는 절연체도 포함할 것이다. 대표적인 RF 플라즈마 처리와 함께 사용하기 위한 대표적인 리드 어셈블리는 이미 참조되고 Wang의, 미국 특허 제 4,872,947 호에 설명되어 있다.
도 8에 도시된 바와 같이, 베이스 플레이트(265)는 증착 가스를 배출하기 위해 환형 펌핑 채널(40)을 정의하는 하부 표면(321)을 갖는다(아래에서 상세히 설명됨). 도 4 및 도 5에 도시된 바와 같이, 베이스 플레이트(265)는 가스 혼합 박스(273)로부터 혼합 가공 가스를 수용하기 위해 유입 튜브(43)와 연통하는 중앙 홀(327)을 정의한다. 홀(327)은 또한 플레이트(301)를 가로지르는 가스를 홀(315)에 확산시키기 위해 가스 확산 플레이트(301)의 오목부와 연통한다. 베이스 플레이트(265)는 또한 플레이트(265)의 일부분을 대류식으로 냉각시키기 위해 플레이트(215)의 일부분을 통하여 냉각제 유체를 유도하기 위한 냉각 시스템(215)에 결합된 입구(331) 및 출구(333)를 갖는 냉각제 통로(93)를 형성한다. 바람직하게, 냉각제 통로(93)는 장착 스크루(303,305)에 비교적 가까운 베이스 플레이트(265) 내에서 형성된다. 이것은 베이스 플레이트(265)의 하부 표면과 확산 및 분배 플레이트(301,20)의 접촉 표면을 통하여 전도 냉각을 촉진시킨다. 냉각제 통로(93)를 위한 대표적인 설계에 대한 더욱 완전한 설명은 이미 본 명세서에 참조되었으며, 1996년 4월 16일에 출원된 출원번호 제 08/631,902 호와 Wang의 미국 특허 제 4,872,947 호에 개시되어 있다.
도 5를 참조하면, 베이스 플레이트(265)는 중앙 홀을 둘러싸는 환형 오목부(343)와 냉각제 통로(93) 위에서 베이스 플레이트(265)에 고정된 오목부(343) 내에 배치된 환형 캡(345)을 형성한다. 바람직하게, 환형 캡(345)은 통로(93)에 걸쳐 견고한 밀봉을 제공하기 위해 베이스 플레이트(265)의 상부 표면에 용접되므로써, 통로(93)로부터의 냉각제 유출을 효과적으로 방지한다. 이러한 구성으로, 통로(93)는 가스 분배 및 확산 플레이트(20,301)에 비교적 가깝게 형성된다. 게다가, 통로(93)는 베이스 플레이트(265)의 상부 표면에 홈을 형성함으로써 제조되고, 이렇게하여 플레이트 제조에 대한 비용 및 복잡도를 감소시킨다.
이제 도 6B 및 도 6C를 참조하면, 내부 리드 어셈블리(230')의 대체적인 실시예가 설명된다. 이전 실시예와 마찬가지로, 리드 어셈블리(230')는 가공 가스 및 세척 가스를 챔버(15) 내로 확산시키기 위해 베이스 플레이트(265), 가스 확산 플레이트(301) 및 가스 분배 플레이트(20)를 포함한다. 추가하여, 베이스 플레이트(265)는 리드 어셈블리(230')의 기타 성분 및 베이스 플레이트(265)를 냉각시키기 위해 물과 같은 냉각제 액체를 수용하기 위한 환형 냉각제 채널(500)을 포함한다. 본 실시예에서, 베이스 플레이트(265)는 가스 확산 및 가스 분배 홀(325,315) 바로 위의 베이스 플레이트(265)의 일부분과 열을 교환하기 위해 가스 확산 플레이트(301) 위의 중앙 홀(295) 둘레로 연장하는 추가 환형 유체 채널(502)을 더 포함한다.
내부 리드 어셈블리(230')는 베이스 플레이트(265) 및 가스 확산 플레이트(301) 사이의 챔버로부터 진공 챔버(15)로 뻗는 다수의 바이패스 통로(510)를 포함한다. 바이패스 통로(510)는 가스 확산 및 가스 분배 홀(325,315)보다 작은 저항을 갖는 유체 흐름을 제공한다. 따라서, 챔버(15)로 흐르는 가스의 대부분은 바이패스 통로(510)를 통하여 직접 진공 챔버(15)로 흐른다. 대표적인 실시예에서, 바이패스 통로(510)는 바람직하게 챔버(15)에 균일하게 가스를 전달하기 위해 베이스 플레이트(265) 주위에서 간격지어진다(도 6E 참조). 본 실시의 바람직한 실시를 위해, NF3 와 같은 세척 가스가 가스 확산 및 분배 홀(325,315)을 통하여 챔버(320) 내부로 통과한다. 또한, 세척 가스의 일부는 세척 가스를 챔버(15)에 용이하게 전달하기 위해 바이패스 통로(510)를 통하여 직접 챔버(15)로 통과된다.
본 실시예에서, 증기 증착 장치(10)는 가스가 바이패스 통로(510)를 통하여 통과하는 것을 방지하기 위해(또는 적어도 억제하기 위해) 제어기에 연결된 밸브와 같은 제어 시스템을 포함한다. 예를 들어, 가공 동안 웨이퍼에 균일하게 확산시키기 위해 가공 가스가 가스 확산 및 분배 홀(325,315)을 통과하는 것이 바람직하다. 따라서, 밸브는 가공 가스가 바이패스 통로(510)를 통하여 통과하는 것을 방지하도록 폐쇄된다. 챔버가 세척되었을 때, 밸브는 세척 가스의 적어도 일부를 챔버에 신속히 전달하기 위해 개방될 것이다. 이것은 세척 가스의 효율 및 속도를 증가시키며, 장치(10)의 다운 시간을 감소시킨다. 물론, 가공 가스 역시 바람직하다면, 바이패스 통로(510)를 통하여 전달될 수 있음이 이해될 것이다.
C. 배기 시스템
도 2 내지 도 4를 참조하면, CVD 장치(10)의 외부에 배치된 펌프(도시되지 않음)는 환형 펌핑 채널(40)을 통하여 그리고 챔버(15)로부터 잔존물 및 가공 가스와 정화 가스 모두를 배출하기 위한 진공 압력을 제공하며, 여기서 이들은 방출 도관(60)을 따라 장치(10)로부터 방출된다. 도 8에 도시된 바와 같이, 증착 및 세척 가스는 챔버(15)를 둘러싸는 슬롯-형상 구멍(355)를 통하여 웨이퍼(W) 위에 걸쳐 방사상 외부 방향으로 배기되며 펌핑 채널(40)로 배기된다. 환형으로 된 슬롯-형상 구멍(355) 및 챔버(15)는 바람직하게 챔버의 실린더형 측벽(17)의 최상부(챔버 라이너의 내부 부분(253)을 포함함, 도 3 및 도 4를 참조하라)와 베이스 플레이트(265)의 최하부 사이의 갭에 의해 형성된다. 펌핑 채널(40)로부터, 가스는 채널(40) 둘레를 흘러서 하향 연장하는 가스 통로(361)를 통과하며 진공 차단 밸브(363)(이것의 바디는 바람직하게 하부 챔버 바디와 통합됨)를 지나 외부 진공 펌프(도시되지 않음)에 연결된 방출 도관(60) 내로 흐른다.
대체적으로, CVD 장치(10)는 펌핑 채널(40)과 가공 챔버(15)가 직접 연통하는 다수의 가스 홀을 갖는 별개의 펌핑 플레이트(도시되지 않음)를 포함한다. 본 실시예에서, 가스 홀은 이 홀을 통한 가공 가스의 균일한 방출을 촉진하기 위해 챔버의 중앙 개구 둘레에서 간격지어진다. 입구 및 출구의 상대적인 위치를 수용하기 위해, 가스 홀은 중앙 개구에 대해 입구로부터 출구로 방사상 외부 방향으로 연장한다. 홀의 이러한 방사 방향은 가공 챔버(15)로부터 배기된 나머지 및 가공 가스와 정화 가스를 실질적으로 균일하게 방출하는 데 기여한다. 이러한 유형의 펌핑 플레이트에 대한 더욱 상세한 설명은 1996년 2월 26일 미국 특허 출원 제 08/606,880 호에 설명되어 있으며 본 명세서에서 이미 참조되었다.
도 2 및 도 3을 참조하면, 밸브 어셈블리(스로틀 밸브 시스템)(369)는 펌핑 채널을 통하는 가스의 흐름율을 제어하기 위해 방출 라인(60)을 따라 배치된 스로틀 밸브(373)와 고립 밸브(371)를 포함한다. 가공실(15) 내의 압력은 커패시턴스 압력계(381,383)로 모니터링되고 스로틀 밸브(373)로 도관(60)의 흐름 단면 면적을 변화시킴으로써 제어된다. 바람직하게, 처리기(50)는 챔버 압력을 나타내는 신호를 압력계(381,383)로 부터 수신한다. 처리기(50)는 측정된 압력값과 오퍼레이터(도시되지 않음)에 의해 입력된 설정 값 압력을 비교하여, 챔버(15) 내의 적정 압력을 유지하기 위해 필요한 스로틀 밸브의 조정을 결정한다. 처리기(50)는 조정신호를 제어기(385)를 통하여 구동 모터(도시되지 않음)에 중계하며, 이것은 설정 압력 값에 대응하는 적절한 값으로 스로틀 밸브(373)를 조정한다. 본 발명으로 사용하기 위한 적절한 스로틀 밸브에 대한 더욱 상세한 설명은 1996년 6월 28일 출원된 미합중국 특허 출원 제 08/672,891 호의 "Improved Apparatus and Method for Controlling Process Chamber Pressure"에 설명되어 있으며 본 명세서에서 이미 참조되었다.
고립 밸브(371)는 펌프의 펌핑 작용에 의한 챔버 압력의 감소를 최소화하기 위해 가공 챔버(15)를 진공펌프로부터 격리시키기 위해 사용된다. 고립 밸브(371)는 스로틀 밸브(373)와 함께 CVD 장치(10)의 유량 흐름 제어기(도시되지 않음)를 교정하기 위해 사용된다. 몇몇 처리에서는, 액체 도펀트는 기화되고, 그후 캐리어 가스를 따라 가공실(15)로 전달된다. 유량 흐름 제어기는 또한 가스 또는 액채 도펀트의 챔버(15) 내로의 흐름율을 모니터링하는 데 사용된다. MFCs의 교정 동작 동안 고립 밸브(371)는 챔버(15)의 압력을 최대화하기 위해 스로틀 밸브(373)로의 가스 흐름을 억제 또는 제한하며, 이것은 MFCs의 교정을 촉진한다.
D. 가열기/리프트 어셈블리
도 9 내지 도 15를 참조하여 가열기/리프트 어셈블리(30)가 더욱 상세히 설명된다. 가열기/리프트 어셈블리(30)는 웨이퍼를 진공 챔버(15) 내의 가공 위치로 들어올리고 가공 동안 웨이퍼를 가열시키는 기능을 한다. 최초에, 가열기/리프트 어셈블리(30)는 본 명세서에 참조된 대표적인 SACVD 챔버 외의 다른 다양한 가공 챔버의 사용을 위해 변형되거나 상기 챔버 내에 직접 위치될 수 있음을 유의해야 한다. 예를 들어, 가열기/리프트 어셈블리(40)는 RF 또는 마이크로파 전력으로 플라즈마를 발생시키는 CVD 챔버, 금속 CVD(MCVD) 챔버 또는 기타 일반적인 또는 일반적이지 않은 챔버에 사용될 수 있다.
도 9 및 도 13을 참조하면, 가열기/리프트 어셈블리(30)는 일반적으로 상부 및 하부지지 축(391,393)에 부착된 가열기(25)또는 저항성으로-가열되는 웨이퍼 지지대, 가열기(25)밑에 있는 지지축(391,393)을 둘러싸는 리프트 튜브(395)와 챔버(15) 내의 리프트 튜브(202), 축(200,201) 및 가열기(25)를 수직으로 이동시키는 구동 어셈블리(400)를 포함한다. 아래에서 상세히 설명되는 바와 같이, 가열기(25)(및 그 위에서 지지되는 웨이퍼)는 하부 로딩/언로딩 위치가 밀봉 어셈블리(200)의 슬롯(243)과 실질적으로 정렬하는 상기 하부 로딩/언로딩 위치와 가스 분배 플레이트(200) 밑의 상부 가공 위치 사이에서 제어 가능하게 이동될 수 있다. 도 7에 도시된 바와 같이, 가열기(25)는 웨이퍼가 가공 동안 정확히 위치되도록 환형 상승된 주변 플랜지(405)에 의해 둘러싸여지는 상부 웨이퍼 지지면(403)을 포함한다. 웨이퍼 지지면(403)은 증착 온도 예를 들어 약 200-800℃로부터 상승하는 온도에서 웨이퍼(W)의 직경과 거의 동일한 직경을 갖는다. 이 직경은 전형적으로 큰 사이즈를 갖는 웨이퍼에 대해 약 6-8 인치(약 150-200mm)이고 작은 사이즈를 갖는 웨이퍼에 대해 약 3-5 인치(약 75-130mm)이다. 물론, 약 12 인치(약 300mm) 직경을 갖는 웨이퍼 크기도 챔버, 챔버 라이닝(250) 및 지지 가열기(25)를 적절히 수정하여 본 발명의 범위 내에 있을 수 있다.
지지 가열기(25)는 바람직하게 비교적 높은 가공 온도 즉, 600-800℃ 까지 또는 그보다 고온에 견딜 수 있는 가공-호환 재료로 된 디스크를 포함한다. 상기 재료는 또한 세척 가스의 라디칼에 의한 에칭은 물론 고온 증착과 연관된 반응성 화학 물질의 증착에도 저항력이 있다. 가열기(25)를 위한 적절한 재료는 알루미늄 질화물, 알루미늄 산화물 등과 같은 세라믹이다. 알루미늄 질화물 세라믹은 높은 열 전도도, 뛰어난 내부식성 및 열 충격에 대한 뛰어난 내성을 가지므로 가열기(25)를 위한 바람직한 재료이다. 따라서, 특정 바람직한 실시예에서, 가열기(25)의 전체 표면은 알루미늄 질화물로 이루어진다. 알루미늄 질화물은 챔버(15)에 사용된 플루오르 및 오존 화합물에 대해 높은 온도 성능 및 높은 저항을 갖는다. 가열기(25)용으로, 스테인리스 강 또는 알루미늄 물질 사용에 비해 알루미늄 질화물을 사용하는 것은 가공된 웨이퍼의 후면이 덜 금속 오염된 더욱 신뢰성 있는 장치를 제공한다. 또한, 알루미늄은 궁극적으로 챔버 내부로 또는 웨이퍼 상에서 빌드업되거나 얇게 벗겨지는 알루미늄 플루오르화물 화합물로 된 층을 이루기 위해 세척 가스에 전형적으로 사용되는 플루오르 함유 화합물과 반응하는 경향이 있어 결과적으로 오염된다(아래에서 더욱 상세히 설명됨). 알루미늄 질화물에 의한 가열기(25)의 구성은 세척 동안 이러한 문제 있는 반응을 효과적으로 제거한다.
도 7을 또다시 참조하면, 구동 어셈블리(400)는 공기역학적 실린더 또는 제어가능한 모터를 포함하는 구동 메커니즘을 포함할 수 있다. 바람직하게, 스텝퍼 모터(407)는 로딩/언로딩 위치 사이에서의 증분 제어되는 리프트 튜브(395), 축(391,393) 및 가열기(25)를 수직으로 구동하도록 동작하는 적절한 기어 구동기(409)를 통해 가열기에 결합된다. 구동 어셈블리(400)는 또한 실질적으로 자유로운 가열기(25)의 수직 이동을 허용하기 위해 가공 챔버의 최하부와 축(391)의 단부 사이에 고정된 상부 및 하부 벨로우(bellow)(411,413)를 포함한다. 또한, 벨로우(411,413)는 가스 분배 페이스플레이트(faceplate)(20)와 가열기(25)가 가공 동안 실질적으로 평행하도록 보장하기 위해 약간의 각을 이룬 이동을 허용한다.
도 4,9 및 13을 참조하면, 리프트 튜브(395)는 상부 축(391)의 하부를 둘러싸며 가공 챔버(15)의 내부, 가열기(25) 및 축(391)으로부터 방사하는 열 에너지로부터 상부 벨로우(411)를 절연시키는데 조력한다. 리프트 튜브(395)는 일반적으로 플레이트(420)를 축(418)에 장착하는 환형 플랜지(422), 상부면에 있는 환형 스트라이크 플레이트(strike plate)(420)와 알루미늄 축(418)을 포함한다. 플랜지(422) 및 스트라이크 플레이트(420)는 바람직하게 알루미늄 질화물 또는 알루미늄 산화물(자신의 세라믹 또는 알루미나 형태에서 Al2O3)과 같은 고온에 견딜 수 있는 물질로 형성된다. 플랜지(422) 및 스트라이크 플레이트(420)는 가열기 축(391) 또는 가공 챔버로의 축(418)의 뒤틀림 또는 퓨징을 최소화하기 위해 가열기로부터 알루미늄 축(418)을 절연시킨다. 대표적 실시예에서, 리프트 튜브(395)는 적어도 스트라이크 플레이트(420)의 덜걱거림(rattling)을 방지하기 위해 플랜지(420)와 스트라이크 플레이트(420) 사이에 로딩된 웨이브 스프링과 같은 스프링(도시되지 않음)을 포함한다.
도 4 및 도 13에 도시된 바와 같이, 다수의 웨이퍼-지지/리프트 핑거(430), 일반적으로는 2개의 바람직하게는 4개의 웨이퍼-지지/리프트 핑거(430)가 가열기(25)의 주변에 이격되어 있는 안내 스터드(stud)(432) 내부에 미끄럼 가능하게 장착된다. 핑거(430)는 스트라이크 플레이트(420)가 웨이퍼를 로딩 및 언로딩하기 위해 핑거(430)를 맞물림하여 가열기(25)의 상부면에 들어올릴 수 있도록 가열기(25)의 아래에서 하향하여 연장한다. 리프트 핑거(430)는 바람직하게 알루미늄 산화물과 같은 세라믹 물질로 만들어지고 일반적으로 이중의 절단된 원추형 헤드(도시되지 않음)를 갖는다. 4개의 리프트 핑거 안내 스터드(432)는 바람직하게 가열기(25) 주위에 비균일하게 분포되지만, 반면에 적어도 일측이 로봇 블레이드의 폭보다 넓은 장방형을 형성하고, 전형적으로 얇은 평평한 바(도시되지 않음)이고, 그 둘레에서 리프트 핑거(430)는 웨이퍼를 들어 올려야 한다. 리프트 핑거(430)의 최하부 단부는 라운딩된다. 핑거(430)는 가공 동안 핑거가 가열기에 바인딩되는 것을 최소화하기 위해 약 100-200mil 바람직하게는 약 150mil인 비교적 두꺼운 직경과, 약 1-3 인치 바람직하게는 2 인치인 비교적 짧은 길이를 갖는다.
사용 중에, 로봇 블레이드(도시되지 않음)는 가열기(25)가 슬릿(243)과 대향 위치에(혹은 실질적으로 슬릿(243) 바로 아래에) 있을 때 웨이퍼를 챔버(15)에 이송시킨다. 웨이퍼는 초기에 리프트 핑거(430)에 의해 지지되고, 스트라이크 플레이트(420)로 가열기(25) 상부에 리프트된다. 리프트 핑거(430)가 가열기(25)를 따라 상승함에 따라, 리프트 핑거(430)는 정지부(stop)(도시되지 않음)와 만나게 된다. 가열기(25)가 가공 위치 반대쪽의 가스 분배 페이스 플레이트(20)로의 상승을 계속함에 따라, 리프트 핑거(430)는 가열기(25) 내부의 안내 스터드(432)로 하강하고, 상기 웨이퍼는 환형 플랜지(405) 내의 웨이퍼 지지부 표면(403) 상에 증착된다. 챔버(15)로부터 웨이퍼를 제거하기 위해, 상기 단계들이 역으로 수행된다.
도 9를 또다시 참조하면, 저항성 가열기 코일 어셈블리(440)는 가공 동안 열을 웨이퍼로 전달하기 위해 가열기(25) 내부에 하우징된다. 상부 및 하부 지지 축(391,393)은 가열기(25)를 지지하며 중공 코어(445) 내부의 가열기 코일 어셈블리(440)에 필요한 전기적 접속부를 수용한다(아래에서 상세히 설명됨). 상부 지지 축(391)은 비교적 높은 가공 온도에 견딜 수 있는 세라믹 재료로 이루어진다. 바람직하게, 축(391)은 확산-본딩된 알루미늄 질화물로 제조되고, 이는 알루미늄 질화물이 존재하지 않는 경우에 발생할 축(391) 내부의 전기적 연결부 및 전극에의 증착 뿐만 아니라 챔버(165)에 사용된 화합물에 의한 공격을 방지한다. 축(391)은 바람직하게 축(391)의 중공 코어(445)가 대기 온도 및 대기 압력(바람직하게는 대기 압력 즉, 760 토르 또는 1 atm)에 있도록 가열기(25)와 축(391) 사이에 가스-기밀 밀봉을 제공하기 위해 확산-본딩된다. 기타 실시예에서, 중공 코어(445)는 약 0.8-1.2 atm의 압력과 약 10-200℃의 온도 범위에 있을 수 있고, 챔버(15)는 적어도 약 400℃의 온도 및 약 20 밀리토르 내지 약 600토르의 압력 범위에 있을 수 있다. 이 구성은 챔버(15) 내의 가공 가스 및 세척 가스에 의한 부식으로부터 전극 및 기타 전기적 연결부를 보호하는데 도움을 준다. 또한, 축(391)의 중공 코어(445)를 대기 압력으로 유지하는 것은 RF 전력 소스로부터 중공 코어(445)를 통한 전력 리드 또는 알루미늄 축으로의 아킹을 최소화한다. 따라서, 그렇지 않으면 발생할 이러한 아킹은 회피된다.
도 9 및 10을 참조하면, 상부 지지 축(391)은 밀봉 어셈블리(200)의 하부 표면의 개구부(453)를 통하여 뻗으며, 축(391)과 챔버(15) 사이에 가스 밀봉을 제공하는 베이스(455)에 결합된다. 상부 지지 축(391)은 하부 지지축(393)에 예를 들어 볼트로 고정되고, 알루미늄 또는 알루미늄 합금과 같은 적절한 가공-호환 물질을 포함한다. 하부 지지축(393)은 바람직하게 수냉된 알루미늄 축이다. 그러나, 하부 지지축(393)은 또한 알루미늄 산화물 또는 알루미늄 질화물과 같은 세라믹 재료를 포함하여 이루어진다. 일 이상의 밀봉 부재(457), 예를 들어, O-링이 코어(445)와 챔버(15) 사이의 가스 밀봉을 유지하기 위해 축(391,393) 사이에 위치된다. 도 9에 도시된 바와 같이, 하부 지지축(393)은 로딩 및 가공 위치 사이에서 가열기(25)와 축(393)을 이동시키기 위해 구동 어셈블리(400) 상에서 수직으로 이동 가능한 지지부(461)에 장착된다. 축(393)은 축의 고온으로부터 연결부를 더욱 절연시키기 위해 전기적 연결부 둘레를 통과하는 내부 냉각제 채널(463)을 형성한다. 냉각제 채널(463)은 액체 냉각 시스템(215)의 워터 연결부(217,219)에 결합된 입구(464) 및 출구(466)를 갖는다. 냉각제 채널(463)은 밀봉 부재(457)를 보호하기 위해 하부 챔버 영역의 온도를 비교적 낮게 유지하는 역할을 한다. 대체적인 실시예에서, 가열기 어셈블리(30)는 가열기(25)를 지지하는 단일 축(도시되지 않음)을 포함하며 밀봉 어셈블리(200)의 하부 개구(453)를 통하여 뻗는다. 대안 실시예에서, 밀봉 부재(457)는 사용되지 않는다.
가열기 코일 어셈블리(440)는 적어도 20℃/분의 속도로 챔버(15)에서 적어도 약 200-800℃의 온도를 제공하도록 구성된다. 도 11과 도 12를 참조하면, 가열기 코일 어셈블리(440)는 상기 세라믹 가열기(25) 내에 매립된 가열기 코일(471)을 포함한다. 상기 세라믹 가열기(25) 내에 매립된 상기 가열기 코일(471)의 루우팅(routing)은 바람직하게 상기 가열기(25)의 중앙 근처의 하나의 전기적 접촉부(472)에서 시작하는 단일 코일(471)을 제공하고, 가장자리를 향해 상기 가열기(25)의 한 측면을 따라 전후방으로 진행하고, 상기 가열기(25)의 다른 측면으로 연장되며, 제 2 전기적 접촉부(474)에 대한 상기 가열기(25)의 중앙을 향해 전후방으로 진행한다. 이런 루프 패턴은 플레이트의 폭에 걸쳐 일반적으로 균일한 온도를 유지하지만 열 손실을 허용하게 된다. 바람직하게, 가열기 코일(471)은 가열기(25)의 웨이퍼 지지용 표면(403)에 걸쳐 400℃에서 적어도 약 +/-2℃ 및 600℃에서 +/-8℃의 균일한 온도 분포를 제공할 것이다. 바람직한 실시예에서, 가열기 코일(471)은 가열기 축(391)로부터 열적 경사도를 감소시키기 위하여 가열기(25)의 중심 근처에서 더 높은 전력 밀도를 가질 것이다.
도 14에 도시된 바와 같이, 가열기 코일 어셈블리(440)는 바람직하게 축(341)을 통해 적당한 전기적 에너지 소스로 연장되는 다수의 도체 납 도선(475)에 접속된 매립된 RF 메시 그라운드(RF mesh ground) 평면 전극(473)을 포함한다. 메시 그라운드 평면 엘리먼트(473)는 플라즈마 처리가 사용되는 실시예에서 그라운드 경로와 플라즈마 저항을 제공하는 몰리브덴 메시 전극이다. 납 도선(475)은 바람직하게 상당히 높은 처리 온도에 견딜 수 있는 도전 재료, 이를테면 니켈, 구리 등을 포함한다. 바람직한 구성에서, 납 도선(475)은 세라믹과 금속 사이의 브레이징(brazing)을 방지하도록 전극(473) 내에 함께 소결되는 금속 삽입물(477)에 의해 전극(473)에 결합된 각각의 니켈 도선이다. 삽입물(477)은 바람직하게 알루미늄 질화물, 이를테면 몰리브덴과 조화하도록 상대적으로 유사한 열 팽창을 갖는 재료를 포함한다. 도시된 바와 같이, 상기 몰리브덴 삽입물(477)은 각각 몰리브덴 플러그(481)에 고착되고, 예를 들어 브레이징되고, 다음에 리드 도선(475)에 브래이징된다. 주로 저항성 또는 주로 전도성 중 어느 하나가 되는 모든 가열기 코일의 도선은 알루미늄 질화물 가열기 몸체의 주물을 잘 유지하도록 고온에 내성이 있는 연속 절연 코팅(이미 개시된 바와 같은)으로 외장된다.
도 9, 15a와 15b를 참조하면, 가열기/리프트 어셈블리(30)는 가열 코일(471)의 온도를 결정하기 위한 적어도 하나의 열전쌍(491)을 포함한다. 열전쌍(491)은 웨이퍼 하부로부터 약 0.25 인치의 거리에서 가열기(25)의 하부면과 접촉하여 삽입되고 유지되는 센서(495)(도 9)를 가지는 연장 튜브(493)를 포함한다. 이 때문에, 가열기(25)는 엘리먼트(473)에 열전쌍(491)의 센서를 접속하기 위해 가열기 엘리먼트(473)에 브래이징되는 열전쌍 가이드(501)(도 15a)를 포함한다. 상기 열전쌍(491)은 압축 스프링(503)(도 15b)으로부터 근소한 스프링 힘에 의해 인-시튜 고정되고, 상기 온도 제어기(도시되지 않음)를 위한 제어 신호를 제공한다. 센서(495)는 바람직하게 대기 압력에 있는 웰(505) 내에 배치되고, 더욱 정밀한 판독을 제공하도록 상기 가열 엘리먼트(473)와 상기 열전쌍(491) 사이의 열전달을 증진시킨다. 상기 온도 제어기는 균일한 온도 프로파일을 유지하도록 상기 가열기의 응답 특성을 변경하고 발생할 수신 단계를 예기하는 수신 구동된 비례 적분 미분(PID : proportional integral differential) 제어기이다. 상기 하부 지지 축(393)를 위한 진공 밀봉과 그라운드 접속부는 상기 축(393)(도시되지 않음)의 측면을 따라 형성되고 가열기 도선 단부(511)에 대한 접속부와 열전쌍 튜브 단부(513)는 대기 조건에서 형성된다.
본 발명이 사용될 때, 로봇 블레이드(미 도시됨)는 상기 가열기(25)가 반대 슬릿(243)(또는 실제로 바로 아래의 슬릿(243)) 위치에 있을 때 상기 챔버(15)에 웨이퍼를 이송한다. 상기 가열기(25)와 웨이퍼는 상기 웨이퍼는 구동 어셈블리(400)에 의해 처리 위치로 들어 올려지고, 리프트 핑거(430)는 가열기(25)(도 4, 9 및 10)의 환형 플랜지(405) 내의 웨이퍼 지지용 표면(430) 상에 배치되도록 가열기(25) 내에서 가이드 스터드(432) 내로 가라앉는다. 처리 가스, 이를테면 TEOS, O3은 가스 통로(83, 85)를 곧장 통과하게 되고, 가스 혼합 박스(273)(도 3과 6 참조)의 혼합 영역에서 서로 혼합된다. 다음에 상기 혼합된 가스는 유입 튜브(43)의 내부 통로(295)와 가스 분산 플레이트(301) 상에 있는 챔버(320) 내의 베이스 플레이트(265)를 통해 운반되는데, 여기에서 상기 혼합된 가스는 외부로 분산되어 가스 분산 플레이트(20)(도 4와 5 참조) 상에 있는 챔버(317) 내의 홀(325)을 통해 흐른다. 바람직하게, 상기 가스는 상기 반도체 웨이퍼(도시되지 않음) 상의 가스 분배 홀(315)을 통해 균일하게 분포된다.
가열기(25) 상의 웨이퍼 온도는 전형적으로 상기 처리 가스가 웨이퍼 표면에서 서로 반응하여 그 위에 증착하도록 층을 가열기 코일 어셈블리(440)에 의해 최소 증착 온도 이상으로 유지된다. 특히, 전류는 특정 실시예에 따라 약 200-800℃의 온도까지 웨이퍼를 가열하도록 도체 도선(457)을 통해 저항성 코일(473)로 향하게 된다. 바람직한 실시예에서, 상기 온도는 상기 챔버의 현재 온도에 기초된 상승 속도를 유지하는 피드백 제어 시스템(가열기 제어 서브루틴(167)에 대해 이미 개시된)에 의해 제어된다. 이런 처리 동안, 내부 덮개 어셈블리(230)는 그것을 통과하는 가스, 상기 가열된 반도체 웨이퍼 및 상기 웨이퍼 가열 소스를 포함하는 여러 가지 소스로부터의 열을 수용한다. 최소 증착 온도 이하로 덮개 어셈블리(230)의 부품을 유지하여 이런 부품 상의 반응과 증착을 방지하기 위하여, 냉각제 액체가 베이스 플레이트(265)와 가스 분배 및 분산 플레이트(20, 301)로부터 열을 제거하도록 냉각제 채널(93) 내로 유입된다.
증착 과정 동안, 상기 진공 펌프는 펌핑 채널(40) 내에 진공 압력을 발생하도록 작동되어 채널(40)과 배기 포트(361)(도 4와 8)를 통해 처리 챔버(15)의 외부로 처리 가스와 플라즈마 잔류물을 배출시킨다. 부가적으로, 정화 가스는 일반적으로 서셉터(25)와 라이너(250)의 내부 부분(253) 사이의 갭을 통해 처리 챔버(15) 내로 상향하게 될 수 있다. 상기 정화 가스는 장치(10)의 하부 부분으로의 처리 가스의 누출을 최소화하고 포트(361)를 통한 처리 가스의 제거를 용이하게 한다.
E. 통합된 원격 마이크로파 플라즈마 시스템
도 16은 본 발명의 실시예에 따라 웨이퍼 및/또는 처리 챔버를 세척하기 위한 원격 마이크로파 플라즈마 시스템(55)의 개략도이다. 마이크로파 플라즈마 시스템(55)은 챔버(15)내의 웨이퍼 및/또는 챔버(15)의 부품을 효과적으로 에칭 또는 세척하는데 사용하기 위해, 그리고 증착에 사용하기 위해 처리 챔버(15)로부터 원격에서 플라즈마를 발생한다. 마이크로파 플라즈마 시스템(55)은 어플리케이터 튜브(292); (아래에 개시된) 플라즈마 점화 시스템; 마이크로파 도파관 시스템; (아래에 개시된) 자동 임피던스 정합을 위한 피드백을 요구하는 실시예에 대해 선택적 위상 검출기(703), 및 순환기(705)를 포함할 수 있는 임피던스 정합 시스템(701)을 포함하는 최적화 엘리먼트; 및 자석(711)을 포함한다.
자석(711)은 연속파(CW : continuous wave) 또는 약 2.45 기가헤르츠(㎓)의 마이크로파의 펄스 출력을 위한 약 500-2500 와트 사이에서 동작할 수 있는 전형적 자석 소스이다. 자석(711)은 자석(711)으로부터 멀리 배치될 수 있는 전력 공급원(110)(도 1c에 도시된)에 의해 전력이 인가된다. 물론, 다른 마이크로파가 사용될 수 있다. 자석(711)으로부터의 마이크로파는 마이크로파 도파관 시스템에 전송되고, 조인트(719)에 서로 접속될 수 있는 여러 길이의 직선과 곡선 도파관 섹션(715, 717)을 포함한다. 상기 도파관 시스템내에 산재된 것은 낮은 손실, 최소화된 반사 손실로 최대 마이크로파 전송을 제공하고, 반사된 전력에 기인하는 손상으로부터 자석을 보호하도록 동작하는 최적화 엘리먼트이다. 이하의 설명은 어플리케이터 튜브(292)를 향한 자석(711)으로부터 마이크로파의 요구된 방향에 관한 것이다.
특정 실시예에서, 마이크로파 플라즈마 시스템(55)은 도 16에 도시된 바와 같이 부하(707)를 갖는 순환 장치(705)에 접속된 마그네트론(711)을 가진다. 순환장치(705)는 어플리케이터 튜브(292)를 향한 자석(711)으로부터 전방 마이크로파 전송만을 허용한다. 부하(707)는 자석(711)을 향해 도파관 시스템으로부터 다시 반사될 수 있는 소정의 전력을 흡수한다. 그 결과 순환장치(705)와 부하(707)는 마이크로파가 순방향으로 향하게 하고 자석(711)이 반사된 전력으로부터 손상되지 않도록 한다. 순환장치(705)는 다른 도파관 섹션(715)에 접속된 위상 검출기(703)에 접속되는 도파관 섹션(715)에 접속된다. 사용된다면, 위상 검출기(703)는 부착된 동조 또는 정합 시스템(701)을 가지는 다른 도파관 섹션(715)에 곡선 도파관 섹션(717)을 통해 결합된다. 스텁 동조기 또는 다른 동조 엘리먼트를 사용할 수 있는 동조 시스템(701)은 도파관 섹션(721)에서 도파관의 특성 임피던스인 50℃까지 부하에 정합하는 능력을 갖는 플라즈마 마이크로파 시스템(55)을 제공한다. 동조 시스템(701)은 특정 실시예에 따라 고정 동조, 수동 동조, 또는 자동 동조를 제공할 수 있다. 자동 동조를 사용하는 실시예에 대하여, 위상 검출기(703)는 정합 시스템(701)에 대한 피드백을 위해 전송된 마이크로파 위상을 검출하는 3-다이오드 어레이가 되고, 적당히 상기 부하를 식별력 있고 다이내믹하게 정합한다. 특정 실시예에서, 도파관 섹션은 직사각형 단면을 가지지만, 다른 형태의 도파관이 사용될 수 있다.
도 16에서 알수 있는 바와 같이, 상기 최적화된 도파관 시스템을 통해 나아가는 마이크로파는 출력 도파관 섹션(721)으로부터 어플리케이터 튜브(292)로 전송되고, 여기에서 플라즈마가 형성된다. 어플리케이터 튜브(292)는 도파관 시스템과 다른 최적화 엘리먼트를 통해 자석(711)으로부터 마이크로파에 의해 에너지화된 반응 가스를 수용하는 입력 공급 라인(57)을 가진다. 어플리케이터 튜브(292)는 특정 실시예에 따라 플라즈마내의 라디컬에 의한 에칭에 저항할 수 있는 합성물 또는 세라믹 재료, 바람직하게 알루미늄, 또는 다른 재료로 만들어진 원형(또는 다른 단면) 튜브이다. 상기 특정 실시예에서, 어플리케이터 튜브(292)는 약 18-24 인치의 길이와 3-4인치의 단면 직경을 가진다. 어플리케이터 튜브(292)는 마이크로파를 전송하기 위해 하나의 단부가 개방되고 금속 벽을 갖는 다른 단부에서 종결되는 도파관 섹션(721)을 통과하여 배치된다. 이어, 마이크로파는 도파관 섹션(721)의 개방 단부를 통해 마이크로파를 통과시키는 어플리케이터 튜브(292) 내의 반응 가스로 전송될 수 있다. 물론, 다른 재료, 이를테면 사파이어가 또한 어플리케이터 튜브(292)의 내부에 사용될 수 있다. 다른 실시예에서, 어플리케이터 튜브(292)는 합성물 또는 세라믹 재료로 만들어진 금속 외부와 내부를 가질 수 있고 도파관 섹션(721)내의 마이크로파가 반응 가스를 에너지화하기 위해 어플리케이터 튜브(292)의 외부를 통해 튜브(292)의 노출된 내부까지의 윈도우에 진입한다.
특정 실시예에서, 플라즈마는 도파관 섹션(721)의 금속 벽상에 임의로 장착될 수 있는 자외선(UV) 램프(731)와 UV 전력 공급원(733)을 포함하는 플라즈마 점화 시스템에 의해 점화될 수 있다. 물론, UV 전력 공급원(733)은 금속 벽 곁의 여러 다른 위치에 장착될 수 있다. UV 전력 공급원(733)에 의해 전력 인가된 UV 램프(731)는 어플리케이터 튜브(292)내의 플라즈마의 초기 이온화를 제공한다. 다음에 마이크로파 에너지는 게이트 밸브(280)를 통해 챔버(15)에 이르는 주입구(290)에 진입하는 라디컬의 흐름을 생성하도록 점화된 플라즈마의 이온화를 유지한다. 튜브(292)내의 반응 가스의 유입과 이온화로부터 어플리케이터 튜브(292)내의 부하 변화 때문에, 정합 시스템(701)의 사용은 효율을 위해 마이크로파 에너지 결합을 최적화한다. 바람직한 실시예에서, 정합 시스템(701)은 자동화된 동조를 위한 처리기(50) 또는 제어기 유니트의 제어하에 있는 적어도 하나의 스텁 동조기를 포함한다. 이미 언급된 바와 같이, 다른 일반적 동조용 엘리먼트가 또한 정합 시스템(701)에 사용될 수 있다.
이미 개시된 바와 같이, 어플리케이터 튜브(292)는 도 3에서 알수 있는 바와 같이 어플리케이터 튜브(292)가 밀봉체 어셈블리(200)의 주입구(290)내로 플라즈마 라디컬을 방출하도록 챔버(15)의 바디 하부에 장착되고 접속된다. 라디컬은 주입구(290)를 통해 라이너(291)를 갖춘 밀봉체 어셈블리(200)의 통로내로 유입되고, 바람직하게 폴리테트라플루오로에틸렌(PTFE)으로 형성된다. 상업적으로 입수가능한 TefronTM PTFE와 같은 PTFE는 주입구(290)에서 반응성 화학 작용 입력으로부터의 에칭 또는 증착에 저항성이 있다. 라이너(291)는 세척 처리동안 통로내의 플루오르 라디칼 재결합을 방지한다. PTFE에 부가적으로, 또한 라이너(291)는 플루오르 화합된 폴리머, 이를테면 PFA(폴리테트라플루오르에틸렌 수지의 카본-플루오르 백본을 과플루오르알콕시 측면 체인과 조합하는 폴리머인), 플루오르 화합된 에틸렌-폴리필렌(TFE) 등을 포함하는 소정의 플루오르 화합된 재료로 형성될 수 있다. 상기 통로는 바람직하게 주입구(290)와 어플리케이터 튜브(292)의 단면에 정합하는 원형 단면 또는 소정의 다른 타입의 단면이다. 밀봉체 어셈블리(200)내의 이런 라이닝된 통로로부터, 플라즈마 라디컬은 세척 가스 매니폴드(270)를 통해 게이트 밸브(280)로 흐른다. 또한 세척 가스 매니폴드(270)는 PTFE로 구성된다. PTFE는 PTFE가 플루오르 라디컬에 의한 에칭에 저항하기 때문에 플루오르 라디컬이 플라즈마에 생성되는 세척 응용을 위해 바람직하다. 물론, 세척 가스 매니폴드(270)와 라이너(291)는 둘다 사용된 반응 가스에 의존하는 특별한 화학 반응에 저항하는 다른 재료(이를테면 라이너(291)를 위해 이미 언급된 것들)로 형성될 수 있다.
일부 실시예에서, 게이트 밸브(280)는 이미 개시된 바와 같이 상기 증착 처리와 세척 처리를 분리한다. 게이트 밸브(280)는 통상적으로 챔버(15)가 증착, 리플로우, 또는 드라이브-인 단계를 위해 사용되는 동안 밀폐된 채로 있다. 상기 밀폐 위치에서, 게이트 밸브(280)는 세척 처리를 위해 사용되는 도관(47)내의 미립자가 증착 처리동안 웨이퍼를 오염시키지 못하도록 하고, 뿐만 아니라 증착 동안 도관(47)과 통로의 "데드(dead)" 체적을 감소시킨다. 약 200-760 토르 사이의 압력에서 증착이 게이트 밸브(280)가 개방된 채로 발생한다면, 증착은 어플리케이터 튜브(292)에서 초래될 수 있고, 세척 처리의 오염을 초래한다. 게이트 밸브(280)는 바람직하게 도관(47)으로부터 반응성 화학작용에 기인하는 상기 밀폐된 밸브(280)에 대한 손상 또는 증착을 최소화하도록 PTFE(또는 라이너(291)를 위해 이미 개시된 것들과 유사한 재료)로 형성된다. 바람직한 실시예에서, 게이트 밸브(280)는 미립자 등급의 게이트 밸브이다. 게이트 밸브(280)를 사용하는 실시예에서, 단지 챔버(15)가 웨이퍼 세척 단계를 위해 사용되거나 챔버 세척이 수행될 때만 게이트 밸브(280)가 개방되고, 도 3에 도시된 바와 같이 플라즈마 라디컬이 가스 혼합 박스(273)의 유체 통로(293)내로 흐르도록 한다. 이미 언급된 바와 같이, 일부 실시예에서 게이트 밸브(280)는 전혀 사용되지 않는다. 다음에 상기 플라즈마 라디컬은 환형 통로(295)를 통해 흐를수 있고 가스 분배 플레이트(20)를 통해 챔버(15)내로 흐를 수 있다. 그러므로 분배 플레이트(20)와 챔버(15)의 여러 부품이 세척된다. 잔류물과 사용된 세척 가스는 다음에 챔버(15)로부터 이미 개시된 상기 배기 시스템을 사용하여 배기된다. 상기 챔버(15)의 세척 처리와 웨이퍼 표면의 세척은 아래에서 상세히 개시된다.
F. 종말점 검출 시스템
도 17a-17d는 본 발명의 다른 특징에 따른 마이크로파 플라즈마 시스템(55)용 세척 종말점 검출 시스템(800)을 도시한다. 이미 개시된 바와 같이, 장치(10)는 바람직하게 금속 오염을 더 낮추도록 일반적인 인-시튜 플라즈마 처리 대신에 원격 마이크로파 기술을 사용하는 열세척 기술을 사용한다. 본 발명에서, 원격 마이크로파 플라즈마 시스템(55)을 사용하는 온건한 세척 기술은, 물리적 스퍼터링 효과가 챔버 벽내의 알루미늄과 반응할 수 있고 처리된 웨이퍼의 알루미늄 금속 오염을 초래할 수 있는 인-시튜 플라즈마 처리 사용과 대조적으로 화학적 반응만을 사용한다.
원격 플라즈마 시스템(55)을 사용하는 세척 처리에서, 바람직하게 거의 플루오르 라디컬로 이루어진 상기 에천트 가스가 온건한 열적 세척이 일어나는 챔버 내로 향하도록 상기 플라즈마는 챔버(15)로부터 멀리 생성되지만, 상기 플라즈마는 상기 챔버 외부에 (예를 들면, 어플리케이터 튜브(292)내에(도 6참조)) 잔류한다. 이런 방법이 챔버(15)내의 웨이퍼 및/또는 챔버(15)의 부품(이미 개시된)을 세척하기 위한 다수의 장점을 가지더라도, 상기 챔버 내의 플라즈마 결핍은 통상적인 종말점 검출 시스템을 사용하여 세척이 완료되는 시간, 예를 들면 챔버 내의 최종 처리 가스 잔류물이 챔버로부터 방출될 수 있도록 상기 세척 에천트와 반응할 때를 정확하게 지적하는 것을 어렵게 할 수 있다. 통상적인 종말점 검출 시스템은 전형적으로 상기 챔버 내의 플라즈마 사용에 의지하여 세척 처리의 종결을 결정하기 위해 인-시튜 플라즈마로부터 방출을 체크한다.
그러나, 본 발명의 종말점 검출 시스템은 인-시튜 플라즈마 또는 이를테면 마이크로파 플라즈마 시스템(55)에 의해 제공된 바와 같은 원격 플라즈마와 사용될 수 있다. 예를 들면, 한 바람직한 방법에서, 플루오르 기재 가스는 진공 펌프로 챔버(15)의 외부로 배출되는 SiF4 가스를 형성하기 위해 상기 챔버 내의 SiO2 파우더 잔류물과 반응하는데 사용된다. 순차적으로 상기 챔버(15)내의 모든 SiO2 가스가 소모될 때, 상기 플루오르 기재 가스는 SiF4 가스를 형성하기 위해 SiO2과 반응할 수 없다. 대신에, 상기 플루오르 기재 가스는 챔버(15)를 오염시키거나 알루미늄 플루오르 화합물을 형성하기 위해 챔버의 알루미늄 벽과 반응하기 시작한다. 결국, 게이트 밸브(280)가 추가 플루오르 라디컬이 챔버(15)에 진입하는 것을 방지하도록 밀폐될 수 있도록 상기 최종 SiO2 잔류물이 상기 플루오르 가스와 반응하는 근사한 종말점 또는 시점을 결정하는 것이 중요하다. 아래에 추가로 개시된 바와 같이, 본 발명의 종말점 검출 시스템(800)은 상기 배출된 세척 가스 반응물, 이를테면 SiF4에 의한 광 흡수에 기인하여 발생하는 광세기의 변화를 검출함으로써 세척 처리의 종말점을 결정한다.
도 17a에 도시된 바와 같이, 세척 종말점 검출 시스템(800)은 분리 밸브(371)와 스로틀 밸브(373) 사이의 방출 도관(60)을 따라 배치된 가스 검출기(802)를 포함한다. 물론, 가스 검출기(802)는 장치(10)의 배기 시스템내의 다른 위치에 배치될 수 있다. 예를 들면, 검출기(802)는 도 17b에 도시된 바와 같이 스로틀 밸브(373)의 다운스트림에 배치될수 있다. 다른 실시예에서, 검출기(802)는 도 17c에 도시된 바와 같이 도관(60)으로부터 가스의 간단한 스트림을 수용하는 바이패스 라인(804)을 따라 배치될 수 있다. 이런 실시예에서, 바이패스 라인(804)은 챔버 내의 웨이퍼 가스 처리동안 라인(804)을 통과하는 흐름의 양을 변화하거나 바이패스 라인(804)을 따라가는 가스 흐름을 완전히 중단하기 위한 제어 밸브(806)를 포함할 수 있다.
도 17d를 참조하여, 가스 검출기(802)의 바람직한 실시예가 이제 개시될 것이다. 도시된 바와 같이, 검출기(802)는 가스와 챔버(15)로부터의 다른 찌거기가 그것을 통과할 수 있도록 하기 위해 도관(60)과 연통하는 관통홀(806)을 한정하는 하우징(804)을 포함한다. 한쌍의 플랜지(808, 810)는 바람직하게 하우징(804)을 도관(60)에 부착시킨다. 상기 하우징(804)의 측벽은 원적외선광이 통과하도록 구성되는 한쌍의 적외선(IR) 윈도우(812, 813)를 포함한다. 원적외선은 약 10㎛에서 시작하는 파장을 가진다. IR 윈도우(812, 813)는 길이(L) 만큼 배치되고 바람직하게 제로 또는 약간의 광이 윈도우(812, 813)에 의해 흡수되도록 원적외선 광에 투명한 재료를 포함한다. 부가적으로, 상기 IR 윈도우(812, 813) 재료는 처리 및 세척 가스 화학 작용에 대해 처리 양립성 불활성이 되어야 하고, 상기 재료는 상기 막을 오염시키지 않아야 한다. 플루오르 라디컬이 세척 처리동안 사용되는 실시예에서, 윈도우(812, 813)는 플루오르에 저항한다. IR 윈도우(812, 813)를 위한 바람직한 재료는 게르마늄, 칼슘 플루오르화물 등을 포함한다.
도 17d에 개략적으로 도시된 바와 같이, 검출기(802)는 원적외선 광을 발생하고 이런 광을 윈도우(812, 813)를 통해 전송하기 위해 적당히 하우징(804)에 결합되는 원적외선 램프(814)를 더 포함하며, 그 결과 상기 광은 관통홀(806)을 통과한다. IR 검출기(816)는 윈도우(813)를 통과하는 원적외선을 수신하고 검출하는 위치에서 하우징(804)에 결합된다. 바람직하게, 원적외선 램프(814)는 광학 노치 필터를 갖는 텅스텐 램프 소스가 될 수 있다.
본 발명이 사용될 때, 상기 세척 가스 반응물(예를 들면, SiF4)은 도관(60)과 검출기(802)의 관통홀(806)을 따라 향하게 된다. 원적외선 램프(814)는 윈도우(812), 관통홀(806) 및 윈도우(813)를 통해 원적외선광을 전송하는데, 여기에서 원적외선 광은 검출기(816)에 의해 수신된다. 상기 광이 상기 세척 가스 SiF4 반응물을 통과할 때, 이런 반응물(예를 들면, 실리콘)은 상기 원적외선 광의 일부를 흡수하여 검출기(816)에 의해 수신된 광세기를 감소시킨다. 상기 플루오르는 상기 원적외선 광을 흡수하지 않는다. 그러므로, 상기 검출된 원적외선 광 세기가 기준값까지 증가할 때, 검출기(816)는 도관(60)을 통과하는 SiF4의 농도가 실질적으로 감소되거나 완전히 변화가 없게 되었다는 것을 표시하는 신호를 제어기(도시안됨)에 보내는데, 이는 세척 종말점이 도달했다는 것을 나타낸다. 이런 시점에서, 상기 제어기는 게이트 밸브(280)를 밀폐하여 추가 에천트가 챔버에 진입하지 못하도록 하는 적당한 신호를 처리기(50)에 보낸다. 상기 바람직한 세척 처리에서, 종말점 검출 시스템(880)은 약 10㎛의 파장을 갖는 광을 흡수하는 세척가스 에천트(SiF4)에 의해 흡수될 수 있는 원적외선 파장을 제공하는 소스(814), 및 이런 원적외선 파장을 검출하는 검출기(816)를 사용한다. 다른 실시예에서, 소스(814)와 검출기(816)는 세척 가스 처리에 사용된 특별한 세척 가스 반응물의 광흡수 특성에 의존하여 서로 다른 광을 제공할 수 있다.
예로서, I0은 SiF4가 도관(60)을 통해 흐르지 않고 검출기(816)가 램프(814)로부터 충분한 세기를 수신하지 못할 때 상기 원적외선 광의 세기이다. 세척동안 SiF4가 관통홀(806)을 통해 흐를 때, 상기 원적외선은 흡수되고 검출기(816)에 의해 수신된 세기(I)는 감소되며, 다음 식에 의해 주어진다.
여기에서 X는 IR 윈도우(812,813) 또는 필터(도시안됨)의 흡광 계수이고, L은 윈도우(812,813) 사이의 길이이며, C는 검출기(802)를 통과하는 SiF4의 농도이다. I/I0가 값 1에 도달할 때, 상기 SiF4 농도가 감소하며, 이는 세척 종말점이 도달하고 있다는 것을 의미한다. 상기 제어기는 이런 값이 세척 종말점이 도달되었다는 것을 표시하는 1에 도달할때까지 연속적으로 I/I0을 모니터링한다.
상기 설명은 다중 챔버 처리 시스템을 위한 CVD 챔버에 관련하여 이루어지지만, 다른 플라즈마 에칭 챔버, 물리적 증착 챔버등을 사용하여 본 발명의 특정 특징을 수행하는 것이 가능하게 될 수 있다. 그러므로, 상기 설명과 예시는 첨부된 청구항에 의해 한정된 바와 같이 본 발명의 범위를 제한하는 것으로서 고려되어서는 안된다. 본 발명은 전술되었고 첨부된 도면에 도시된 단일 웨이퍼 챔버에 제한되지 않음을 알아야 한다. 예를 들면, 본 발명의 스로틀 밸브는 다수의 웨이퍼를 동시에 처리하는 배치(batch) 챔버 내에 설치될 수 있다. 부가적으로, 본 발명은 순차적으로 각각의 웨이퍼상에서 개별 처리 단계를 수행하는 다중 웨이퍼 챔버에 사용하기 적당할 것이다.
H. CVD 반응기 시스템을 사용하는 고온 다단계 처리
A. 바람직한 구조 및 장치
도 18은 본 발명에 따른 집적 회로(900)의 개략적 단면도를 도시한다. 도시된 바와 같이, 집적 회로(900)는 실리콘의 국부 산화(LOCOS), 또는 다른 기술에 의해 형성된 필드 산화 영역(920)에 의해 서로 전기적으로 분리되고 절연되는 NMOS와 PMOS 트랜지스터(903과 906)를 포함한다. 선택적으로, 트랜지스터(903과 906)는 트랜지스터(903과 906)가 둘다 NMOS 또는 둘다 PMOS가 될 때 얕은 트렌치 절연물(도시 안됨)에 의해 서로 분리되고 전기적으로 절연될 수 있다. 각각의 트랜지스터(903과 906)는 소스 영역(912), 드레인 영역(915) 및 게이트 영역(918)을 포함한다.
금속전 유전체(PMD : premetal dielectric) 층(921)은 금속층(940)과 접촉부(924)에 의해 형성된 트랜지스터 사이의 접속으로 금속층(940)으로부터 트랜지스터(903와 906)를 분리한다. 금속층(940)은 집적 회로(900)내에 포함되는 4개의 금속층(940, 942, 944 및 946) 중 하나이다. 각각의 금속층(940, 942, 944 및 946)은 개별 금속 상호 유전체층(927, 928 및 929)에 의해 인접한 금속층으로부터 분리된다. 인접한 금속층은 비아(926)에 의해 선택된 개구에 접속된다. 금속층(946)상에 증착된 것은 평탄화된 패시베이션 층(930)이다. CVD 장치(10)는 PMD 층(921), IMD 층(927, 928 및 929), 또는 패시베이션 층(930)으로서 사용된 막을 증착하는데 사용될 수 있다. 또한 CVD 장치(10)는 LOCOS 필드 산화 영역(920)의 위치에 사용된 얕은 트렌치 절연 구조를 위한 산화 충전층을 증착하는데 사용될 수 있다.
이미 개시된 CVD 장치(10)의 다른 예는 도 18의 예시적 집적 회로(900)에 도시된 극도로 얕은 소스와 드레인 영역(912와 915)을 형성하는 것이다. MOS 트랜지스터를 위한 소스/드레인 영역 형성에서 극도로 얕은 도핑 접합을 형성하기 위한 방법의 응용은 예로서 도 19a-19e로 논의된다.
도 19a는 부분적으로 완성된 MOS 트랜지스터의 개략적 단면도이다. 단순히 예로서, 상기 MOS 트랜지스터(1000)는 PMOS 트랜지스터이다. 물론, NMOS 트랜지스터가 형성될 수 있다. PMOS 트랜지스터(1000)의 경우, 사용된 도핑 유전체층(1800)은 P 타입 도판트 소스와 같은 BSG 막이 될 수 있다. 도 19a에 도시된 바와 같이, 게이트 산화물(1003) 위에 놓이는 게이트 전극(1002)은 이미 재료(1004) 위에 형성되어 있다. 본 예에서, 재료(1004)는 N 타입 기판 또는 기판에 형성된 N 웰이 될 수 있다. 또한 필드 산화 영역(1006)은 실리콘의 국부 산화 영역(LOCOS)과 같은 방법에 의해 형성된다. 극도로 얕은 도핑 접합이 요구되는 상기 영역은 마스크를 사용하여 한정될 수 있다. 상기 예에서, 상기 영역은 소스/드레인 영역(1010과 1012)이지만, 물론 저도핑 드레인(LDD) 영역을 형성하도록 한정될 수 있다. 아래에 상세히 개시된 처리 개념으로 이미 개시된 상기 CVD 반응기 시스템을 사용하여, 도핑 유전체층(1008)은 저항성으로 가열된 가열기(25)상에 안착되는 웨이퍼의 소스/드레인 영역(1010과 1012)에 형성된다.
소스/드레인 영역(1010과 1012)상에 도핑 유전체층(1008)을 형성하기 이전에, 소스/드레인 영역(1010과 1012)의 표면은 이미 개시된 원격 마이크로파 플라즈마 시스템(55)으로부터 NF3와 같은 반응 가스에 의해 형성된 플라즈마를 사용하거나 또는 열적 NF3 증기를 사용함으로써 존재할 수 있는 소정의 게이트 산화물 또는 자연 산화물로 세척될 수 있다. 세척 절차 동안, 게이트 밸브(280)는 NF3 플라즈마로부터의 플루오르 라디컬이 소스/드레인 영역(1010과 1012)의 표면상에 존재할 수 있는 산화물을 세척하도록 챔버(15)에 진입할 수 있게 개방될 것이다. 이런 산화물의 세척은 소스/드레인 영역(1010과 1012) 상에 형성되는 도핑 유전체층(1008)으로부터 도판트의 더욱 견실한 드라이브-인을 허용한다. 원격 플라즈마로부터의 플루오르 라디컬은 챔버(15)내의 웨이퍼로부터 자연 산화물을 세척하는데 사용될 수 있다. 세척 절차에서, 가열기(25)는 상기 플루오르 라디컬이 웨이퍼 상의 소자를 손상시키지않고 상기 산화물을 최적으로 세척할 수 있는 위치로 조절될 수 있다. 바람직하게, 이런 자연 산화물 제거/세척 단계와 도핑 유전체층(1008)의 증착은 동일 챔버 내에서 인-시튜 수행된다. 이미 개시된 CVD 장치(10)의 사용은 챔버(15)의 진공이 파괴되지않고 웨이퍼가 대기에 노출되지않기 때문에 웨이퍼에 의한 습기 흡수를 방지한다. 선택적으로, 원치않는 산화물은 열적 파괴 NF3 증기 인-시튜 웨이퍼로부터 세척될 수 있다. 이런 대안으로, 플루오르 라디컬은 약 200-1500의 분당 표준 입방 센티미터(sccm) 사이, 바람직하게 약 500sccm인 NF3, 및 선택적으로 챔버(15)내로 약 0-1000sccm의 O2를 유입함으로써 챔버(15)에서 인-시튜 상태로 생성된다. 동시에, 챔버(15)는 약 500-650℃ 사이, 바람직하게 600℃로 가열되고, 약 60-760torr, 바람직하게 400torr의 압력으로 유지되는 반면, 플레이트(20)로부터 약 150-900mil 사이, 바람직하게 약 600mil 만큼 일정 간격 배치된다. 그러므로, 소스/드레인 영역(1010과 1012)의 표면은 소정의 자연 산화물 장벽으로 세척될 수 있다.
상기 세척 단계 이후에, 일부 실시예에서 게이트 밸브(280)는 도관(47)에 진입하여 표면상에 증착되는 것으로부터 상기 도핑 유전체층 증착 처리에 사용된 소정의 반응 가스를 차단하도록 밀폐될 수 있다. 또한 가열기(25)는 적당한 처리 위치로 이동될 것이고 요구된 처리 개념에 따라 챔버(15)의 진공에서 특정화된 온도까지 가열된다. 다음에 도핑 유전체층(1008)은 아래에 개시된 바와 같이 CVD 장치(10)에서 고온(약 500-600℃)으로 수행된다. 자연 산화물 장벽없이, 상기 웨이퍼상에 형성되는 상기 도핑 유전체층(1008)으로부터의 도판트는 극도로 얕은 소스/드레인 영역(1010과 1012)을 형성하도록 기판내로 더욱 쉽고 균일하게 드라이브-인 될 수 있다.
도핑 유전체층(1008)의 증착 이후에, 상기 웨이퍼는 챔버(15)내에 잔류한다. 다음에 상기 저항성으로 가열된 가열기(25)와 그 위의 웨이퍼는 특정 시간동안 더 높은 온도(약 800℃)로 가열된다. 상기 가열 단계는 도핑 유전체층으로부터 도판트를 N 타입 재료(1004)내로 드라이브-인 한다. 도 19b에 도시된 바와 같이, 도핑 유전체 층(1008)은 극도로 얕게 생성된 접합(1020)을 위한 P 타입 도판트 확산 소스로서 사용된다. 물론, 게이트 밸브(280)는 드라이브-인 단계동안 밀폐된 상태를 유지한다. 인-시튜 가열 대안으로서, 상기 웨이퍼는 도판트 확산 소스로서 기능하는 도핑 유전체층(1008)으로부터 도판트를 드라이브-인 하기 위하여 어닐링 퍼니스 또는 급속 열처리 반응기(바람직하게 다중 챔버 시스템내로)로 이송될 수 있다. 확산은 어닐링 또는 급속 열처리에 의해 실행된다. 바람직하게, 상기 확산은 이런 다른 실시예에서 약 950-1100℃ 사이의 온도에서 약 1-3분 동안, 바람직하게 약 1000℃에서 1분 동안 급속 열처리(양호한 처리량에 기인하는)를 사용하여 수행된다.
확산 이후에, 도핑 유전체층(1008)은 건식 또는 습식 에칭 기술 또는 다른 제거 기술에 의해 N 타입 재료(1004)로부터 제거된다. CVD 장치(10)는 또한 적당한 에칭 화학 작용으로 원격 마이크로파 플라즈마 시스템(55)에 의해 도핑 유전체 층(1008)을 제거하는데 사용될 수 있다. 이런 유전체 제거 단계를 위하여, 게이트 밸브(280)는 원격 플라즈마가 제거가 완료될때까지 상기 층(1008)을 에칭하도록 개방될 것이고, 그후에 게이트 밸브(280)는 밀폐된다. 상기 제거 단계는 챔버(15)내의 가열기(25)로부터 웨이퍼를 이송하지 않고 인-시튜 상태로 수행된다. 선택적으로, 상기 제거 단계는 CVD 장치(10)와 같은 동일한 다중챔버 시스템내에서 유전체 제거에 전용되고, 또한 상기 다중 챔버 시스템의 진공 외부 환경에 대한 웨이퍼의 노출을 방지하는 다른 챔버에서 수행될 수 있다. 도 19c는 도핑 유전체층(1008)의 제거후 부분적으로 완료된 PMOS 트랜지스터(1000)를 도시한다. PMOS 트랜지스터(1000)는 게이트 전극(1002)과 극도로 얕은 P 타입 도핑 접합인 인접한 소스/드레인 영역(1020)을 포함한다. 이후에, 소자의 완성을 위한 나머지 처리 단계가 웨이퍼상에서 수행될 수 있다.
모든 웨이퍼(또는 수개의 웨이퍼)가 CVD 장치(10)의 챔버에서 처리되어진 후, 챔버 세척이 수행될 것이다. 상기 웨이퍼의 처리가 챔버(15)내에서 완료된후, 상기 웨이퍼는 진공 록킹되는 슬롯(243)을 통해 이송된다. 다음에 게이트 밸브(280)는 상기 챔버가 종말점 검출기 시스템에 의해 표시된 바와 같이 특정하게 세척될 때까지 챔버 세척 처리가 원격 마이크로파 플라즈마 시스템(55)을 사용하여 수행될 수 있도록 개방될 것이다.
다른 실시예에서, 도 19d-19e에 도시된 단계가 도 19a에 개시된 단계 후에 수행된다. 도 19a에 도시된 바와 같이 도핑 유전체층(1008)이 소스/드레인 영역(1010과 1012)과 게이트 전극(1002)상에 형성된 후, 캐핑 층(1030), 이를테면 USG가 도핑 유전체 층(1008)상에 바람직하게 챔버(15)내에서 인-시튜 처리로 형성된다. 층(1008)의 증착 동안, 게이트 밸브(280)는 밀폐된 채 유지될 것이다. 다음에 상기 기판은 도 19b에 도시된 바와 같이 도핑 유전체층(1008)으로부터 반도체 재료(1004)내로 도판트의 확산을 위해 가열될 것이다. 선택적으로, 상기 웨이퍼는 도 19b를 위해 이미 개시된 바와 같이 도핑 유전체층(1008)로부터의 도판트 확산을 위해 어닐링 퍼니스 또는 급속 열처리 반응기로의 이송을 위해 챔버(15)로부터 제거될 것이다. 바람직하게, 상기 기판은 동일한 다중 챔버 시스템내에서 급속 열처리를 겪게 되는데, (도핑 유전체층(1008)과 캐핑 층(1030)이 증착되어지는) 챔버는 다중 챔버 시스템의 일부가 된다. 도 19e에 도시된 바와 같이, 상부 캡 층(1030)을 갖는 상기 도핑 유전체층(1008)으로부터의 도판트는 극도로 얕은 소스와 드레인 접합(1020)을 형성하도록 반도체 재료(1004)내로 확산된다. 다음에 상기 캡 층(1030)과 도핑 유전체층(1008)은 도 19c에 도시된 바와 같이 부분적으로 완성된 PMOS 트랜지스터(1000)를 제공하기 위해 이미 개시된 바와 같이 에칭되고, 나머지 처리 단계를 준비한다. 약 0.25 ㎛ 소자 구조의 경우, 붕소 원자의 확산은 약 100-200 ℃의 USG 막으로 덮힌 약 100-200 ℃ 두께의 BSG 막을 형성하고, PMOS 트랜지스터를 위한 바람직한 실시예에 따라 약 0.05 내지 0.1 ㎛ 범위의 접합 깊이를 초래한다.
물론, NMOS 트랜지스터(1000)는 게이트 전극(1002)과 소스/드레인 영역(1010과 1012)을 포함한다. N 타입의 극도로 얕은 접합이 본 발명의 다른 실시예에 따라 상기 도판트 소스로서 PSG 막 또는 비소 도핑 실리케이트 글래스와 같은 도핑 유전체 막(1008)을 사용하여 상기 P 타입 반도체 재료내에 형성된다. P 타입 재료(1004)는 P 타입 기판 또는 기판에 형성된 P 웰이 될 수 있다. 약 0.25㎛ 소자 구조의 경우, 약 100-200℃ 두께의 PSG 막과 약 100-200℃ 두께의 USG 막은 바람직한 실시예에 따라 약 0.05㎛ 내지 약 0.1㎛ 범위가 되는 반도체 재료내로 드라이브되는 인의 깊이를 초래한다. 물론, 다른 도핑 실리케이트 글래스 막이 상기 응용에 의존하여 N 또는 P 타입의 극도로 얕은 접합을 제공하는데 사용될 수 있다고 인식된다.
본 발명을 사용하는 다른 예는 소자 사이의 얕은 트렌치 절연 구조내의 채널-스톱과 같은 극도로 얕은 도핑 영역을 형성하는 것이다. 극도로 얕은 채널 스톱 영역을 형성하기 위한 본 방법의 응용은 도 20a-20g를 참조하여 개시된다.
도 20a는 반도체 재료(1100)에 형성된 부분적으로 완성된 얕은 트렌치 절연 구조의 개략적 단면도이다. 도 20a에 도시된 바와 같이, 트렌치(1102)는 반응성 이온 에칭, 플라즈마 에칭을 포함하는 이방성 에칭, 또는 다른 기술을 사용하여 다반도체 재료(1100)내에 형성된다. 본 예에서, 상기 반도체 재료(1100)는 P 타입 기판 또는 기판에 형성된 P 웰이다. 마스크(1104)는 상기 얕은 트렌치 절연의 채널 스톱 영역을 한정하는데 사용될 수 있다. 아래에 상세히 개시된 처리 개념을 사용하여, 도핑 유전체층(1106)은 마스크(1104)를 사용하여 트렌치(1102)상에 형성된다. 도핑 유전체 층(1106)은 확산하는 도판트 원자의 소스를 제공하고 도전 경로가 반도체 재료(1100)의 소자 사이에 형성되는 것을 방지하는데 사용되는 채널 스톱 도핑 영역을 형성한다. P 타입 재료(1100)의 경우, 도핑 유전체층(1106)은 상기 P 타입 도판트 소스와 같은 BSG 막이 될 수 있다.
트렌치(1102)상에 도핑 유전체층(1106)을 형성하기 이전에, 상기 트렌치(1102)의 표면은 아래에 개시된 바와 같이 NF3와 같은 반응 가스를 사용하여 형성된 원격 플라즈마의 플루오르 라디컬을 제공하도록 원격 마이크로파 플라즈마 시스템(55)을 사용함으로써 존재할 수 있는 소정의 게이트 산화물 또는 자연 산화물로 제거될 수 있다. 상기 세척 단계 동안, 게이트 밸브(280)는 플루오르 라디컬이 도관(47)으로부터 외부 환형 통로(297)를 거쳐 분배 플레이트(20)를 동해 챔버(15)내로 흐르도록 개방된다. 상부에 상기 웨이퍼를 갖는 가열기(25)는 상기 플루오르 라디컬이 기판 손상없이 트렌치(1102)의 표면상에 존재할 수 있는 웨이퍼의 원치 않는 산화물을 제거하도록 세척을 위해 소정의 위치로 내려진다. 이런 산화물의 세척은 트렌치(1102)상에 형성되는 도핑 유전체층(1106)으로부터의 도판트의 더욱 견실한 드라이브-인을 허용한다. 상기 세척 단계와 상기 도핑 유전체 층(1106)의 증착은 인-시튜 처리로 챔버(15)에서 수행된다. 다른 실시예에서, 상기 세척 단계는 이미 개시된 바와 같이 열적 파괴 NF3 인-시튜에 의해 수행될 수 있다. 다음에 NF3 플라즈마 또는 증기로부터의 플루오르 라디컬은 상기 트렌치(1102)의 표면상에 존재할 수 있는 산화물을 제거한다. 또다른 실시예에서, 이미 개시된 상기 다중 챔버 시스템의 개별 챔버가 이런 세척 단계를 위해 사용될 수 있다. 챔버(15)(또는 선택적으로 다중 챔버 시스템의)의 진공을 파괴하지 않음으로써, 웨이퍼에 습기가 흡수되는 것이 방지되므로, 상기 트렌치(1102)의 표면에는 자연 산화물 장벽이 없다. 상기 세척 단계가 수행된 후, 게이트 밸브(280)는 밀폐된다. 상기 자연 산화물 장벽 없이, 도핑 유전체층(1106)으로부터의 도판트는 얕은 트렌치 절연을 제공하는 채널 스톱 영역으로서 사용되는 극도로 얕은 접합을 형성하기 위하여 더욱 쉽고 균일하게 기판내로 드라이브된다. 상부에 상기 웨이퍼를 갖는 가열기(25)는 처리 위치로 이동되어 층(1106)의 증착을 위해 고온(약 500-700℃)까지 가열된다.
도핑 유전체 층(1106)의 도핑 후, 웨이퍼는 드라이브-인 단계를 위해 챔버(15) 내에서 대기한다. 게이트 밸브(280)는 밀폐 상태를 유지하고, 가열기(25)는 더 높은 온도(약 800℃)까지 가열된다. 상기 가열은 확산을 위해 필요한 소정의 접합 깊이에 의존하여 특정 시간 동안 발생한다. 선택적으로, 상기 웨이퍼는 다음에 도핑 유전체층으로부터의 도판트를 P 타입 재료(1100)내로 드라이브하기 위해 어닐링 퍼니스 또는 급속 열처리 반응기(바람직하게 다중 챔버 시스템내로)로 이송될 수 있다. 도핑 유전체층(1106)은 도 20b에 도시된 바와 같은, 극도로 얕게 생성된 채널 스톱 영역(1108)을 위한 P 타입 도판트 확산 소스로서 사용된다. 극도로 얕은 채널 스톱 영역(1108)은 P 타입 재료(1100)로 형성된 P+ 타입 영역이다.
확산 이후에, 도핑 유전체층(1106)은 습식 에칭 기술 또는 다른 제거 기술에 의해 P 타입 재료(1100)로부터 제거된다. 바람직하게, 상기 웨이퍼는 게이트 밸브(280)가 개방되어 원격 마이크로파 플라즈마 시스템(55)으로부터의 라디컬이 층(1106)을 제거하도록 챔버(15)내에 잔류한다. 물론, 플라즈마 시스템(55)에 투입되는 반응 가스는 도핑 유전체층(1106)의 타입에 의존한다. 도 20c는 도핑 유전체층(1106)의 제거후 부분적으로 완성된 얕은 트렌치 절연 구조를 도시한다. 도 20d에 도시된 바와 같이, 다음에 트렌치(1102)는 상기 얕은 트렌치 절연 구조를 형성하기 위하여 산화물(1110)로 채워진다. 바람직한 실시예에서, 고온에서 증착된 고품질 USG 막이 고종횡비 트렌치를 채우는 산화물(1110)로서 사용될 수 있다. 또한 산화물(1110)은 다른 증착 기술을 사용하여 형성될 수 있다.
극도로 얕은 채널 스톱 영역(1108)을 가지는 얕은 트렌치 절연 구조를 완성한 후, 도 20e에 도시된 바와 같이 얕은 트렌치 절연 구조에 의해 분리된 소자(1112와 1114)가 형성될 수 있다. 소자(1112와 1114)는 각각 게이트 전극(1116)과 인접한 소스/드레인 영역(1118과 1120)을 포함한다. 이후에, 소자의 완성을 위한 나머지 처리 단계가 웨이퍼를 다른 챔버로 이송함으로써 바람직하게 다중 챔버 시스템에서 수행될 수 있다. 상기 웨이퍼가 챔버(15)로부터 이송된 후, 챔버 세척은 도 19a-e와 관련하여 이미 개시된 바와 같이 세척 위치로 조절되고 게이트 밸브(280)가 개방되어 있는 저항성으로 가열된 가열기(25)를 갖는 원격 마이크로파 플라즈마 시스템(55)을 사용하여 수행될 수 있다.
다른 실시예에서, 도 20f-20g에 도시된 단계가 도 20a에 도시된 단계 이후에 수행된다. 도핑 유전체층(1106)이 도 20a에 도시된 바와 같이 트렌치(1102)와 마스크(1104)상에 형성된 후, 캐핑 층(1110), 이를테면 USG가 인-시튜 처리로 챔버(15)에서 도핑 유전체층(1106) 상에 형성된다. 캐핑 층(1110)의 증착동안, 게이트 밸브(280)는 밀폐된다. 다음에 상기 기판은 도 20b를 위해 이미 개시된 바와 같이 덮히고 도핑 유전체층(1106)으로부터의 도판트의 반도체 재료(1110)로의 확산을 위한 도판트 드라이브-인을 위해 챔버(15)에서 인-시튜 가열된다. 선택적으로, 상기 웨이퍼는 도 20b를 위해 이미 개시된 바와 같이 덮히고 도핑 유전체층(1106)으로부터의 도판트의 반도체 재료(1110)로의 확산을 위하여 어닐링 퍼니스 또는 급속 열처리 반응기(바람직하게 다중 챔버 시스템내로)로의 이송을 위해 챔버(15)로부터 제거될 수 있다. 도 20g에 도시된 바와 같이, 상부에 캡 층(1110)을 가지는 상기 도핑 유전체층(1106)으로부터 도판트는 극도로 얕은 채널 스톱 영역(1108)을 형성하기 위해 반도체 재료(1100)내로 확산된다. 캡 층(1110)은 도핑 유전체층(1106)으로부터 상향 도판트 방출을 최소화하고, 그 결과 더많은 도판트가 아래쪽으로 상기 기판 재료(1100)내로 확산하게 한다. 확산 단계가 수행된후, 게이트 밸브(280)는 개방되고 상기 캡 층(1110)과 도핑 유전체층(1106)은 둘다 도 20c에 도시된 바와 같이 부분적으로 완성된 얕은 트렌치 절연을 제공하도록 적당한 에천트 화학작용으로 원격 마이크로파 플라즈마 시스템(55)을 사용하여 에칭될 수 있고, 도 20d-20e의 나머지 처리 단계를 준비한다. 상기 웨이퍼가 챔버(15)의 외부로 이송될 때, 게이트 밸브(280)는 밀폐 위치에 있다. 다음에 챔버(15)의 챔버 세척은 개방된 게이트 밸브(280)를 갖는 플라즈마 시스템(55)을 동작시킴으로써 수행될 수 있다.
P 타입 기판내의 NMOS 트랜지스터 사이의 얕은 트렌치 절연을 위해, 상기 도핑 유전체 막(1106)은 BPSG 막이 될 수 있다. N 타입 기판(또는 CMOS 회로의 N웰)의 PMOS 트랜지스터 사이의 얕은 트렌치 절연을 위해, 상기 도핑 유전체막(1106)은 PSG 막 또는 비소 도핑 실리케이트 글래스 막이 될 수 있다. 약 0.5 ㎛의 깊이를 갖는 0.35 ㎛ 미만의 극소 소자 기하학적 형태를 위하여, 약 0.1 ㎛ 접합 깊이의 채널 스톱 영역(1108)은 본 발명의 바람직한 실시예에 따라 약 200 ℃ 두께의 BSG 막(1106)과 약 200 ℃ 두께의 USG 캐핑을 사용하여 형성될 수 있다.
간략화된 집적 회로(900)는 예시 목적만을 위한 것으로 이해하여야 한다. 종래의 기술에 종사하는 당업자는 다른 집적 회로, 이를테면 마이크로 처리기, 특정 집적 회로 응용(ASICS), 메모리 소자 등의 제조를 위해 본 방법을 이행할 수 있다. 더욱이, 본 발명은 PMOS, NMOS, CMOS 바이폴라 또는 BiCMOS 소자에 적용될 수 있다. 극도로 얕은 소스/드레인 접합과 극도로 얕은 트렌치 절연 응용이 이미 개시되었더라도, 본 발명은 또한 극도로 얕은 도핑 영역이 요구되는 다른 응용에 사용될 수 있다. 또한 본 발명은 PMD, IMD, 패시베이션 및 물결 무늬 층을 포함하여 집적 회로 소자내의 여러 가지 층으로서 사용하기 위한 비도핑 산화물 뿐만아니라 도핑 산화물을 위해 사용될 수 있다.
챔버(15)내에서의 인-시튜 또는 개별 동작을 위한 바람직한 웨이퍼 세척, 증착 및 챔버 세척 방법은 본 발명의 여러 가지 실시예에 따라 아래에 추가로 개시된다.
B. 증착 이전의 자연 산화물 세척
본 발명의 특정 실시예에 따르면, 극도로 얕은 도핑 접합이 요구되는 실리콘 기판 또는 영역상에 존재할 수 있는 자연 산화물은 도판트 확산 소스 또는 PMD 층으로서 사용될 수 있는 도핑 유전체층의 증착 이전에 세척될 수 있다. 이런 실시예에서, 상기 자연 산화물은 원격 마이크로파 플라즈마 시스템(55)에 의해 NF3 같은 반응성 세척 가스로 형성된 플라즈마로부터의 플루오르 라디컬을 사용함으로써 세척될 수 있다. 하나의 챔버에서 또는 동일 다중 챔버 시스템의 챔버에서 교대로 인-시튜 처리의 사용은 이런 특정 실시예에 따라 형성되는 극도로 얕은 접합의 증진된 품질 뿐만 아니라 낮은 습기 함량과 낮은 수축을 가지는 유전체 층을 제공하게 한다.
특정 실시예에서, 챔버(15)는 전체 세척 처리 동안 약 300-650℃ 사이의 온도 범위인 증착 온도, 바람직하게 약 550-600℃로 유지된다. 챔버(15)는 상기 온도를 유지하는 동안 약 1-2torr, 바람직하게 약 1.5torr 범위의 압력으로 유지된다. 가열기(25)는 게이트 밸브(280)가 개방되고 상기 세척 가스(NF3)가 약 600sccm의 속도로 어플리케이터 튜브(292)내로 유입되는 동안 가스 분배 플레이트(20)로부터 약 600mil의 위치로 이동된다. 상기 세척 가스가 어플리케이터 튜브(292)내로 유입되고 상기 압력은 마이크로파 에너지가 어플리케이터 튜브(292)내의 NF3에 인가되기 이전에 약 3초 동안 안정화되는 것이 허용된다. 다음에 CW 모드에서 동작하는 자석(711)으로부터 약 500-2500 와트, 바람직하게 약 1000-1500 와트 사이의 마이크로파 전력이 약 5-10초 동안 인가된다. 상기 마이크로파는 이미 개시된 바와 같이 상기 윈도우를 통해 어플리케이터 튜브(292)에 진입하도록 상기 도파관과 최적화 시스템을 통해 자석(711)으로부터 전송된다. UV 램프(731)는 상기 윈도우에서 어플리케이터 튜브(292)에 진입하는 상기 마이크로파 에너지에 의해 유지된 이온화로 플라즈마를 형성하기 위하여 어플리케이터 튜브(292)에서 상기 반응 가스를 점화한다. 어플리케이터 튜브(292)에 형성된 업스트림 플라즈마로부터의 라디컬은 주입구(290)로 흐르도록 출력된다. 상기 라디컬은 상기 개방된 게이트 밸브(280)를 통해 세척 매니폴드(270)의 도관(47)까지 밀봉체 어셈블리(200)내의 라이닝된 통로를 통해 흐르고 챔버(15)에 진입하여 웨이퍼에서 멀리 자연 산화물을 세척하도록 외부 환형 통로(297)내로 흐른다. 다음에 사용된 세척 가스 반응물과 산화물 잔류물은 상기 개방된 스로틀 밸브를 통해 상기 챔버(15)의 외부로 배출된다. 상기 설명은 약 6 리터의 전체 양을 가지는 챔버(15)에 대한 것이다. 흐름 값은 다른 실시예에 사용된 챔버의 크기와 타입에 의존하여 다를 수 있다.
특정 실시예에서, 약 1-2torr 미만의 압력으로 유지된 챔버(15)를 사용하여, 플루오르 종의 급속 제거가 발생되고, 빈약한 세척 결과를 초래한다. 약 1-2torr 이상의 챔버 압력에서, 재결합이 충돌에 기인하여 발생할 수 있고 뿐만 아니라 과열을 일으켜 어플리케이터 튜브(292)에 손상을 줄 수 있다. 챔버(15)는 플루오르 종이 급속히 제거되지않고, 재결합이 발생되지 않으며, 어플리케이터 튜브(292)가 손상되지않는 압력 레벨로 유지되어야 한다. 일부 실시예에서, 마이크로파 전력이 인가되고 있을 때 상기 챔버 압력은 어플리케이터 튜브(292)의 물리적 치수와 재료에 의해 제한될 수 있다. 특정 실시예에서, 상기 어플리케이터 튜브(292)의 압력은 마이크로파 전력이 인가될 때 최적 챔버 압력의 약 3배가 될 수 있다. 서로 다른 어플리케이터 튜브가 서로 다른 흐름 속도로 사용될 때, 상기 최적 챔버 압력은 변화할 것이다. 물론, 어플리케이터 튜브(292)가 인가되는 마이크로파없이 사용될 때 임의 압력이 사용될 수 있다.
상기 플라즈마가 웨이퍼의 업스트림에 형성되기 때문에, 상기 플라즈마내의 반응성 플루오르 라디컬만이 웨이퍼로부터 자연 산화물을 세척하기 위해 웨이퍼에 도달할 수 있다. 이미 언급된 바와 같이, 상기 세척 단계는 바람직하게 약 90 ℃ 두께의 전형적 자연 산화물에 대해 약 5-10초 동안 수행된다. 상기 세척 단계는 약 2㎛/분의 속도로 자연 산화물을 에칭한다. 물론, 상기 세척 단계의 전체 시간은 웨이퍼에서 세척되어야 할 특정 산화물의 두께에 의존한다. 본 발명의 원격 마이크로파 플라즈마 시스템(55)을 사용하여, 자연 산화물 또는 다른 산화물이 에칭될 수 있고 웨이퍼에 손상을 주는 플라즈마가 방지된다.
상기 웨이퍼 세척 처리 조건이 본 실시예에 대해 바람직하더라도, 다른 조건이 또한 사용될 수 있다. 상기 설명은 단지 예로서 아래의 여러 가지 증착 설명이 그렇듯이 200 ㎜ 웨이퍼에 알맞은 어플라이드 머티어리얼스로부터 입수가능한 기가 FILLTM 센츄라 시스템에서 NF3을 논의한다. 그러나, 다른 플루오르 함유 또는 염소 함유 가스, 이를테면 NF3과 N2, NF3과 아르곤, NF3과 O2 , 희석한 F2, CF4, C3F6, C3F8, SF6 또는 Cl2 등이 물론 사용될 수 있다.
C. 바람직한 실리케이트 글래스 증착
본 발명의 방법에 따르면, 도판트 소스, PMD 층, IMD 층, 산화물 충전 층, 캐핑 층, 또는 다른 층으로서 사용된 유전체 층은 수가지 서로 다른 방법을 사용하여 형성될 수 있다. BSG막, PSG막, BPSG막 및 USG막의 처리 개념은 본 발명에 사용된 도핑되고 비도핑 유전체층의 예로서 아래에서 설명된다. 물론, 아래에 개시된 여러 유전체 막의 증착동안, (본 발명에 따라 원격 플라즈마 시스템(55)이 증착을 위해 사용되지 않는다면) 게이트 밸브(280)는 밀폐된 채 유지된다. 모범적인 처리는 바람직한 실시예에서 밀폐된 단일 웨이퍼 SACVD 시스템이 되는 CVD 장치(10)에서 수행될 수 있다.
CVD 장치(10)는 또한 BPSG, 비소 도핑 실리케이트 글래스(AsSG), 또는 다른 유전체 층을 증착하기 위해 서로 다른 및/또는 부가적 입력 가스 공급 소스와 함께 사용될 수 있다. 물론, 종래 기술에 종사하는 당업자는 다른 처리 개념과 플라즈마 강화된 CVD(PECVD)와 같은 다른 반응 시스템이 또한 유전체 막을 증착하는데 사용될 수 있다고 이해할 것이다. 붕소 소스의 예는 TEB, 트리메틸보레이트(TMB), 디보란(B2H6), 및 다른 유사한 화합물을 포함한다. 인 소스의 예는 트리틸포스페이트(TEPO), 트리틸포스파이트(TEPi), 트리메틸포스페이트(TMPO), 트리메틸포스파이트(TMPi) 및 다른 유사한 성분을 포함한다. BSG 또는 PSG 막에 부가적으로, 또한 비소 화합물을 갖는 액체 소스 또는 아르곤으로 희석된 비소 가스를 사용하여 도핑 산화물 또는 비소 실리케이트 글래스(AsSG)가 또한 증착될 수 있다. 실리콘 소스의 예는 실란(SiH4), TEOS, 또는 유사한 실리콘 소스를 포함하며, 산소 소스는 O2, O3, 마이크로파 발생된 원자 산소(O) 등을 포함한다. 아래 설명에서, 액체 소스에 대한 흐름 속도는 분당 표준 입방 센티미터(sccm)로 제공되는 반면, 가스 흐름 속도는 분당 표준 입방 센티미터(sccm)로 제공된다. 이런 설명에서, 액체 소스는 정밀 액체 주입 시스템을 사용하여 기상화되고, mgm의 액체 흐름 속도는 액체 흐름 속도에 약 1.923의 계수를 곱함으로써 sccm의 가스 흐름 속도로 변환될 수 있고 따라서 흐름 속도가 계산된다. 바람직하게, 안정한 도핑 유전체 막은 일부 실시예에서 손상이 없는 균일하게 도핑 극도로 얕은 접합을 형성하기 위하여 SACVD 처리로 상기 TEOS/O3 화학 작용을 사용하여 형성될 수 있다. 다른 실시예에서, 저습 도핑 유전체 막은 고종횡비 갭 충전, 낮은 수축, 낮은 금속 오염, 및 낮은 플루오르 혼입을 갖는 평탄화된 절연층을 제공하기 위하여 CVD 장치(10)에서 수행되는 SACVD 처리로 TEOS/O3 화학 작용을 사용하여 형성될 수 있다.
1. 바람직한 BSG 막 증착
단지 예로서, 아래에서 개시된 BSG 막 증착 개념은 도판트 확산 소스로서 사용되는 도핑 유전체 층으로서 유용하게 될 수 있는 BSG 막을 형성할 수 있다. 물론, 상기 개념은 상기 BSG 층에 대한 특별한 사용과 요구된 특성에 의존하여 변경될 수 있다. 도판트 확산 소스로서 사용되는 도핑 유전체층으로서 형성된 PSG 층은 아래 개념을 사용하여 사용된 도판트 소스를 적당히 대체함으로써 유사하게 형성될 수 있다.
바람직한 BSG 벌크 막은 웨이퍼와 가열기(25)를 약 200-650℃ 사이의 온도, 바람직하게 약 400-650℃ 범위 이내의 온도, 및 가장 바람직하게 약 500℃까지 가열하고, 상기 온도 범위를 증착 내내 유지함으로써 증착된다. 게이트 밸브(280)가 밀폐되어, 챔버(15)는 약 10-760torr 범위내의 압력으로 유지된다. 바람직하게, 상기 압력은 약 400-600torr 범위로 유지되고, 가장 바람직하게 약 600torr로 유지된다. 가열기(25)는 가스 분배 플레이트(20)로부터 약 150-300mil에 배치되고, 바람직하게 플레이트(20)로부터 약 250mil에 배치된다.
붕소의 소스로서 TEB, 실리콘 소스로서 TEOS, 및 산소의 가스 소스로서 O3을 포함하는 처리 가스가 형성된다. 액체로 있는 상기 TEB와 TEOS 소스는 액체 주입 시스템에 의해 기상화된 다음에 헬륨과 같은 불활성 캐리어 가스와 조합된다. 상기 TEB의 흐름 속도는 요구된 도판트 농도에 의존하여 약 50-550mgm 사이인 반면, 상기 TEOS 흐름 속도는 약 300-1000mgm, 바람직하게 약 500mgm이다. 다음에 상기 기상화된 TEOS와 TEB 가스는 3000-6000sccm 사이의 속도, 바람직하게 약 4000sccm의 흐름 속도로 흐르는 헬륨 캐리어 가스와 혼합된다. O3 형태의 산소는 약 3000-6000sccm의 흐름 속도, 바람직하게 약 5000sccm의 흐름 속도로 유입된다. 상기 오존 혼합물은 약 5-16 중량 퍼센트(wt%) 산소를 포함한다. 상기 가스 혼합물은 가열-유도된 화학 반응이 요구된 막을 형성하도록 발생하는 기판 표면에 반응 가스를 공급하기 위해 상기 분배 플레이트(20)로부터 챔버(15)내로 유입된다.
상기 조건은 500-1000℃/분의 속도로 증착된 BSG 막을 초래할 수 있다. 증착 시간을 제어함으로써, 약 50-500℃, 바람직하게 약 100-300℃의 두께를 가지는 BSG 막은 약 10-60초에서 이미 개시된 처리 조건으로 형성될 수 있다. 증착되는 상기 BSG 막의 두께는 쉽게 제어될 수 있다. 바람직하게, 상기 생성된 BSG 막내의 붕소 wt %는 약 2-8 wt %, 바람직하게 약 6 wt % 범위에 있다.
2. 바람직한 PSG 막 증착
단지 예로서, 아래에 개시된 PSG 막 증착 개념은 PMD 층으로서 유용하게 될 수 있는 PSG 막을 형성할 수 있다. 물론, 상기 개념은 상기 PSG 층의 특별한 사용과 요구된 품질에 의존하여 변경될 수 있다. PSG 막 곁에 다른 도핑 유전체 층이 아래의 개념에 유사한 개념을 사용하여 사용된 도판트 소스를 적당히 대체함으로써 PMD 층으로서 사용될 수 있다.
바람직한 PSG 벌크 막은 웨이퍼와 가열기(25)를 약 200-650℃ 사이의 온도, 바람직하게 약 400-650℃ 범위 이내의 온도, 및 가장 바람직하게 약 600℃까지 가열하고, 상기 온도 범위를 증착 내내 유지함으로써 증착된다. 게이트 밸브(280)가 밀폐되어, 챔버(15)는 약 10-760torr 범위내의 압력으로 유지된다. 바람직하게, 상기 압력은 약 400-600torr 범위로 유지되고, 가장 바람직하게 약 450torr로 유지된다. 가열기(25)는 가스 분배 플레이트(20)로부터 약 250-350mil에 배치되고, 바람직하게 플레이트(20)로부터 약 330mil에 배치된다.
인의 소스로서 TEPO, 실리콘 소스로서 TEOS, 및 산소의 가스 소스로서 O3를 포함하는 처리 가스가 형성된다. 액체로 있는 상기 TEPO와 TEOS 소스는 액체 주입 시스템에 의해 기상화된 다음에 헬륨과 같은 불활성 캐리어 가스와 조합된다. 상기 액체는 유입된 반응물 액체의 부피에 대한 상당한 제어를 제공하는 가스 혼합 시스템(93)내의 액체 주입 시스템에 의해 기상화된다. 상기 TEPO의 흐름 속도는 요구된 도판트 농도에 의존하여 약 10-100mgm 사이, 바람직하게 약 5-30mgm, 가장 바람직하게 약 24mgm인 반면, 상기 TEOS 흐름 속도는 약 500-1500mgm, 바람직하게 약 1000mgm이다. 다음에 상기 기상화된 TEOS와 TEPO 가스는 2000-6000sccm 사이의 속도, 바람직하게 약 4000sccm의 흐름 속도로 흐르는 헬륨 캐리어 가스와 혼합된다. O3 형태의 산소(약 5-16wt% 산소를 가지는)는 약 2500-6000sccm의 흐름 속도, 바람직하게 약 4000sccm의 흐름 속도로 유입된다. 상기 가스 혼합물은 가열-유도된 화학 반응이 요구된 막을 형성하도록 발생하는 기판 표면에 반응 가스를 공급하기 위해 상기 분배 플레이트(20)로부터 챔버(15)내로 유입된다.
상기 조건은 약 1780℃/분의 속도로 증착되는 PSG 막을 초래할 수 있다. 증착 시간을 제어함으로써, 증착되는 PSG 막의 두께는 쉽게 제어될 수 있다. 바람직하게, 상기 생성된 PSG 막내의 인 wt%는 약 2-8wt%, 바람직하게 약 4wt% 범위에 있다.
3. 바람직한 BPSG 막 증착
단지 예로서, 아래에 개시된 BPSG 막 증착 개념은 PMD 층으로서 유용하게 될 수 있는 BPSG 막을 형성할 수 있다. 물론, 상기 개념은 상기 BPSG 층의 특별한 사용과 요구된 품질에 의존하여 변경될 수 있다.
바람직한 BPSG 벌크 막은 웨이퍼와 가열기(25)를 약 100-800℃ 사이의 온도, 바람직하게 약 400-650℃ 범위 이내의 온도, 및 가장 바람직하게 약 480℃까지 가열하고, 상기 온도 범위를 증착 내내 유지함으로써 증착된다. 게이트 밸브(280)가 밀폐되어, 챔버(15)는 약 10-760torr 범위내의 압력으로 유지된다. 바람직하게, 상기 압력은 약 150-600torr 범위로 유지되고, 가장 바람직하게 약 200torr로 유지된다. 가열기(25)는 가스 분배 플레이트(20)로부터 약 150-400mil에 배치되고, 바람직하게 플레이트(20)로부터 약 300mil에 배치된다.
붕소의 소스로서 TEB, 인의 소스로서 TEPO, 실리콘의 소스로서 TEOS, 및 산소의 가스 소스로서 O3을 포함하는 처리 가스가 형성된다. 액체로 있는 상기 TEB, TEPO와 TEOS 소스는 액체 주입 시스템에 의해 기상화된 다음에 헬륨과 같은 불활성 캐리어 가스와 조합된다. 물론, 또한 붕소, 인, 실리콘, 및 산소의 다른 소스가 사용될 수 있다고 인식된다. 상기 TEB의 흐름 속도는 바람직하게 약 150-200mgm이다. 상기 TEPO의 흐름 속도는 요구된 도판트 농도에 의존하여 약 10-100mgm이고, 바람직하게 약 35-75mgm이고, 가장 바람직하게 약 24mgm인 반면, 상기 TEOS 흐름 속도는 약 300-700mgm이다. 다음에 상기 기상화된 TEOS, TEB와 TEPO 가스는 2000-8000sccm 사이의 속도, 바람직하게 약 6000sccm의 흐름 속도로 흐르는 헬륨 캐리어 가스와 혼합된다. O3 형태의 산소는 약 2000-5000sccm의 흐름 속도, 바람직하게 약 4000sccm의 흐름 속도로 유입된다. 상기 오존 혼합물은 약 5-16wt% 산소를 포함한다. 상기 가스 혼합물은 가열-유도된 화학 반응이 요구된 막을 형성하도록 발생하는 기판 표면에 반응 가스를 공급하기 위해 상기 분배 플레이트(20)로부터 챔버(15)내로 유입된다.
상기 조건은 약 3500-5500℃/분의 속도로 증착되는 BPSG 막을 초래할 수 있다. 증착 시간을 제어함으로써, 증착되는 BPSG 막의 두께는 쉽게 제어될 수 있다. 상기 생성된 BPSG 막은 2-6wt%의 붕소 농도 레벨과 약 2-9wt%의 인 농도 레벨을 가진다.
상기 BSG, PSG, BPSG 처리와 아래의 USG 처리의 파라미터는 청구항에 제한되는 것으로 고려되지 않아야 한다. 예를 들면, 본 발명은 또한 예를 들어 비소를 포함하는 다른 도판트로 도핑되는 실리콘 산화막에 응용가능하다. 다른 예로서, 이미 개시된 상기 흐름 값은 200 ㎜ 웨이퍼에 대해 준비된 챔버에 적용하지만, 사용된 챔버의 타입과 크기에 의존하여 다를 수 있다. 또한 종래 기술에 종사하는 당업자는 유사한 막을 형성하기 위해 다른 화학 제품, 챔버 파라미터 및 조건을 사용할 수 있다.
막 안정성은 반도체 재료내로 확산하기 위한 도핑 유전체 막의 도판트 원자의 능력에 대한 요인이 될 수 있다고 믿어진다. 막 안정성을 개선하고 이런 도핑 유전체 막으로부터 반도체 재료로의 도판트 확산을 제어하는 능력을 개선하는 수가지 방법이 연구되었다. 개시된 각각의 방법은 상기 층이 이미 개시된 바람직한 증착 개념중 하나와 같은 개념을 사용하여 증착된 후 도핑 유전체 층상에서 수행될 수 있다. 더욱이, 아래에 개시된 방법이 BSG(또는 PSG) 막의 취급에 관련되더라도, 상기 방법은 균일하게 소정의 도핑 실리콘 산화막에 응용가능하다.
4. 바람직한 USG 막 증착
a. 산화물 충전 재료 또는 절연층
본 발명의 일실시예에 따르면, 비도핑 실리케이트 글래스(USG) 층은 얕은 트렌치 절연을 위해 사용되는 얕은 트렌치를 채우기 위한 산화물 충전 재료로서 사용하기 위해 CVD 챔버(10)에서 증착될 수 있다. 물론, 상기 USG 막은 또한 IMD 층, 절연층 또는 다른 층으로서 사용될 수 있다. 아래에 개시된 바람직한 USG 개념은 최소 수축으로 800℃ 이상의 온도에서의 어닐링을 견디는 매우 조밀하고 균일한 막을 제공한다. 또한 고종횡비 스텝 커버리지를 위한 뛰어난 갭 충전을 제공하는 상기 USG 막은 USG내에 소정의 보이드를 개방하지 않고 매우 균일한 에칭 속도의 몇몇 에칭 처리를 보장할 수 있다. 또한 상기 USG 막은 소정의 보이드를 개방하거나 상기 USG에 주름을 형성하지 않고 화학 기계적 연마(CMP) 평탄화를 보장할 수 있다.
상기 웨이퍼와 가열기(25)는 약 200-650℃의 범위, 바람직하게 약 550-650℃ 사이의 온도까지 가열되고, 다음에 증착 내내 이런 온도로 유지된다. 가열기(25)는 가스 분배 플레이트(20)로부터 약 250-400mil, 바람직하게 약 350mil에 배치된다. 게이트 밸브(280)가 밀폐되어, 상기 챔버(15)내의 압력은 약 10-760torr, 바람직하게 약 600torr의 레벨로 유지된다.
산소와 실리콘 소스를 포함하는 처리 가스는 상기 증착 챔버 내로 유입된다. 바람직한 실시예에서, 상기 실리콘 소스는 TEOS이고 상기 산소 소스는 O3미지만, 종래 기술에 종사하는 당업자는 SiH4, TMCT 또는 유사한 소스와 같은 부가적 실리콘 소스, O2, H2O, N2O, 마이크로파 발생된 원자 산소와 같은 다른 산소 소스, 및 유사한 소스와 같은 종류의 혼합물이 사용될 수 있다고 인식할 것이다. TEOS가 실리콘 소스로서 사용될 때, 캐리어 가스, 이를테면 헬륨 또는 질소가 사용된다. O3 대 TEOS의 비율은 약 2-17:1 범위일 수 있지만, 바람직하게 약 2-6:1 사이의 범위이다.
상기 가스 반응물의 최적의 전체 흐름은 상기 증착 챔버의 기하학적 형태와 디자인에 따라 변화할 것이다. 또한 상기 가스 흐름은 상기 증착 속도를 제어하기 위해 변경될 수 있다. 전형적으로, TEOS는 약 500-2500mgm 사이의 흐름 속도로 유입되고 바람직하게 2000mgm 사이의 흐름 속도로 유입된다. (약 5-16wt% 산소 사이의) O3는 약 2000-10000sccm, 바람직하게 약 5000sccm 사이의 흐름 속도로 유입된다. 헬륨 또는 질소는 2000-10000sccm, 바람직하게 약 7000sccm 사이의 흐름 속도로 유입되는 캐리어 가스로서 사용될 수 있다. 통상, 상기 증착 챔버 내로의 가스 전체 흐름 속도는 약 5000-20000sccm, 바람직하게 약 15000sccm 사이에서 변화할 것이다. 상기 조건하에서, 약 1450℃/분 이상의 증착 속도에 도달할 수 있다. 상기 흐름 값은 200㎜ 웨이퍼 챔버를 위한 것이고 사용된 챔버의 크기와 웨이퍼의 크기에 의존하여 변화할 것이다.
b. 캐핑 층
본 발명의 다른 실시예에 따르면, 상기 증착된 BSG(또는 PSG)는 얇은 개별 USG 층으로 덮혀질 수 있다. 상기 USG 캐핑층은 쉽게 습기를 흡수하지 않는 안정된 막이다. 그러므로, 상기 USG 캐핑 층은 대기에 존재하는 수분이 상기 BSG(또는 PSG)내로 흡수되는 것을 방지하는 BSG(또는 PSG)의 상부에 소수성 표면을 제공한다. 더욱이, 상기 USG 캐핑 층은 붕소(또는 인) 방출을 방해하는 상당히 조밀한 막이다. 그 결과 상기 USG 캐핑 층은 반도체 재료내로의 도핑 유전체 층의 더 많은 도판트 원자의 제어된 하향 확산을 촉진한다. 상기 USG 캐핑 층이 없다면, 일부의 도판트 원자는 순차적 어닐링 또는 급속 열처리 동안 상기 반도체 재료로부터 상향으로 확산할 수 있다. 그러므로, 캐핑 층의 사용은 확산과 접합 깊이 제어에 기여한다. 상기 USG 층은 상기 BSG(또는 PSG)로부터 개별 처리 챔버에서 증착될 수 있지만, 바람직하게 챔버(15)에서 상기 BSG(또는 PSG)의 증착이 또한 발생하는 인-시튜로서 수행된다. 물론, 캐핑 층을 형성하기 위한 많은 처리가 가능하다.
USG 층을 형성하기 위한 다음의 방법은 예로서 개시된다. 비도핑 실리케이트 유리 층은 또한 아래에 개시된 바와 같은 캐핑 층과 아래에 놓이는 도핑 유전체층의 사용없이 절연 유전체 층으로서 사용될 수 있다.
상기 웨이퍼와 가열기(25)는 약 200-600℃, 바람직하게 약 500℃ 범위내의 온도까지 가열되고, 다음에 증착 내내 이런 온도로 유지된다. 가열기(25)는 가스 분배 플레이트(20)로부터 약 250-350mil, 바람직하게 약 300mil에 배치된다. 게이트 밸브(280)가 밀폐되어, 상기 챔버(15)내의 압력은 약 50-760torr, 바람직하게 약 200-600torr, 가장 바람직하게 약 400torr의 레벨로 유지된다.
산소와 실리콘 소스를 포함하는 처리 가스가 상기 챔버 내로 유입된다. 바람직한 실시예에서, 상기 실리콘 소스는 TEOS이고 상기 산소 소스는 O3이지만, 또한 종래 기술에 종사하는 당업자는 실란, TMCT 또는 유사한 소스와 같은 부가적 실리콘 소스, 및 O2, H20, N2O와 유사한 소스와 동일 종류의 혼합물같은 다른 산소 소스가 사용될 수 있다고 인식할 것이다. TEOS가 실리콘 소스로서 사용될 때, 헬륨 또는 질소와 같은 캐리어 가스가 사용된다. O3 대 TEOS의 비율은 약 2-17:1이지만, 바람직하게 약 2-6:1 사이의 범위일 것이다.
상기 가스 반응물의 최적의 전체 흐름은 상기 증착 챔버의 기하학적 형태와 디자인에 따라 변화할 것이다. 또한 상기 가스 흐름은 상기 증착 속도를 제어하기 위해 변경될 수 있다. 전형적으로, TEOS는 약 500-1500mgm 사이의 흐름 속도로 유입되고 바람직하게 약 1000-1250mgm 사이의 흐름 속도로 유입된다. O3(약 5-16wt% 산소 사이의)은 약 2000-10000sccm, 바람직하게 약 7000sccm 사이의 흐름 속도로 유입된다. 헬륨 또는 질소는 2000-6000sccm, 바람직하게 약 4000sccm 사이의 흐름 속도로 유입되는 캐리어 가스로서 사용될 수 있다. 통상, 상기 증착 챔버 내로의 가스 전체 흐름 속도는 약 5000-20000sccm, 바람직하게 약 15000sccm 사이에서 변화할 것이다. 상기 조건하에서, 약 500과 1500℃/분의 증착 속도가 도달할 수 있다. 이런 증착 속도에서, 약 100-200℃의 USG 층이 대략 20초에 증착될 수 있다. 상기 흐름 값은 200㎜ 웨이퍼 챔버를 위한 것이고 사용된 챔버의 크기와 웨이퍼의 크기에 의존하여 변화할 것이다.
USG 캐핑 층을 형성하기 위한 다음의 방법은 예로서 개시된다. 바람직한 응용은 약 50-500℃, 바람직하게 약 100과 300℃ 사이의 USG 캡 층을 가질 것이다. 그러나, 종래 기술에 종사하는 당업자는 서로 다른 두께의 캐핑 층이 특정 응용과 소자 기하학적 형태 크기에 의존하여 사용될 수 있다고 인식할 것이다. 상기 증착된 막이 사용되는 응용과 갭 크기에 의존하여, 필수적은 아니더라도, 상기 캐핑 층과 도핑 유전체 층은 상대적으로 얇게 되는 것이 바람직하다. 얇은 층에 대하여, 상기 증착 시간과 에칭 시간은 더 두꺼운 층과 비교할 때 감소된다. 상기 USG 캐핑 층이 증착되고, 상기 USG 층과 상기 도핑 유전체 층은 둘다 에칭백 된다. 또한 서로 다른 캐핑 층, 이를테면 다른 유사한 안정된 산화막이 상기 도핑 유전체층을 덮는데 사용될 수 있다. 더욱이, 상기 USG 캡 층은 SACVD 대신에 APCVD, PECVD, 또는 LPCVD, 도핑 유전체 막 상에 형성될 수 있다. 이미 개시된 바와 같이, 진공이 다중 챔버 시스템에서의 여러 챔버 사이의 기판 이송 동안 파괴되지 않는 다중 챔버 시스템의 사용으로, 다중 처리 단계의 인-시튜 수행을 위한 챔버(15)의 사용이 가장 바람직하다.
본 발명의 다른 실시예에 따르면, 인-시튜 USG 또는 유사한 캡 층은 상기 도핑 층의 증착의 완료 바로 이전에 붕소 소스 또는 인 소스를 차단함으로써 도핑 유전체 막 상에 형성된다. 이런 실시예에서, 상기 초기 도핑 유전체 층, 이를테면 BSG(또는 PSG)는 이미 개시된 바와 같이 형성된다. 다음에 상기 챔버(15)내로의 도판트 소스의 흐름은 열반응이 1-30초의 부가적 주기 동안 지속하는 동안 정지된다. 바람직하게, 상기 열반응은 약 3-10초 동안 지속한다. 이런 실시예에서, 상기 도판트 소스는 상기 열반응이 적어도 5초 동안 도판트없이 유지되도록 상기 소스의 공급 라인 상의 밸브 밀폐에 의해 정지된다.
물론, 상기 도판트 가스 소스의 정지는 가스가 상기 밸브의 위치로부터 가스 혼합 시스템(93)까지 플레이트(20)의 면판을 통해 이동하는데 걸리는 시간으로 조정되어야 한다. 대부분의 CVD 장치에서 가스가 상기 주입 밸브로부터 상기 증착 챔버까지 흐르는데 수 초가 요구되고, 그래서 상기 밸브는 이런 지연을 허용하도록 앞서서 충분히 밀폐되어야 한다. 그러므로, TEB가 붕소 소스라면, 상기 BSG 층의 증착 완료 이전에 상기 TEB 공급 라인상의 밸브의 수 초 동안의 밀폐는 이전에 개시된 습기 흡수와 배출 현상의 발생을 방지하는 얇은 USG 캡을 형성한다.
상기 도핑 유전체 층상에 형성된 상기 USG 캡의 인-시튜 증착은 개선된 안정성과 습기 흡수에 대한 면역을 초래하며, 극도로 얕은 도핑 영역을 형성하는 확산의 개선된 제어에 기여한다.
USG 캐핑 층의 사용 대신에, 또는 부가적으로, 상기 도핑 유전체층의 플라즈마 처리는 습기 흡수를 감소하고 상기 도핑 유전체층의 안정성을 개선하는데 사용될 수 있다. RF 플라즈마 시스템을 갖춘 이런 실시예에서, 상기 플라즈마 치밀화 처리가 사용될 수 있는데, 소자에 손상을 주는 플라즈마는 중요한 관계가 없다. 일부 실시예에서, 약 500℃ 이상의 온도에서의 증착이 조밀한 유전체 막을 제공하는데 충분하게 될 수 있다. 상기 플라즈마 처리되는 도핑 유전체층의 개선된 안정성은 극도로 얕은 도핑 영역을 형성하는 확산의 개선된 제어로 이어진다. 챔버(15)는 상기 플라즈마 치밀화 처리동안 약 1-5torr 사이로 유지된다. 게이트 밸브(280)가 밀폐되어, 질소(N2), 암모니아(NH3), 또는 아르곤 같은 반응 가스를 사용하여 형성된 플라즈마가 챔버(15)내로 유입된다. 사용될 수 있는 상기 플라즈마 처리의 단순한 한 예로서, N2 같은 반응 가스가 약 1000sccm의 속도로 가스 혼합 시스템(93)내로 유입되어 1000sccm의 속도로 유입되는 헬륨과 혼합된다. RF 플라즈마 시스템은 챔버(15)내에 플라즈마를 형성하기 위하여 예를 들어 약 350 메가헤르츠(㎒)의 RF 주파수에서 약 450 와트의 전력 레벨로 동작된다. 상기 플라즈마는 표면이 다소 질화될 수 있는 상기 도핑 유전체 층의 표면을 패시베이션하는데 소용된다. 그러므로 상기 플라즈마 처리는 상기 도핑 유전체막을 치밀화한다. 습기 흡수에 저항하는 상기 치밀화된 도핑 유전체 층내의 많은 도판트는 극도로 얕은 접합을 형성하는데 유용하다.
D. 인-시튜 증착 및/또는 리플로우를 위한 가열 처리
CVD 장치(10)는 2단계 증착/리플로우 처리를 위한 동일 웨이퍼상의 증착 처리를 수반하는 인-시튜 가열 단계, 또는 1단계 증착/리플로우 처리를 위한 동일 웨이퍼 상의 증착 처리에 수반하는 인-시튜 가열 단계를 허용하는 고온 능력을 가진다. 고종횡비 트랜지스터 또는 절연 트렌치 상에 형성된 PMD 층으로서 사용하기 위하여 비도핑 또는 도핑 유전체막, 이를테면 PSG는 종종 집적 회로 소자를 형성하는데 중요한 평탄화를 요구한다. 도핑 유전체층의 평탄화는 고온에서 상기 층을 리플로우시킴으로써 수행될 수 있다. 또한 리플로우 수행은 증착된 막, 특히 웨이퍼 상의 고종횡비 형태의 갭 충전을 개선하는데 기여한다. 물론, 다른 목적과 응용을 위한 가열 단계는 또한 CVD 장치(10)에서 수행될 수 있다. 아래에 개시된 다음의 가열 절차는 단순히 리플로우를 위해 사용될 수 있는 바람직한 가열 단계로서 소용되지만, 또한 일부 응용에서의 드라이브-인 또는 다른 목적을 위한 다른 가열 단계가 수행될 수 있다.
특정 실시예에 따르면, 2단계 증착/리플로우 처리가 아래에 개시된다. 게이트 밸브(28)가 밀폐되어, 챔버(15)는 약 200-760torr의 압력으로 유지될 수 있다. 분배 플레이트(20)로부터 약 200-400mil 사이, 바람직하게 약 330-350mil의 처리 위치에 있는 웨이퍼와 가열기(25)로, 상기 웨이퍼와 가열기(25)는 증착 처리 동안 챔버(15)에서의 약 500-800℃ 사이, 바람직하게 약 550-650℃ 사이의 고온으로 가열된다. 반응 가스 흐름을 정지하고, 상기 웨이퍼는 특정 실시예에 따라 상기 유전체 층을 리플로우시키도록 약 5-30분, 바람직하게 약 15-20분 동안 약 750-950℃ 사이, 바람직하게 750-850℃ 사이로 가열된다. 상기 리플로우 온도는 2단계 처리에서의 증착 온도와 동일하거나 더 높을 수 있다. 더욱이, 다단계 증착/리플로우 처리를 위하여, 상기 온도는 리플로우 온도까지 상승하기 전에 상기 증착 온도로부터 중간 온도로 상승될 수 있다. 물론, 상기 가열 단계를 위한 시간과 온도는 수행되는 특별한 응용과 형성되는 특별한 층에 의존하여 다를 수 있다.
다른 특정 실시예에 따라, 1단계 증착/리플로우 처리가 개시된다. 게이트 밸브(28)가 밀폐되어, 챔버(15)는 약 200-760torr의 압력으로 유지될 수 있다. 분배 플레이트(20)로부터 약 200-400mil 사이, 바람직하게 약 330-350mil의 처리 위치에 있는 웨이퍼와 가열기(25)로, 상기 웨이퍼와 가열기(25)는 발생하는 동시 증착과 리플로우 처리 동안 챔버(15)에서의 약 750-950℃ 사이, 바람직하게 약 750-850℃ 사이의 충분히 높은 온도까지 가열된다. 물론, 상기 증착/리플로우 단계를 위한 시간과 온도는 형성되는 특별한 층에 의존하여 다를 수 있다.
이미 개시된 바와 같이, 다중 인-시튜 처리동안 동일한 챔버(15)내에 웨이퍼를 유지하는 것은 습기가 흡수되고 불순물에 의해 오염되는 대기에 웨이퍼가 노출되는 것을 방지한다. 더욱이, 약 550℃ 이상의 온도에서의 유전체 막의 증착은 가열될 때 보이드를 형성하지 않는 조밀하고 고품질 막을 제공할 수 있다. 또한 이런 온도에서 증착되는 막의 증착은 수축을 감소시킨다.
E. 챔버 세척/시즈닝/게터링 처리
이미 개시된 예시적 단계와 같은 상기 처리 단계 또는 다중 처리 단계가 챔버(15)내의 웨이퍼 상에서 수행된 후, 상기 웨이퍼는 필요한 순차적 처리 단계를 위해 챔버(15)의 외부로 이송된다. 상기 진공 록 도어가 밀폐될 때, 가열기(25) 상에 웨이퍼 없이 챔버(15)를 밀봉하여, 챔버(15)는 증착 처리 잔류물, 이를테면 챔버(15)의 하부, 가열기(25)의 하부, 뿐만아니라 다른 챔버 부품내의 라이닝되지않은 챔버벽을 포함하는 챔버(15)의 부분으로부터의 원치않는 산화물 및/또는 질화물을 제거하기 위하여 챔버 세척 처리를 겪게 된다. 확실한 웨이퍼 대 웨이퍼 반복성을 보장하기 위하여, 상기 챔버 세척은 증착 처리동안 형성하는 소정의 잔류물을 제거한다. 이런 잔류물은 원격 마이크로파 플라즈마 시스템(55)에 의해 반응성 세척 가스로 형성된 플라즈마로부터의 플루오르 라디컬을 사용함으로써 챔버 부품으로부터 세척될 수 있다. F 원자를 갖는 플라즈마의 높은 반응성 때문에, 잔류물 실리콘 산화물은 챔버(15)의 외부로 펌핑되는 SiF4 가스 부산물의 형성에 의해 제거될 수 있다. 아래에 개시된 상기 챔버 세척 절차는 모든 웨이퍼 또는 모든 수개의 웨이퍼의 처리 후에 수행될 수 있다.
본 발명의 바람직한 실시예에서, NF3는 상기 플루오르 라디컬을 제공하는 세척 가스로서 사용된다. 본 발명은 바람직하게 NF3 가스를 분해하여 챔버(15)내로 F 원자의 흐름을 발생하기 위해 원격 마이크로파 플라즈마 시스템(55)을 사용한다. 본 발명의 원격 마이크로파 플라즈마 시스템(55)을 사용한 플루오르 화학 작용의 사용은 유리하게 낮은 운동 에너지를 가지고, 인-시튜 플라즈마 처리와 비교할 때 순차적으로 증착된 막에서의 물리적 스퍼터링 효과 또는 대전 종의 형성을 가지지 않는다. 더욱이, 전체적인 워밍 효과를 감소하는 환경 요구에 관련하여, NF3의 사용은 소정의 긴 수명의 수소를 플루오르로 치환한 탄소(PFC : perflouro-crbon) 부산물을 발생시키지 않는다.
더 나은 세척 효과를 보장하기 위하여, 상기 F 플럭스와 그것의 세척 균일도는 최적화되어야 한다. 특정 NF3 가스 흐름에서, 임계 마이크로파 전력이 설정되어지고, 전력상에서 F 원자의 발생이 이들의 재결합에 의해 보상된다. 도 21은 본 발명의 특정 실시예에 따라 소정의 비싼 하드웨어 비용없이 최고 세척 속도를 제공하는 NF3 흐름과 마이크로파 포화 전력 사이의 관계를 도시한다. 도 21에 도시된 바와 같이, 상기 마이크로파 포화 전력은 특정 실시예에 따라 약 500-900sccm 사이의 대응하는 NF3 흐름에 대해 약 1300-2100 와트 사이의 범위이다. 아래에 개시된 바람직한 실시예를 위하여, 상기 마이크로파 포화 전력은 약 950sccm의 NF3 흐름에 대해 약 2100 와트이다. 상기 세척 균일도는 가스 종과 펌핑 프로파일의 평균 자유 경로를 조절할 수 있는 챔버 압력과 가열기 간격에 의해 제어될 수 있다. 이전에 개시된 바와 같이, 이미 개시된 실시예의 어플리케이터 튜브(292)에 의해 허용될 수 있는 최고 압력은 약 2torr이고, 간격은 세척 균일도를 최적화하는데 사용된다. 다른 실시예에서 다른 실시예의 어플리케이터 튜브(292)를 사용하여, 압력과 간격이 둘다 상기 세척 균일도 최적화에 사용될 수 있다.
모범적 챔버 세척 처리에서, 챔버(15)는 상기 세척 절차 내내 약 300-650℃, 바람직한 실시예에서 가장 바람직하게 약 550-600℃ 범위의 온도로 유지된다. 가장 바람직하게, 챔버(15)는 특별한 처리가 챔버(15)에서 수행되는 동일한 온도로 유지된다. 예를 들면, 챔버 세척 처리는 이미 개시된 예와 같이 600℃에서 PSG 막을 증착하는 동안 사용된 이런 챔버에서 600℃로 수행될 것이다. 스로틀 밸브가 개방되고 게이트 밸브(280)가 밀폐되어, 가열기(25)는 가스 분배 플레이트(20)가 가열되도록 가스 분배 플레이트(20)로부터 약 100-250mil, 바람직하게 약 150mil에 배치된다. 가스 분배 플레이트(20)의 가열은 발생하는 더 빠른 세척을 허용한다. 이런 가열 단계는 약 3-10초, 바람직하게 약 5초 동안 수행될 수 있다.
상기 압력과 세척 가스 흐름은 세척이 수행되기 이전에 최적으로 안정화된다. 상기 세척전 안정화 단계 동안, 챔버(15)는 플루오르 종이 빨리 제거되지 않고 재결합이 발생하지 않고 또한 세척 단계 동안 사용되는 압력 레벨로 최적으로 유지되어야 한다. 세척전 안정화 단계에서, 쳄버(15)는 게이트 밸브(28)가 개방되어 약 1-2torr, 바람직하게 약 1.5torr 사이의 압력에 도달한다. 약 1-2torr 미만의 압력으로 유지된 챔버(15)를 사용하여, 플루오르 종의 빠른 제거가 발생되고, 빈약한 챔버 세척 결과를 초래한다. 약 1-2torr 이상의 챔버 압력에서, 재결합은 충돌 손실에 기인하여 발생할 것이고, 뿐만아니라 과열을 초래하여 어플리케이터 튜브(292)에 손상을 준다. 가열기(25)는 가스 분배 플레이트(20)로부터 약 450-700mil, 바람직하게 약 600mil 사이의 거리로 이동된다. 상기 세척 가스, NF3는 또한 약 600-1100sccm, 바람직하게 약 950sccm 사이의 속도로 어플리케이터 튜브(292)내에 유입된다. 이런 세척전 안정화 단계는 마이크로파 전력이 챔버 세척 단계 동안 인가되기 이전에 약 2-6초, 바람직하게 약 3초동안 지속한다.
상기 챔버 세척 단계에서, 챔버(15)내의 세척전 안정화 조건은 약 1-2torr, 바람직하게 약 2torr 범위의 압력으로 유지된다. 상기 세척 절차가 수행될 때, 약 500-2500 와트 사이의 마이크로파 전력이 어플리케이터 튜브(292)에 인가된다. 바람직하게, 자석(711)은 약 2.45㎓ 마이크로파를 제공하고 약 950sccm의 바람직한 세척 가스 흐름을 위해 약 2100 와트의 CW 모드로 동작된다. 상기 마이크로파는 자석(711)으로부터 상기 도파관을 통해 전송되어 이미 개시된 바와 같이 상기 윈도우를 통해 어플리케이터 튜브(292)에 진입하여 시스템을 최적화한다. 상기 윈도우에서 어플리케이터 튜브(292)에 진입하는 마이크로파 에너지에 의해 유지되는 이온화로, UV 램프(731)는 플라즈마를 형성하기 위하여 어플리케이터 튜브(292) 내의 반응 가스를 점화시킨다.
상기 챔버 세척 단계 동안, 마이크로파가 인가되는 어플리케이터 튜브(292)에 형성된 상기 플라즈마로부터의 플루오르 라디컬은 개방된 게이트 밸브(280)를 통해 원치않는 산화물 잔류물의 표면을 세척하도록 챔버(15)내로 흐를 수 있다. 상기 플라즈마가 챔버(15)의 업스트립에 형성되기 때문에, 단지 상기 플라즈마내의 반응성 플루오르 라디컬만이 챔버(15)의 잔류물 형성부에 도달하여 그것을 제거할 수 있다. 그러므로, 챔버(15)의 여러 부분은 상기 챔버(15)에 손상을 주는 직접 플라즈마를 최소화하는 동안 증착 처리 잔류물로 세척된다. 상기 챔버 세척은 약 30초 내지 10분, 바람직하게 약 60-200초, 더욱 바람직하게 약 160초 동안 지속한다. 물론, 상기 챔버 세척 시간은 챔버(15)내의 산화물 잔류물의 두께와 타입에 의존하여 변할 수 있다. 이미 언급된 바와 같이, 흐름 값은 챔버의 크기와 타입, 다른 실시예에 사용된 어플리케이터 튜브의 치수와 재료에 의존하여 다를 수 있다. 전술한 세척 공정은 또한 블록커 및 가스 분산 플레이트 뒤의 바람직하지 않는 이면 잔여 증착을 감소시킨다.
상기 챔버 세척 이후에, 부가적 후 세척 단계가 수행된다. 상기 후 세척 단계 동안, 챔버(15)는 바람직하게 상기 증착과 세척 처리를 위해 개시된 상기 온도로 유지된다. 상기 챔버 세척 단계의 끝에서, 세척 가스 흐름은 정지되고 마이크로파 전력은 더 이상 공급되지 않는다. 챔버(15)는 대부분의 F 잔류물 원자를 제거하도록 펌핑된다. 이런 후 세척 펌핑 단계동안, 가열기(25)는 상기 스로틀 밸브가 개방되고 게이트 밸브(280)가 개방된 채로 유지되는 동안 가스 분배 플레이트(20)로부터 약 1500-2200mil, 바람직하게 약 2000mil 사이의 위치로 이동된다. 상기 펌핑 단계는 챔버(15)의 외부로 배출되는 세척 가스 반응물과 잔류물의 양에 의존하여 약 5-20초, 바람직하게 약 10초 동안 지속한다. 또한 세척 종말점 검출 시스템이 실질적으로 모든 증착 처리 잔류물이 챔버(15)로부터 제거될때까지 후 세척 펌핑에 대한 정지 시간 결정을 보조하는데 사용될 수 있다.
상기 플루오르 기초 챔버 세척 절차 이후에, 다음의 증착 처리가 발생할 때 웨이퍼가 배치되는 곳에 가까운 챔버 벽의 표면상에서 활동성 플루오르 종이 약간 흡수될 수 있다. 다음 증착 처리에서, 상기 플루오르는 상호 작용하거나 상기 증착된 막에 결합할 것이고, 상기 표면의 막 민감도를 초래한다. 이런 막 민감도는 고집적 소자에 의해 요구되는 공차로 문제가 될 수 있는 거친 표면처럼 나타나고, 소자 고장을 초래한다. 본 발명은 아래에 개시된 수개 방법에 의해 챔버 벽의 표면으로부터 소정의 흡수된 플루오르를 게터링하는 능력을 제공한다.
상기 후 세척 펌핑 단계 이후에, 시즈닝이 화학 반응 또는 실리콘 산화물(SiO2) 증착을 통한 챔버 벽에 대한 F의 포획에 의해 모든 자유 F 종을 재결합하기 위해 수행될 것이다. 상기 후 세척 펌핑과 시즈닝 단계는 순차적으로 증착되는 막 내부의 미립자 형성과 F 함량 둘다를 감소하기 위해 수행된다.
최적으로, 상기 후 세척 펌핑 단계와 시즈닝 단계 사이는 챔버 압력과 가스 흐름을 안정화하고 상기 시즈닝 단계를 위한 위치로 가열기(25)를 이동하기 위한 다른 안정화 단계이다. 이런 안정화 단계에서, 게이트 밸브(280)는 밀폐되고 챔버(15)는 약 20-70torr, 바람직하게 50torr의 압력으로 유지된다. 가열기(25)는 또한 가스 분배 플레이트(20)로부터 약 300-550mil, 바람직하게 약 500mil의 위치로 이동된다. 특정 실시예에서, 현재 개시되는 상기 시즈닝 단계는 순차적 실리콘 산화물 증착을 위한 챔버(15)를 시즈닝하기 위해 캐리어 가스로서 헬륨과 함께 오존과 TEOS를 사용한다. 물론, 다른 가스가 요구된 실리콘 산화물 증착의 타입에 의존하여 상기 시즈닝과 시즈닝전 안정화 단계에 사용될 수 있다. 상기 시즈닝전 안정화 단계에서, 약 200-400mgm, 바람직하게 약 300mgm의 흐름 속도의 액체 TEOS가 기상화되어 챔버(15)내로 약 4000-8000sccm, 바람직하게 약 6000sccm의 속도로 흐르는 헬륨 캐리어 가스와 함께 운송된다. 가스 흐름은 증착을 위해 사용된 보통 주입구 또는 어플리케이터 튜브(292)를 통해 마이크로파의 응용없이 챔버(15)내로 유입될 수 있다. 이런 안정화 단계는 상기 시즈닝 단계가 챔버(15)상의 시즈닝 산화물의 증착을 시작하는 산소 소스의 유입으로 시작하기 전에 약 5-25초, 바람직하게 약 15초 동안 지속한다. 상기 열적 시즈닝 단계에서, 오존은 챔버(15)의 표면상에 실리콘 산화물의 얇은 층(예를 들면, 약 2.5 wt% 산소를 가지는 실험적 USG 증착 처리, 또는 약 8 wt% 산소를 가지는 실험적 PSG 증착 처리)을 증착하도록 약 10-20초, 바람직하게 약 15초동안 사용된 특별한 증착 처리를 위해 사용된 흐름 속도(예를 들면, 이미 개시된 600℃에서의 실험적 USG 증착 처리에 대해 약 5000sccm, 또는 이미 개시된 600℃에서의 실험적 PSG 증착 처리에 대해 약 4000sccm)로 유입된다. 상기 시즈닝 단계 동안, 상기 오존 흐름은 오존 흐름과 농도의 소정의 변동을 감소하도록 상기 증착 처리와 최적으로 일치한다. 시즈닝 챔버(15)는 그 결과 챔버(15)의 표면 상에 흡수될 수 있는 플루오르 원자를 포획할 수 있다.
이미 개시된 열적 시즈닝 단계에 수반하여, 최종 안정화와 펌핑 단계가 수행될 것이다. 최적으로, 이런 최종 단계는 또한 이미 개시된 증착 온도로 수행된다. 상기 최종 안정화 단계에서, 상기 스로틀 밸브는 챔버 압력이 대기압으로 조절되도록 주기적으로 개방되는 반면, 게이트 밸브(280)는 밀폐된 채 유지된다. 가열기(25)는 가스 분배 플레이트(20)로부터 약 800-1000mil, 바람직하게 약 999mil 사이의 위치로 이동된다. TEOS 흐름은 정지되는 반면, 헬륨과 오존 흐름은 상기 시즈닝 단계에서와 같이 유지된다. 상기 최종 안정화 단계는 상기 최종 펌핑 단계가 시작되기 이전에 약 5-20초, 바람직하게 약 10초의 시간 주기 동안 수행된다. 상기 최종 펌핑 단계에서, 게이트 밸브(280)는 밀폐된 채 있고 가열기(25)는 이동되지 않는다. 모든 가스 흐름이 정지되고 상기 스로틀 밸브가 개방된다. 상기 최종 펌핑 단계는 다른 웨이퍼가 이제 다음 증착 처리, 가열, 또는 웨이퍼 세척 단계를 준비하는 챔버(15)에 진입하기 전에 약 5-20초, 바람직하게 약 10초 동안 지속한다. 상기 최종 안정화와 펌핑 단계는 또한 변형될 수 있고 특별한 시즈닝 단계 또는 선택된 다른 게터링 단계(아래에 개시된 예)와 유사하게 사용될 수 있다.
이미 개시된 실시예의 다른 실시예에서, 이미 개시된 상기 세척전 안정화 단계는 압력과 마이크로파 플라즈마 발생의 세척전 안정화를 허용하는 낮은 마이크로파로부터 마이크로파 전력의 최종 세척 동작 레벨까지의 마이크로파 전력을 상승시키는 단계를 더 포함할 수 있다. 바람직한 다른 실시예에서, 이미 개시된 상기 세척전 안정화 단계는 다음의 세척전 안정화로 대체될 수 있다.
압력과 마이크로파 전력의 연속 안정화를 허용하여, N2(또는 사용된 가스에 의존하는 다른 불활성 가스) 플라즈마를 발생하는 마이크로파 전력을 상승하는 단계는 특정 실시예에 따라 NF3 플라즈마 발생때 어플리케이터 튜브(292)의 더 낮은 전력 압력 쇼크 프로파일을 제공한다. 마이크로파 전력 공급원(110)으로부터 자석(711)에 인가된 마이크로파 전력 레벨은 처리기(50)의 제어하에 조절될 수 있다. 예를 들면, 상기 마이크로파 전력은 상기 안정화 단계 동안 0으로부터 약 300 와트의 레벨(또는 0과 상기 최종 세척 동작 전력 레벨 사이의 다소 다른 전력 레벨)로, 게다가 더욱 점진적인 최적의 안정화 처리를 제공하기 위해 상기 세척 단계에서 2100 와트까지 상승될 수 있다. 특히, 상기 가열 단계후, 가열기(25)는 스로틀 밸브가 개방된 채 유지되고 게이트 밸브(280)가 밀폐된 채 유지되는 동안 가스 분배 플레이트(20)로부터 약 600mil 위치로 이동되고 약 100-400sccm, 바람직하게 약 300sccm의 흐름 속도로 어플리케이터 튜브(292)에 유입된다. 약 5초후, 상기 스로틀 밸브는 밀폐되고 게이트 밸브(280)는 개방되어 상기 챔버(15)가 특정 실시예에서 약 1.5torr의 세척 처리 압력에 도달할 때 다음 5초 동안 압력을 안정화 시킨다. 다음에, 약 200-400 와트 사이, 바람직하게 약 300 와트의 마이크로파 전력의 중간 레벨은 다음 5초 동안 N2 플라즈마를 형성하도록 어플리케이터 튜브(292)에 인가된다. 상기 다음 5초 동안, 또한 NF3는 상기 마이크로파 전력 레벨이 세척 레벨까지 상승되는 동안 어플리케이터 튜브(292)내로 유입된다. 특히, NF3은 상기 마이크로파 전력 레벨이 약 2100 와트의 최종 마이크로파 전력 세척 동작 레벨까지 상승하는 동안 약 600-1100sccm, 바람직하게 약 950sccm의 속도로 어플리케이터 튜브(292)내로 유입될 수 있다. 다음에, N2 흐름이 정지되고 플라즈마가 단지 NF3만을 사용하여 발생되어 약 5초 동안 NF3 플라즈마 발생 안정화의 안정화를 허용한다. 이때, 상기 세척이 이미 개시된 바와 같이 처리될 것이다. 이미 개시된 다른 실시예에서, 압력과 플라즈마 발생은 둘다 NF3 세척 플라즈마로 상기 세척 단계를 수행하기 이전에 안정화된다. 이런 다른 세척전 압력/플라즈마 안정화는 바람직하게 상기 시간 주기의 적당한 슬라이스에 할당된 각각의 전력 레벨 상승으로 약 20-30초 사이의 전체 시간 주기 동안 지속한다. 따라서, 높은 마이크로파 전력(예를 들면, 0 내지 2100 와트)의 당면한 1단계 응용으로부터 압력 쇼크는 최소화되고 어플리케이터 튜브(292)에 대한 증진된 수명을 초래한다.
상기 개시된 실시예가 2단계 전력 레벨 상승이더라도, 다른 실시예는 다단계 상승(예를 들면, 0으로부터 각각 300, 1200, 2100 와트로)할 수 있다. 더욱이, 마이크로파 전력 감소 단계는 임의로 이미 개시된 세척 단계와 후 세척 펌핑 단계 사이에 수행될 수 있다. 또한 2단계 또는 다단계 감소는 다른 실시예에 대해 가능하다. 물론, 상기 상승은 연속적, 일련의 분리된 단계, 또는 이들의 조합이 될 수 있다. RF 플라즈마 시스템을 가지는 CVD 챔버에 대해, RF 전력 레벨의 상승 및/또는 감소는 추가 실시예에 따라 인-시튜 플라즈마 챔버 세척이 사용되는 세척전 안정화 단계를 위해 수행될 수 있다. 특정 시간이 상기 안정화의 각 부분에 대해 이미 개시되었더라도, 상기 특정 시간은 변화될 수 있고 상기 안정화의 일부는 시간을 감소하기 위하여 조합 또는 제거될 수 있다.
이미 개시된 상기 열적 챔버 시즈닝에 대한 대안으로서, TEOS와 O2를 사용하는 챔버 시즈닝이 사용될 수 있다. 기상화된 TEOS는 주입구(143)와 가스 혼합 박스(273)를 통해 또는 상기 덮개의 바이패스 통로를 사용하여 챔버(15)내로 유입될 것이다. 상기 O2는 원자 산소를 생성하도록 마이크로파 플라즈마 시스템(55)의 자석(711)으로부터의 마이크로파에 의한 방사(예를 들면, 약 500-2100 와트 사이, 바람직하게 2100 와트)를 위해 어플리케이터 튜브(292)를 통해 보내진다. O2는 게이트 벨브(280)가 개방되고 챔버(15)가 약 1-2torr, 바람직하게 1.5torr, 및 약 300-650℃, 바람직하게 약 550-600℃ 사이의 온도로 유지되는 동안 약 50-200sccm, 바람직하게 약 100sccm의 흐름 속도로 어플리케이터 튜브(292)내로 유입된다. 상기 원자 산소는 마이크로파 증진된 챔버 시즈닝을 제공하기 위하여 챔버(15)내의 TEOS와 반응할 수 있다. 선택적으로, 인-시튜 플라즈마를 제공할 수 있는 RF 플라즈마 시스템을 가지는 실시예를 위하여, 기상화된 TEOS가 상기 RF 플라즈마 시스템이 원자 산소가 RF 증진된 챔버 시즈닝을 위해 반응할 수 있는 플라즈마를 형성할 수 있는 챔버(15)내로 유입될 것이다.
챔버 표면으로부터 플루오르 원자의 게터링을 제공하는 챔버 시즈닝에 대한 다른 대안으로서, SIH4가 챔버(15)를 정화하기 위하여 챔버(15)내로 약 50-200sccm 사이, 바람직하게 약 100sccm의 속도로 흐르게 될 것이다. 실란은 게이트 밸브(280)가 밀폐되어 상기 다른 공급 소스(도 1c) 중 하나로부터 가스 혼합 시스템(93)까지 챔버(15)내로 라인(85)을 통해, 게이트 밸브(280)가 밀폐되어 다른 정화 주입구를 통해, 또는 마이크로파가 적용되거나 되지 않고 게이트 밸브(280)가 개방되어 어플리케이터 튜브(292)를 통해 챔버(15)까지 흐를 수 있다. 상기 실란 정화 절차동안, 챔버(15)는 게이트 밸브(280)가 밀폐되어 약 1-5torr의 압력과 약 300-650℃ 사이, 바람직하게 약 550-600℃ 사이의 온도로 유지된다. 챔버(15)의 퍼징은 상기 F 원자를 흡수하고 SiF4 가스의 형성을 초래하며, 다음에 상기 배기 시스템을 통해 챔버(15)의 외부로 펌핑된다. 상기 종말점 검출 시스템은 이미 상세히 개시된 바와 같이 상기 시스템이 상기 챔버 세척 처리가 완전히 수행될 때를 결정하도록 한다.
실란을 사용한 챔버(15)의 시즈닝 또는 펌핑의 다른 대안으로서, 이미 개시된 바와 같이, 활성 수소를 챔버(15)에 제공함으로써 게터링이 얻어질 수 있다. 수소(예를 들면, H2 또는 다른 수소 소스)가 약 50-200sccm, 바람직하게 약 100sccm의 흐름 속도로 상기 "세척 가스" 공급 소스로서 사용될 것이고 스위칭 밸브(105)를 통해 어플리케이터 튜브(292)내로 주입구(57)(도 1c)를 통해 보내진다. 자석(711)은 어플리케이터 튜브(292)에 마이크로파 에너지를 제공하여 거기에 플라즈마를 생성하기 위하여 약 500-2500 와트 사이, 바람직하게 약 1000 와트의 전력 레벨로 CW 모드에서 동작된다. 상기 어플리케이터(292)내의 플라즈마로부터의 활성 수소는 밀봉 어셈블리(200)와 챔버(15)에서의 사용을 위해 도관(47)내로 상기 라이닝된 통로를 통해 흐를 것이다. 물론, RF 플라즈마 시스템을 포함하는 시스템을 위해, 수소가 챔버(15)내에 유입될 수 있고 RF 에너지가 활성 수소를 제공하도록 챔버(15)에 인가될 수 있다. 상기 게터링 절차 동안, 챔버(15)는 약 1-2torr의 압력으로, 최적으로 게이트 밸브(280)가 개방되어 약 300-650℃ 사이, 바람직하게 약 550-600℃ 사이의 증착 온도로 유지된다. 상기 활성 수소는 챔버(15)의 외부로 펌핑될 수 있는 수소 플루오르화물(HF) 증기를 생성하도록 흡수된 플루오르와 반응한다. 또한 이미 개시된 종말점 시스템과 유사한 원리로 동작하지만 HF에 의한 흡수에 기인하는 광세기의 변화를 검출하는 종말점 검출 시스템이 사용될 수 있다.
실란, 또는 활성 수소를 사용한 챔버(15)의 시즈닝, 펌핑에 대한 또다른 대안은 챔버(15)내로 암모니아를 제공하는 것이다. 암모니아(NH3)는 약 50-200sccm, 바람직하게 약 100sccm의 흐름 속도로 가스 패널(80)내의 "세척 가스" 공급 소스로서 사용될 것이고, 주입구(57)(도 1c)를 통해 어플리케이터 튜브(292)내로 스위칭 밸브(105)를 통해 보내진다. 자석(711)은 어플리케이터 튜브(292)에 마이크로파 에너지를 제공하여 거기에 플라즈마를 생성하기 위하여 약 500-2500 와트 사이, 바람직하게 약 1000 와트의 전력 레벨로 CW 모드에서 동작된다. 다음에 상기 어플리케이터(292)내의 플라즈마로부터의 암모니아는 밀봉 어셈블리(200)와 챔버(15)에서의 사용을 위해 도관(47)내로 상기 라이닝된 통로를 통해 흐를 것이다. 상기 게터링 절차 동안, 챔버(15)는 약 1-2torr의 압력으로, 최적으로 게이트 밸브(280)가 개방되어 약 300-650℃ 사이, 바람직하게 약 550-600℃ 사이의 증착 온도로 유지된다. 상기 암모니아는 챔버(15)의 외부로 펌핑될 수 있는 암모니아 플루오르화물 화합물과 HF 증기를 생성하도록 흡수된 플루오르와 반응한다. 물론, RF 플라즈마 시스템을 포함하는 시스템을 위해, 암모니아가 챔버(15)내에 유입될 수 있고 RF 에너지가 암모니아 플루오르화물 화합물과 HF를 제공하도록 챔버(15)에 인가될 수 있다. 또한 이미 개시된 종말점 시스템과 유사한 원리로 동작하지만 암모니아 플루오르화물과 HF에 의한 흡수에 기인하는 광세기의 변화를 검출하는 종말점 검출 시스템이 사용될 수 있다.
이미 개시된 상기 세척 처리 조건이 본 실시예를 위해 바람직하더라도, 또한 다른 조건이 사용될 것이다. 상기 설명은 단순히 예로서 아래의 여러 가지 증착 설명이 그렇듯이 200㎜ 웨이퍼에 알맞은 어플라이드 머티어리얼스로부터 입수가능한 기가 FILLTM 센츄라 시스템에서 NF3을 논의한다. 그러나, 다른 플루오르 함유 또는 염소 함유 가스, 이를테면 NF3과 N2, NF3과 아르곤, NF3과 O2, 희석한 F2, CF4, C3F6, C3F8, SF6 또는 Cl2 등이 물론 사용될 수 있다. 이미 개시된 것들 외에 다른 가스가 상기 게터링 절차를 위해 사용될 것이다. 또한, 시즈닝전 안정화 단계는 이미 개시된 열적 시즈닝에 대한 여러 대안으로부터 선택된 시즈닝/게터링 처리의 특별한 타입에 의존하여 변화할 것이다. 세척, 게터링 및 시즈닝에 대한 상기 설명은 바람직한 온도(예를 들면, 약 550-600℃)에서 발생하는 것으로 진술되지만, 가장 바람직하게 챔버(15)는 특별한 처리가 챔버(15)에서 수행되어지는 동일한 온도로 유지된다. 물론, 또한 서로 다른 온도가 다른 실시예에서 사용될 것이다. 더욱이, 일부 실시예는 이미 개시된 세척, 게터링 및 시즈닝 단계의 일부분을 조합, 부가, 또는 제거할 수 있다.
Ⅲ. 테스트 결과와 측정
A. 극도로 얕은 도핑 영역
본 발명의 실시예에 따른 장치와 방법의 동작을 증명하기 위하여, 실험은 에로서 USG 캐핑 층 없이 제조된 BSG 막을 사용하여 형성되고 USG 캐핑 층을 갖는 BSG 막을 사용하여 형성된 극도로 얕은 접합의 면저항과 접합 깊이를 측정하여 수행되었다. 상기 캐핑 층이 없는 BSG 막은 약 150℃ 두께인 반면, 상기 캐핑 층이 있는 BSG 막은 약 200℃ USG 캡과 함께 약 200℃ 두께였다. 캐핑 층이 있고 캐핑 층이 없는 BSG 막은 둘다 저저항성 N-타입 실리콘 웨이퍼 상에 증착되었다. 캐핑 층이 없고 캐핑 층이 있는 BSG 막을 사용하여 형성된 면저항과 접합 깊이가 측정되었다. 이미 상세히 개시된 바와 같이 CVD 장치(10)의 챔버(15)에서 증착된 막에 대해, 게이트 밸브(280)는 특별한 실시예에 따라 상기 막 증착 단계 동안 밀폐된다. 실험에 사용된 실제 처리 조건은 다음과 같다. 특히, 상기 BSG 막은 약 500℃의 온도와 약 600torr의 압력에서 증착되었다. 서셉터와 매니폴드 사이의 간격은 약 300mil이다. 실험에서의 가스 흐름은 약 200mgm의 속도로 챔버 내로 유입하는 TEB, 약 500mgm의 속도로 유입하는 TEOS, 약 5000mgm의 속도로 유입하는 오존(O3), 및 약 8000mgm의 속도로 유입하는 헬륨 캐리어 가스가 포함된다.
상기 조건은 700℃/분의 속도로 증착된 BSG 막을 초래하였다. 상기 증착된 BSG 막은 약 15초의 처리 시간에 대해 약 150℃의 두께를 가졌다.
USG 캡이 사용된 실험에서, 상기 USG 캐핑 층은 상기 벌크 BSG 층이 증착된후 즉시 인-시튜 처리로 형성되었다. 상기 바람직한 실시예는 상기 USG 캐핑 막의 증착 이전에 상기 BSG 막과 반응할 수 있는 습기를 최소화하는 밀폐된 시스템이 되는 챔버를 사용한다. 상기 서셉터는 약 500℃의 온도까지 가열되고, 상기 챔버는 약 600torr의 압력으로 유지되며, 상기 서셉터는 상기 가스 분배 매니폴드로부터 약 300mi에 배치된다. TEOS, 오존 및 헬륨은 각각 약 500mgm, 5000 및 5000sccm의 흐름 속도로 증착 챔버 내로 유입되었다. 상기 조건은 약 700℃/분의 속도로 증착된 USG 막을 초래하였다. USG 막은 약 15초의 처리 시간에 대해 약 200℃의 두께를 가졌다.
상기 캐핑 층이 없고 캐핑 층이 있는 BSG 막으로부터의 도판트의 확산은 어닐링 또는 급속 열처리를 사용하여 상기 막을 가열함으로써 달성된다. 예를 들면, 질소(N2) 환경에서 60초 동안의 급속 열처리는 온도, 시간 및 도판트 농도에 의존하여 약 500-1000℃의 접합 깊이를 초래한다.
BSG 막을 사용하는 실험에 사용된 파라미터는 여기에 개시된 바와 같은 청구범위를 제한하지않는다. 종래 기술에 종사하는 당업자는 다른 화학 제품, 챔버 파라미터, 도판트 및 PSG, AsSG 등과 같은 BSG 막을 형성하는 조건을 사용할 수 있다.
실험은 약 6wt% 붕소를 가지는 약 200℃ 두께의 캐핑 층이 없는 BSG 막을 사용하여 수행되었다. 이런 실험은 순차적 확산 단계 동안 도판트 소스로서 BSG 막을 사용하여 극도로 얕은 접합을 형성할 수 있는 능력을 설명한다.
6wt% 붕소를 초과하는 붕소 농도에서, 약 500℃ 미만의 두께로 증착된 캐핑 층이 없는 BSG 막은 불안정하여 시간내에 결정화되려는 경향이 있다. 이미 언급된 바와 같이, 결정화는 실리콘 기판내로의 확산을 위해 유용할 수 있는 붕소 원자의 양을 감소시킨다. 약 550℃ 이상의 온도에서의 BSG 증착은 6wt% 이상의 붕소 농도를 갖는 안정된 캐핑 층이없는 BSG 막을 제공하는 것으로 믿어진다. 6wt% 붕소 이상의 붕소 농도가 요구되는 응용을 위하여, BSG 막은 결정화를 방지하기 위하여 USG 막으로 최적으로 덮혀질 수 있다. 가스 방출을 방지함으로써, 또한 상기 USG 캡은 실리콘 기판내로의 도판트 원자의 확산 방향을 제어하는 능력을 제공할 수 있다. 그러므로 상기 USG 캡은 더많은 붕소 원자가 더욱 쉽게 실리콘 기판내로 향하게 될 수 있는 확산에 유용할 수 있도록 더많은 붕소 원자가 손실되는 것을 방지한다.
일부 응용을 위한 상기 도핑 유전체 층 상의 캐핑 층 사용의 추가 장점을 증명하기 위하여, 추가 실험은 상기 BSG 막 상에 증착된 약 200℃ USG 캡과 함께 약 150℃ 두께와 6.131wt% 붕소를 가지는 BSG 막을 사용하여 수행되었다. 이런 추가 실험은 순차적 확산 단계에 대한 도판트 소스로서 캐핑된 BSG 막을 사용하여 극도로 얕은 접합을 형성하는 능력을 설명한다. 캐핑층이 없고 캐핑 층이 있는 BSG 막을 사용하여 형성된 면저항과 접합 깊이가 측정되었다. 이런 실험은 약 1050℃의 1분 급속 열처리되는 BSG 막이 BSG 단독 또는 USG 캡과 함께 BSG 막을 사용하여 형성된 극도로 얕은 접합부의 면저항과 접합 깊이를 제어하는 능력을 제공한다는 것을 설명한다.
도 22a-22c는 상기 확산된 영역의 접합 깊이와 도판트 균일성에 대한 USG 캡의 효과에 관련한 정보를 제공한다. 도 22a와 22c에 대한 측정은 종래 기술에 종사하는 당업자에게 잘 알려진 바와 같이 수속 저항 프로파일을 위한 고체 상태 측정 장비를 사용하여 수행되었다. 캐리어 농도는 깊이의 함수로서 도시된다. "P"는 실리콘 기판 표면으로부터 측정된 깊이에서 측정된 붕소의 농도를 나타내고, "N"은 실리콘 기판 표면으로부터 측정된 깊이에서 측정된 N 타입 실리콘 기판의 측정된 농도를 나타낸다. 접합 깊이는 도판트 농도가 기판 농도와 동일하게 되는 위치로 한정된다. 본 실시예에서, 상기 사용된 실리콘 기판은 약 1.6 ×1014 캐리어/㎤의 기판 농도를 가진다. 도 22a와 22c에 도시된 상기 확산된 영역의 면저항은 종래 기술에 종사하는 당업자에게 잘 알려진 바와 같이 4점 프로브(4pp)를 사용하여 측정된다. 도 22b는 종래 기술에 종사하는 당업자에게 잘 알려진 바와 같이 고농도 또는 얕은 접합 확산에서의 정밀한 프로파일 측정을 제공하기에 유용한 2차 이온 질량 분광기(SIMS)의 더욱 정교한 방법에 의해 측정된 바와 같은 도 22a의 웨이퍼의 전체 불순물 프로파일을 도시한다.
특히, 도 22a는 USG 캐핑 층을 가지는 6.131wt% BSG 층을 사용하여 가열 단계 이후에 형성된 극도로 얕은 접합의 도판트 프로파일을 도시하는 그래프이다. 상기 BSG 막은 약 150℃ 두께이고 상기 BSG 막 위에 증착된 USG 캐핑 층은 약 200℃ 두께이다. 상기 가열 단계는 약 1050℃에서 약 60초동안 급속 열처리에 의해 수행되었다. 다음에 상기 BSG와 USG 막은 에칭에 의해 제거된다. 도 22a에 도시된 바와 같이, 상기 생성된 접합부는 실리콘 기판에서 약 0.06㎛의 깊이를 가지고, 상기 도판트 프로파일은 공평히 균일하게 나타난다. 붕소의 최대 농도는 약 6 ×1019 캐리어/㎤이다. 상기 생성된 접합부의 면저항은 약 685℃/㎠가 되는 것으로 측정되었다. 상기 4pp 면저항은 약 1.6 ×1014 캐리어/㎠가 되는 측정된 P 타입 층내의 도우즈 이온의 합계(℃p)로 약 222℃/㎠가 되는 것으로 측정되었다.
도 22b는 도 22a에 개시된 극도로 얕은 접합부에 대해 SIMS에 의해 측정된 바와 같은 도판트 깊이 프로파일을 도시한다. 상기 실리콘 기판의 표면으로부터 상기 표면으로부터 약 100℃의 깊이까지, 붕소의 농도는 약 2 ×1018 캐리어/㎤ 내지 약 1 ×1021 캐리어/㎤ 범위에 있다. 실리콘 기판의 표면으로부터의 약 100℃ 내지 300℃ 사이에서, 붕소의 농도는 약 1 ×1021 캐리어/㎤ 내지 3 ×1021 캐리어/㎤ 사이의 범위이다. 상기 실리콘 기판의 표면으로부터 약 300℃ 아래에서, 붕소, 실리콘 및 산소의 농도는 상기 벌크 기판을 표시하여 급속히 감소한다. 도 22B에 도시된 가파른 얕은 접합부는 본 발명의 실시예에 따라 가능한 상기 도판트 혼합을 증명한다.
도 22c는 USG 캐핑 층을 가지는 6.131wt% BSG 층을 사용하여 가열 단계없이 형성된 극도로 얕은 접합부의 도판트 프로파일을 도시하는 그래프이다. 상기 BSG 막은 약 150℃ 두께였고 상기 BSG 막 위에 증착된 USG 캐핑 층은 약 200℃ 두께였다. 가열 단계는 수행되지 않았다. 상기 BSG와 USG 막은 에칭 기술에 의해 제거되었다. 도 22c에 도시된 바와 같이, 가열 단계의 결핍에도 불구하고 약 0.025㎛의 깊이를 갖는 접합부가 형성되었다는 것을 나타낸다. 분명히, 상기 접합부는 가열 드라이브-인 단계없이도 실리콘 기판내로의 BSG 막 확산에서의 높은 도판트 농도에 기인하여 형성되었다. 상기 붕소의 최대 농도는 약 7 ×1017 캐리어/㎤이다. 상기 생성된 접합부의 면저항은 약 4.9 ×1011 캐리어/㎠가 되는 P 타입 층내의 도우즈 이온의 합계(℃p)로 약 55℃/㎠가 되는 것으로 측정되었다.
도 23a는 USG 캐핑 층을 가지는 8.084wt% BSG 층을 사용하여 가열 단계로 형성된 접합부의 도판트 프로파일을 도시하는 그래프이다. 도 23b는 접합 깊이의 도판트 농도의 효과를 설명하기 위하여 USG 캐핑 층을 가지는 6.131wt% BSG 층과 USG 캐핑 층을 가지는 8.084wt% BSG 층을 사용하여 동일한 가열 단계로 형성된 접합부의 도판트 프로파일을 비교하는 그래프이다. 도 23c와 23d는 접합 깊이와 면저항 각각에 대한 가열 단계의 온도 효과를 도시한다. 도 23e와 23f는 접합 깊이와 면저항 각각에 대한 가열 단계의 시간 효과를 도시한다. 상기 도 23a-23f의 수속 저항 프로파일과 면저항은 고체 상태 측정 장비와 4점 프로브 측정을 사용하여 수행되었다.
도 23a는 USG 캐핑 층을 가지는 8.084wt% BSG 층을 사용하여 가열 단계로 형성된 접합부의 도판트 프로파일을 도시한다. 상기 BSG 막은 약 150℃ 두께였고 상기 BSG 막 위에 증착된 USG 캐핑 층은 각각 약 200℃ 두께였다. 가열 단계는 약 1000℃에서 약 60초 동안의 급속 열처리로 행해진다. BSG 및 USG 막은 에칭 기술에 의해 제거된다. 도 23a에서 알 수 있는 바와 같이, 0.12㎛의 깊이를 갖는 매우 낮은 접합부는 양호한 도판트 균일성으로 형성된다. 붕소의 최대 농도는 약 1 ×2030 캐리어/㎤이다. 형성된 접합부의 시트 저항은 약 145℃/㎠이며, 도즈 이온의 합은 7.9 ×1014 캐리어/㎠이다. 4pp 시트 저항은 약 96 ℃/㎠로 측정된다.
도 23b는 USG 캐핑 층을 가지는 다른 붕소 wt% BSG 층(특히 6.131wt%와 8.084wt%)을 사용하여 가열 단계로 형성된 접합부의 도판트 프로파일을 도시한다. 상기 BSG 막은 약 150℃ 두께였고 상기 BSG 막 위에 증착된 USG 캐핑 층은 각각 약 200℃ 두께였다. 수행된 가열 단계는 약 1000℃에서 약 60초 동안의 급속 열처리이다. 도 23b에서 알수 있는 바와 같이, 8.084wt% BSG 층에 대해 생성된 접합 깊이는 6.131wt% BSG 층에 대해 생성된 접합 깊이의 거의 2배이다.
도 23c와 23d는 USG 캐핑 층을 가지는 6.131wt% BSG 막에 대한 도판트 프로파일과 면저항 각각에 대한 가열 단계 온도 효과를 도시하는 그래프이다. 상기 BSG 막은 약 150℃ 두께이고 상기 BSG 막 위에 증착된 USG 캐핑 층은 약 200℃ 두께이다. 상기 가열 단계는 약 900℃, 950℃ 및 1000℃의 온도에서 약 60초 동안 급속 열처리를 사용하여 수행된다. 도 23c에 도시된 바와 같이, 1000℃에서의 가열 단계후 형성된 접합부는 더 낮은 975℃에서의 가열 단계후 형성된 약 0.06㎛ 접합부와 비교할 때 약 0.1㎛이다. 상기 6.131wt% BSG 막의 면저항은 도 23d에서 알수 있는 바와 같이 1000℃의 가열 단계에 대해 약 180℃/㎠, 975℃의 가열 단계에 대해 약 600℃/㎠이다. 더높은 온도 가열 단계(950℃ 이상)는 형성된 얕은 접합부에 대해 더 깊은 접합 깊이를 초래한다.
도 23e와 23f는 USG 캐핑 층을 가지는 6.131wt% BSG 막에 대한 도판트 프로파일과 면저항 각각에 대한 가열 단계 시간 효과를 도시하는 그래프이다. 상기 BSG 막은 약 150℃ 두께이고 상기 BSG 막 위에 증착된 USG 캐핑 층은 약 200℃ 두께이다. 상기 가열 단계는 약 1000℃의 온도에서 약 40초와 약 60초 동안 급속 열처리를 사용하여 수행된다. 도 23e에 도시된 바와 같이, 40초 가열 단계후에 형성된 접합부는 약 0.06㎛인 반면, 60초후에 형성된 접합부는 약 0.1㎛이다. 상기 6.131wt% BSG 막의 면저항은 도 23d에서 알수 있는 바와 같이 40초후에 약 230℃/㎠, 60초후에 약 150℃/㎠이다. 따라서, 상기 가열 단계의 시간 길이는 극도로 얕은 접합부의 형성에서 확산 깊이를 결정할 것이다.
극도로 얕은 도핑 접합부 형성을 위해 사용된 상기 BSG 실시예는 단순히 본 발명의 특징을 설명하는 예로서 제시되는 것이며 본 발명의 범위를 한정하는 것으로 생각해서는 안된다.
B. PMD 층을 위한 PSG
본 발명의 실시예에 따른 장치와 방법의 동작을 증명하기 위하여, 실험은 PMD 층과 같은 PSG 층을 증착하기 위해 수행된다. PMD 층과 같은 PSG 층을 증착하기전에, 상기 웨이퍼는 전형적으로 게이트 전극, 산화물 측벽, 절연 트렌치 등을 형성하기 위한 다단계 처리를 겪게 된다. 실험에서, 상기 PSG 막은 어플라이드 머티어리얼스, 인코포레이티드에 의해 제조된 저항성으로 가열되는 기가 FILLTM 센츄라 챔버(약 6리터의 전체 체적과 200㎜ 웨이퍼를 위해 준비된 밀폐 시스템)에서 증착된다.
실험에서, 증착전 단계는 웨이퍼 상에 PMD 층과 같은 PSG 층을 증착하기 전에 챔버의 요구된 증착 압력에 도달하고 가스/액체 흐름을 안정화하도록 수행된다. 물론, 증착전 단계는 다른 증착 개념에 최적인 것과 같은 아래의 설명(단순히 바람직한 특정 실시예인)으로부터 변경될 수 있다고 인식된다. 상기 증착전 단계는 챔버 벽 상의 불필요한 증착을 감소시켜 상기 증착된 막에 대한 균일한 깊이 프로파일을 산출하는 것으로 이어진다. 소정의 증착전 단계가 발생하기 전에, 웨이퍼는 다음에 밀폐되는 진공 록 도어를 통해 진공 챔버(15)내의 가열기(25)상에 배치된다. 가열기(25)는 약 600℃의 처리 온도까지 가열되고, 증착전 단계, 증착 단계 및 증착후 단계 내내 유지된다.
제 1 증착전 단계에서, 가열기(25)는 가스 분배 플레이트(20)로부터 약 600mil 위치에 있다. 약 5초 동안 상기 스로틀 밸브가 개방되어, 약 4000sccm 흐름 속도의 헬륨, 약 2900sccm 흐름 속도의 O2가 챔버(15)내로 유입된다. 상기 중립 가스, 헬륨과 O2는 먼저 이들의 흐름 속도가 안정화되도록 챔버(15)내로 유입된다. 이런 헬륨과 O2의 흐름 속도는 증착전 단계 내내 유지된다.
제 2 증착전 단계에서, 상기 스로틀 밸브는 밀폐되고 상기 챔버(15)내의 압력은 증착 압력까지 증가된다. 상기 제 2 증착전 단계는 약 30초 동안 지속하고 처음에 요구된 증착 압력 근처에서 다소 오르내릴 수 있는 압력이 챔버(15)에서 안정화되도록 한다. 가열기(25)는 제 2 증착전 단계에서 가스 분배 플레이트(20)로부터 약 330mil의 처리 위치로 이동된다.
제 3 증착전 단계에서, 상기 챔버(15)내의 압력이 약 450torr의 증착 압력까지 안정화될 때, 액체 TEOS가 TEOS와 헬륨 흐름의 안정화를 허용하도록 유입된다. 약 1000mgm의 상기 TEOS 흐름 속도로, 상기 기상화된 TEOS 가스는 상기 증착 단계 이전에 상기 제 3 증착전 단계 동안 약 3초 동안 헬륨 캐리어 가스와 혼합된다.
챔버 압력, 온도, 및 TEOS/헬륨 가스 흐름이 안정되고, 가열기(25)의 위치가 조절되면, 증착 처리는 시작할 수 있다. 상기 증착 단계의 개시에서, O2 흐름은 종결된다. 액체 TEOS는 약 24mgm의 속도로 유입되고, O3(약 8wt% 산소)은 약 4000sccm의 속도로 유입된다. 액체인, 상기 TEPO와 TEOS 소스는 액체 주입 시스템에 의해 기상화되어 불활성 가스 헬륨과 혼합된다. 이런 혼합물은 가열 유도된 화학반응이 요구된 PSG 막을 형성하도록 발생하는 웨이퍼 표면에 반응 가스를 공급하도록 가스 분배 플레이트(20)로부터 챔버(15)내로 유입된다. 상기 조건은 약 1780℃/분의 속도로 증착된 PSG 막을 초래한다. 상기 증착 시간을 조절함으로써, 약 5300℃의 두께를 가지는 PSG 막은 약 404초의 이미 개시된 처리 조건으로 형성된다. 상기 생성된 PSG 막내의 인의 wt%는 약 4wt%이다.
증착후, 종결 단계가 수행되어 습기와 결정화 저항을 제공하는 상기 증착된 PSG 막의 안정성을 최적화한다. 약 3초동안 지속하는 상기 종결 단계에서, 상기 증착 조건은 TEPO 흐름이 종결되는 동안 유지된다. 그러므로 상기 종결 단계는 이미 개시된 가스 종결 방법에 의해 챔버(15)에서 인-시튜 방식으로 USG 캐핑 층을 증착한다. 상기 USG 층은 상기 벌크 PSG 막의 두께와 비교할 때 매우 얇다.
상기 PSG 증착과 USG 증착 단계후, 증착전 단계는 챔버 압력의 감소와 가스 차단을 제어하는데 사용된다. 압력과 가스 차단을 조절함으로써, 상기 증착후 단계는 마찬가지로 웨이퍼 오염과 손상을 일으킬 수 있는 미립자 형성 감소를 보조한다.
특정 실시예에서, 3 증착후 단계가 사용된다. 상기 종결 단계에 즉각 수반하는 제 1 증착후 단계에서, TEOS 흐름은 가열기(25)가 가스 분배 플레이트(20)로부터 약 600mil 위치로 이동되는 동안 종결된다. 또한 상기 스로틀 밸브는 챔버 압력이 약 14초 지속하는 제 1 증착후 단계 동안 지속적으로 감소하도록 주기적으로 개방된다. 제 2 증착후 단계에서, 스로틀 밸브는 챔버(15)내로의 헬륨 흐름이 바이패스 밸브를 통한 펌핑에 의해 종결될 때 제 3 증착후 단계(펌핑 단계)동안 챔버 압력을 감소하기 위해 주기적으로 개방된다. 또한 가열기(25)는 약 15초 동안 지속하는 제 2 증착후 단계 동안 플레이트(20)로부터 약 999mil 위치로 더 낮게 이동된다. 약 3초 지속하는 제 3 증착후 단계에서, 상기 스로틀 밸브가 개방되고 챔버(15)내로의 O3 흐름은 최종 밸브를 통한 펌핑에 의해 종결된다.
PMD 층으로서 사용하기에 적당한 PSG의 증착동안의 상기 실험 조건은 높은 처리량으로 더 나은 막 품질을 제공하도록 최적화된다. 증가된 표면 온도에서의 표면 확산을 증진함으로써, 약 600℃의 온도에서 TEOS/O3 화학 반응을 사용하여 증착된 상기 열적 PSG 막은 뛰어난 스텝 커버리지, 더많은 교차 결합 구조, 및 뛰어난 막 품질을 산출하는 P와 Si에 대한 더 안정한 산화 구조를 나타낸다. 상기 증착된 PSG 막은 스텝 커버리지, 높은 습기 저항, 높은 항복 전압, 평탄한 표면, 표면 손상이 없음(예를 들면, 플라즈마 손상), 및 고정 전하없음과 같은 흐름에 관하여 고품질이다. 상기 증착된 PSG 막은 양호한 막 두께 균일성을 나타낸다. 특히, 상기 증착된 PSG 막의 약 1.2㎛에서의 막 두께 균일도(49pt., 1)는 약 1.5 미만이 되는 것으로 측정된다.
도 24a는 본 발명의 특정 실시예에 따라 600℃에서 증착된 PSG 막의 증착될 때 갭 충전 능력을 증명하는 현미경 사진이다. 특히, 600℃에서 증착된 상기 PSG 막은 도 24a에서 알수 있는 바와 같이 보이드없이 높이(h)와 간격(w)을 가지는 고종횡비 갭을 채울 수 있는 것으로 보여진다. 도 24b는 도 24a에 도시된 집적 회로 구조의 섹션에 대한 개략도이다. 도 24b에서 알 수 있는 바와 같이, 기판(1200)은 적층된 게이트 구조, 특히 그위에 형성된 텅스텐 실리사이드(WSi) 캡(1240)을 갖는 전극(1220)을 가진다. 산화층(1260)은 도 24a-24b에서 알수 있는 바와 같이 점선에 의해 도시된 약 0.35㎛의 h와 약 0.08㎛의 w를 갖는 고종횡비 갭을 형성하는 적층된 게이트 구조물상에 증착된다. 그러므로 도 24a는 PMD 층으로서 사용되는 PSG 막(1280)에 의해 채워지는 고종횡비(약 4.3:1) 갭을 갖는 바람직한 구조를 설명한다. 이미 개시된 상기 바람직한 개념을 사용하는 약 600℃에서 증착된 PSG 막(1280)은 종종 더욱 더 핍박한 열적 예산과 일치하지 않는 약 750-800℃에서 전형적으로 수행되는 리플로우 필요성없이 뛰어난 고종횡비 갭 충전 능력을 나타낸다.
고종횡비에 대한 뛰어난 갭 충전 능력에 부가적으로, 600℃에서 증착된 PSG 막은 유리하게 습기 흡수에 높은 저항성을 나타내는 조밀한 막이다. 증착된 PSG 막의 습기 흡수는 종래 기술에 종사하는 당업자에게 잘 알려진 일반적 푸리에 변형 적외선 분광기(FTIR : Fourier Transform Infrared spectroscopy)를 사용하여 측정되었다. 도 25는 다음의 바람직한 처리 조건하에 약 600℃에서 증착된 PSG 막의 FTIR 스펙트럼을 도시한다. 특정 실시예에 따르면, 바람직한 처리 조건은 약 400torr의 압력과 가열기(25)와 가스 분배 플레이트(20) 사이의 약 330mil의 간격에서 약 1000mgm의 TEOS 흐름, 약 24mgm의 TEPO 흐름, 약 6000sccm의 헬륨 흐름, 및 약 4000sccm의 오존(DIR 12wt% 산소) 흐름을 포함한다. 상기 PSG 증착 시간은 약 600초이다. 도 25에서 알수 있는 바와 같이, 약 600℃에서 증착된 PSG 막의 상기 FITR 스펙트럼은 습기 흡수를 표시하는 웨이퍼 스파이크가 없고, 증착후 약 155 시간에 걸쳐 관찰하는 습기 흡수의 측정가능한 변화가 없다는 것이 증명되고, 연장된 주기에 걸쳐 PSG 막 안정성을 예증한다.
도 25에 의해 증명된 바와 같이, 상기 증착된 PSG 막은 조밀하고 습기 흡수에 저항성이 있다. 고온, 약 600℃에서의 PSG 막의 증착은 상기 막내로 흡수될 수 있는 소정의 습기를 배출하려는 경향이 있어 조밀한 막을 초래한다. 조밀한 막으로서, 고온에서 증착된 상기 PSG 막은 상기 막의 추가 조밀화를 위한 부가적 단계를 요구하지 않는다는 장점을 가진다. 상기 증착된 PSG 막의 조밀 특성은 그것이 약 1000℃ 이상의 온도에서의 순차적 어닐링, 또는 바람직하게 CMP 단계에 의해 평탄화될 수 있는 PMD 층으로서의 사용에 양립가능하게 한다. 습기 흡수 저항성에 부가적으로, 고온에서 증착된 상기 PSG 막은 양호한 막 두께 균일도를 제공할 수 있을 뿐만 아니라 순차적 소자 문제를 일으킬 수 있는 보이드 또는 약한 균열의 형성없는 양호한 갬 충전을 제공할 수 있다. 상기 고온 PSG 막은 특히 상기 막이 이동하여 소자내에 단락을 초래할 수 있는 나트륨(Na+) 이온과 같은 모빌 이온을 게터링 또는 포획하기 위해 중요하게 되는 양호한 인 혼합(약 2-8wt% 인 사이의)을 제공할 때 PMD 층으로서 유용하다.
증착 및 상기 증착된 PSG 막의 특성 측정 실험의 상기 설명은 PMD 층으로서 사용에 대한 그것의 적합을 증명한다. 그러나, 상기 설명은 본 발명의 범위를 제한하는 것으로 고려되어서는 안된다.
C. 얕은 트렌치 절연에서의 산화물 충전 층을 위한 USG
본 발명의 실시예에 따른 장치와 방법의 동작을 증명하기 위하여, 실험은 얕은 트렌치 절연을 위한 고품질 산화물 충전 층으로서 USG 막을 증착하기 위해 수행된다. 상기 고품질 산화물 충전 층으로서의 USG 막의 증착 이전에, 상기 웨이퍼는 전형적으로 게이트 전극, 산화물 측벽, 절연 트렌치 등을 형성하는 다중 처리 단계를 거치게 된다. 상기 실험에서, 상기 USG 막은 어플라이드 머티어리얼스, 인코포레이티드에 의해 제조된 저항성 가열되는 기가 FILLTM 센츄라 챔버(약 6 리터의 전체 체적을 가지고 200㎜ 웨이퍼를 위해 준비된 밀폐 시스템)에서 증착된다.
상기 실험에서, 증착전 단계는 웨이퍼상의 충전 층으로서 USG 막을 증착하기 이전에 챔버(15)가 요구된 증착 압력에 도달하고 가스/액체 흐름을 안정화하도록 수행된다. 물론, 상기 증착전 단계는 다른 증착 개념에 대해 최적인 것과 같은 아래 설명(단순히 바람직한 특정 실시예인)으로부터 변화될 수 있다고 인식된다. 상기 증착전 단계는 챔버 벽상의 불필요한 증착을 감소시키고 또한 증착된 막에 대해 균일한 깊이 프로파일을 산출하는데 기여한다. 소정의 증착전 단계가 발생하기 이전에, 웨이퍼는 다음에 밀폐되는 진공 록 도어를 통과하여 진공 챔버(15)내의 가열기(25)상에 배치된다. 가열기(25)는 증착전 단계, 증착 단계 및 증착후 단계 내내 유지되는 약 550℃의 처리 온도까지 가열된다.
제 1 증착전 단계에서, 가열기(25)는 가스 분배 플레이트(20)로부터 약 600mil의 위치에 배치된다. 상기 스로틀 밸브가 약 5초동안 개방되어, 약 7000sccm 흐름 속도의 헬륨, 및 약 2900sccm 흐름 속도의 O2가 챔버(15)내로 유입된다. 상기 중성 가스, 헬륨과 O2는 이들의 흐름 속도가 안정화되도록 챔버(15)내로 우선 유입된다. 헬륨과 O2의 흐름 속도는 상기 증착전 단계 내내 유지된다.
제 2 증착전 단계에서, 상기 스로틀 밸브는 밀폐되고 상기 챔버(15)내의 압력은 증착 압력까지 증가된다. 상기 제 2 증착전 단계는 약 40초 동안 지속하여 요구된 증착 압력 근처에서 초기에 다소 요동할 수 있는 압력이 챔버(15)에서 안정화되도록 한다. 가열기(25)는 상기 제 2 증착전 단계동안 가스 분배 플레이트(20)로부터 약 300mil의 처리 위치로 이동된다.
상기 제 3 증착전 단계에서, 챔버(15)내의 압력이 약 600torr의 증착 압력까지 안정화되었을 때, 액체 TEOS가 TEOS와 헬륨(또는 질소) 흐름의 안정화를 허용하도록 유입된다. 약 2000sccm의 상기 TEOS 흐름으로, 상기 기상화된 TEOS 가스는 증착 단계 이전에 상기 제 3 증착전 단계 동안 약 5초동안 상기 헬륨(또는 질소) 캐리어 가스와 혼합한다.
안정화된 챔버 압력, 온도 및 TEOS/헬륨 캐리어 가스 흐름, 및 조절된 가열기(25)의 위치를 가지고, 증착 처리가 시작된다. 상기 증착 단계의 개시에서, O2 흐름은 O3(약 12.5wt% 산소)이 약 5000sccm의 흐름 속도로 유입되는 동안 종결된다. 액체인 상기 TEOS 소스는 액체 주입 시스템에 의해 기상화되어 상기 불활성 캐리어 가스 헬륨과 조합된다. 이런 혼합물은 가열 유도된 회학 반응이 요구된 USG 막을 형성하기 위해 발생하는 웨이퍼 표면에 반응 가스를 공급하기 위해 가스 분배 플레이트(20)로부터 챔버(15)내로 유입된다. 상기 조건은 약 1450℃/분의 속도로 증착되는 USG 막을 초래한다. 상기 증착 시간을 조절함으로써, 약 10000℃의 두께를 가지는 USG 막이 약 414초의 이미 개시된 처리 조건으로 형성된다.
USG 증착 후, 습기 저항성을 제공하기 위해 상기 증착된 USG 막의 안정성을 최적화하는 정화 단계가 수행된다. 약 3초 동안 지속하는 상기 정화 단계에서, 상기 증착 조건은 유지되는 반면 TEOS 흐름은 종결된다.
상기 USG 증착 단계와 정화 단계 후, 증착후 단계가 챔버 압력의 감소를 제어하고 가스 차단을 제어하기 위해 사용된다. 압력과 가스 차단을 조절함으로써, 상기 증착 후 단계는 웨이퍼 오염과 손상을 초래할 수 있는 미립자 형성 감소를 보조한다.
특정 실시예에서, 3 증착후 단계가 사용된다. 상기 종결 단계에 즉시 수반하는 제 1 증착후 단계에서, 상기 챔버(15)내의 캐리어 가스 흐름은 바이패스 밸브를 통한 펌핑에 의해 종결된다. 상기 스로틀 밸브가 약 5초 지속하는 제 1 증착후 단계 동안 챔버 압력을 점차 감소시키기 위해 주기적으로 개방될 때, 가열기(25)는 가스 분배 플레이트(20)로부터 약 600mil 위치로 이동된다. 상기 제 2 증착후 단계에서, 상기 스로틀 밸브는 챔버 압력 감소를 지속하기 위해 주기적으로 개방되고, 플레이트(20)로부터의 03 흐름은 지속된다. 또한 가열기(25)는 약 15초 지속하는 제 2 증착후 단계 동안 플레이트(20)로부터 약 600mil 위치로 더낮게 이동된다. 약 3초 지속하는 제 3 증착후 단계에서, 상기 스로틀 밸브는 개방되고 챔버(15)내로의 O3 흐름은 최종 밸브를 통한 펌핑에 의해 종결된다.
얕은 트렌치 절연을 위한 고품질 충전 층으로서 사용되어지기에 적당한 USG의 증착을 위한 상기 실험 조건은 높은 처리량으로 더 나은 막 품질을 제공하는데 최적이다. 얕은 트렌치 절연 응용에서, 매우 조밀하고 균일한 막이 되는 것에 부가적으로 상기 증착된 USG 막은 보이드없이 갭을 (전형적으로 약 85ㅀ의 공칭 각도로) 채울 수 있어야 한다. 상기 증착된 USG 막은 양호한 막 두께 균일도를 나타낸다. 특히, 상기 증착된 USG 막의 약 5000℃ 두께에서 막 두께 균일도(49pt., 1)는 약 1.5 미만이 되는 것으로 측정된다.
도 26a와 26b는 본 발명의 특정 실시예에 따른 약 400℃와 약 550℃에서 각각 증착된 TEOS/O3 USG 막의 관련 갭 충전 능력을 증명하는 현미경 사진이다. 특히, 도 26a는 약 1050℃에서의 리플로우후 약 400℃에서 증착되는 USG 막인 충전 층을 갖는 약 0.35㎛ 폭과 약 0.70㎛ 깊이(약 2:1 종횡비 갭)를 가지는 트렌치 구조를 도시한다. 도 26a는 약 400℃에서 증착된 USG 막이 매우 조밀하지않고 수축하기 쉽다는 것을 표시하는 증착된 USG 막내의 큰 보이드를 도시한다. 일부 범위까지 USG 막을 조밀화할 수 있는 약 1000℃ 이상의 온도에서의 리플로우 후, 약 400℃의 온도에서 증착된 USG 막은 매우 조밀하지않고 보이드 개방없이 고온 어닐링 또는 순차적 습식 에칭 처리에서 잔존하지 않는다. 대조적으로, 도 26b는 약 1050℃에서의 리플로우와 순차적 습식 에칭 처리후 약 550℃에서 증착된 USG 막인 보이드없는 충전층을 갖는 약 0.18㎛ 폭과 약 0.45㎛ 깊이(약 2.5:1 종횡비 갭)를 가지는 트렌치 구조를 도시한다. 상기 약 550℃에서 증착된 USG 막은 도 26a와 26b로부터 알수 있는 바와 같이 400℃에서 증착된 USG 막과 달리 고종횡비 갭을 보이드 형성없이 충전할 수 있다. 매우 균일한 에칭 속도에서의 에칭 처리후, 약 550℃에서 증착된 USG 막은 보이드 개방없는 뛰어난 스텝 커버리지를 유지한다.
약 550℃에서 증착된 USG 막의 고종횡비 갭 충전 능력의 추가 증거로서, 도 27은 본 발명의 특정 실시예에 따른 약 1000℃에서의 어닐링과 순차적 습식 에칭 처리후 상기 증착된 USG 막의 갭 충전 능력을 증명하는 현미경 사진이다. 도 27은 약 1000℃의 리플로우후 약 550℃에서 증착된 USG 막인 충전 층을 갖는 약 1.6㎛ 폭과 약 0.48 깊이(약 3:1 종횡비)를 가지는 트렌치 구조를 도시한다. 도 27의 트렌치 구조는 약 550℃에서 증착된 USG 막의 뛰어난 갭 충전 능력을 표시하는 도 26a와 26b에 도시된 트렌치 구조보다 더작은 간격과 더 높은 종횡비를 가진다.
고종횡비를 위해 뛰어난 갭 충전 능력을 가지는 것에 부가적으로, 약 550℃에서 증착된 USG 막은 유리하게 습기 흡수에 높은 저항성을 나타내는 조밀한 막이다. 상기 증착된 USG 막의 습기 흡수는 일반적 FTIR 기술을 사용하여 측정된다. 도 28은 본 발명의 특정 실시예에 따른 다음의 바람직한 처리 조건하에 약 550℃에서 증착되는 USG 막의 FTIR 스펙트럼을 도시한다. 상기 특정 실시예에 따르면, 상기 바람직한 처리 조건은 약 600torr의 압력 및 가열기(25)와 가스 분배 플레이트(20) 사이의 약 300mil의 간격에서 약 2000mgm의 TEOS 흐름, 약 7000sccm의 헬륨 흐름, 및 약 5000sccm의 오존(약 12.5wt% 산소) 흐름을 포함한다. 도 28에서 알수 있는 바와 같이, 약 550℃에서 증착된 상기 USG 막의 FTIR 스펙트럼은 낮은 습기 흡수(약 1wt% 습기 미만)로 증명된다. 더욱이, 도 28은 또한 약 0.5wt% 습기 미만의 습기 증가가 증착 후 약 160 시간에 걸쳐 관찰된다는 것을 도시하며, 연장된 주기에 걸친 USG 막의 안정성을 표시한다.
따라서, 도 28에 의해 지지된 바와 같이, 상기 증착된 USG 막은 조밀하고 습기 흡수에 저항한다. 고온, 예를 들어 약 550℃에서의 USG 막의 증착은 막에 흡수될 수 있는 대부분의 습기를 배출하려는 경향이 있고 조밀한 막을 초래한다. 조밀한 막으로서, 적어도 약 550℃의 고온에서 증착된 상기 USG 막은 더 낮은 온도에서 증착된 USG 막과 비교할 때 어닐링 단계와 순차적 에칭 처리후 보이드 형성을 초래할 수 있는 덜 수축되기 쉬운 장점을 가진다. 상기 증착된 USG 막의 조밀 특성은 그것이 얕은 트렌치 절연 응용에 사용되는 트렌치를 충전하기 위한 고품질 산화층으로서의 사용에 양립가능하게 한다. 상기 막의 고밀도 때문에, 고온에서 증착되고 산화 충전 층으로서 사용되는 USG 막은 보이드의 개방 가능성을 최소화하면서 순차적 어닐링 또는 CMP 단계에 의해 평탄화될 수 있다. 습기 흡수 저항성과 양호한 막 두께 균일성에 부가적으로, 고온에서 증착된 상기 USG 막은 순차적 소자 문제를 일으킬 수 있는 보이드 또는 약한 균열의 형성없는 뛰어난 고종횡비 갬 충전을 제공한다.
일반적으로, 고온 O3/TEOS 막은 패턴 또는 표면 민감도 효과를 나타낼 수 있어 바람직하지 않은 불균일 증착을 초래한다. 불균일 증착을 갖는 문제는 더높은 O3/TEOS 막으로 악화된다는 것을 알 수 있다. 유리하게, 고온, 예를 들어 약 550℃에서의 USG 막 증착은 적당한 증착 속도를 달성하기 위하여 더많은 TEOS의 사용을 요구한다. 따라서, 고온에서 증착된 상기 USG막의 O3/TEOS 비율은 낮고(약 5:1 미만), 그 결과 소정의 패턴 또는 표면 민감도 효과를 제거한다. 더욱이, 상기 막 품질(예를 들면, 밀도, 수축 등)은 고온에서 증착된 USG 막에 대해 높다. 이런 고온 증착 USG 막의 고밀도 때문에, 플라즈마 치밀화 처리 또는 플라즈마 산화물 캡은 요구되지 않고, 그 결과 웨이퍼에 대한 소정의 플라즈마 손상을 방지한다. 그러므로 챔버 내의 이런 처리에서의 플라즈마 결핍은 금속 오염과 웨이퍼내에 있는 소자의 잠재적 단락을 감소시킨다. 플라즈마 치밀화 처리 또는 플라즈마 산화물 캡을 종종 요구하고 어닐링후 보이드를 개방하여 수축할 수 있는 저온 열적 USG 막에 비교할 때, 약 550℃의 온도에서 증착된 열적 USG 막은 본 발명에 따라 플라즈마 손상없이 뛰어난 갭 충전 능력, 최소 수축, 및 균일한 막 밀도, 낮은 금속 오염을 나타낸다.
상기 실험의 설명은 에에 의하여 얕은 트렌치 절연을 위해 고종횡비 트렌치를 충전하는 고품질 산화물층으로서 사용하기 위한 상기 증착된 USG 막의 적합을 증명한다. 또한 상기 동일한 CVD 장치는 IMD 응용을 위한 500℃ 보다 더낮은 온도에서 USG 막을 증착하는데 사용될 것이다. 물론, 상기 설명은 본 발명의 범위를 한정하는 것으로 고려해서는 안된다.
상기 설명은 예시적이며, 한정적이 아니라고 이해되어야 한다. 많은 실시예가 상기 설명을 검토할 때 종래 기술에 종사하는 당업자에게 나타날 것이다. 예에 의해, 본 발명은 여기에서 주로 USG, BSG, PSG 및 BPSG 처리 개념에 관련하여 설명되었지만, 이들은 그런 식으로 제한되지 않는다. 예를 들면, 본 발명에 따라 형성된 상기 유전체막은 비소 도핑 실리콘 산화막, 또는 다른 도핑 막이 될 것이다. 다른 예로서, 상기 유전체막의 증착은 헬륨과 같은 캐리어 가스를 사용하여 설명되지만, 아르곤 또는 질소와 같은 다른 캐리어 가스가 물론 사용될 것이다. 또다른 예로서, 유전체층은 도핑 접합 형성, PMD 층, IMD 층, 산화물 충전 층, 캐핑 층 등을 포함하는 특별한 응용에 대해 기술된다. 물론, 이미 개시된 상기 동일한 CVD 장치는 약 400℃ 보다 더 낮은 온도에와 500℃ 이상의 온도에서 유전체층을 증착하는데 사용될 수 있다.
이상에서는 본 발명의 양호한 일 실시예에 따라 본 발명이 설명되었지만, 첨부된 청구 범위에 의해 한정되는 바와 같은 본 발명의 사상을 일탈하지 않는 범위 내에서 다양한 변형이 가능함은 본 발명이 속하는 기술 분야의 당업자에게는 명백하다.
본 발명에 따라 균일한 두께와 높은 종횡비의 갭 충전력을 가진 조밀한 저습도 유전체 박막이 제공되고, 금속 오염을 적게 하면서 챔버를 세척할 수 있고, 웨이퍼의 표면에 손상을 주지 않으며 도핑 유전체층으로부터 유효하고 균일한 도판트 확산을 확실히 하기에 충분하도록 천연 산화물을 제거할 수 있고, 여러 종류의 세척에 소요되는 시간을 최소화하기 위하여 단순화한 설계에 의하여 단일 챔버에서 다중 증착 및 세척 능력을 제공하여, 고품질, 고효율, 고온 증착 및 고효율의 부드러운 세척을 제공할 수 있다.
도 1a는 본 발명에 따른 CVD 장치의 수직 단면도.
도 1b는 다중챔버 시스템에서 시스템 모니터 및 CVD 장치의 간략화된 다이어그램.
도 1c는 세척 룸에 배치된 가스 공급 패널(80)에 관련하여 CVD 장치의 일반적인 개요도.
도 1d는 특정 실시예에 따른 시스템 제어 소프트웨어, 컴퓨터 프로그램(150)의 계층적 제어 구조의 블록 다이어그램.
도 1e는 예시적인 가열기 제어 서브루틴의 블록 다이어그램.
도 2는 본 발명에 따른 CVD 장치(10)의 바람직한 실시예의 분해도.
도 3은 도 2의 라인 3-3을 따라 얻어진 부분적으로 개략적인 수직 단면도.
도 4는 도 2 장치의 반도체 처리 챔버의 확대 단면도.
도 5는 도 2의 장치에 대한 가스 분배 시스템의 분해도.
도 6a는 가스 분배 시스템 부분을 도시하는 CVD 장치(10)의 덮개 어셈블리의 부분 단면 평면도.
도 6b 및 도 6c는 세척 가스에 대한 바이패스 도관을 통합하는 CVD 장치(10)에 대한 대체적인 덮개 어셈블리의 정단면도 및 평면도.
도 7a 및 도 7b는 본 발명의 실시예에 따른 각각의 챔버 라이너(liner)의 측면 단면도 및 하부도.
도 8은 도 2 CVD 장치(10)의 배출 시스템에서 펌핑 채널 및 가스 흐름 패턴을 도시하는 라인 8-8을 따라 취해진 도 3의 부분 개략, 단면도.
도 9는 본 발명의 실시예에 따른 가열기/리프트 어셈블리의 부분적으로 개략적인 수직 단면도.
도 10은 도 9의 가열기/리프트 어셈블리의 하부 부분 확대 단면도.
도 11은 본 발명의 실시예에 따른 도 9 어셈블리의 페데스탈/가열기 측면 단면도.
도 12는 가열기 코일을 도시하는 페데스탈/가열기의 하부도.
도 13은 도 9의 가열기/리프트 어셈블리의 분해도.
도 14는 도 10의 페데스탈/가열기 내 전기 접속 중 하나의 확대도.
도 15a 및 도 15b는 열전쌍(thermocouple)을 각각 수용하기 위한 페데스탈/가열기 내의 홀 및 열전쌍을 도시한 도면.
도 16은 본 발명의 실시예에 따른 웨이퍼 및/또는 처리 챔버를 세척하기 위한 원격 마이크로파 플라즈마 시스템의 간략 다이어그램.
도 17a-17d는 본 발명의 실시예에 따른 세척 종료 검출 시스템의 간략 다이어그램.
도 18은 본 발명의 실시예에 따라 제조된 반도체 장치의 간략화된 단면도.
도 19a-19e는 극도로 얇은 소스/드레인 접합에 대한 본 발명의 방법 및 장치의 예시적 응용의 간략화된 단면도.
도 20a-20g는 극도로 얇은 트렌치 절연에 대한 본 발명의 방법 및 장치의 다른 예시적 응용의 간략화된 단면도.
도 21은 본 발명의 특정 실시예에 따라 원격 마이크로파 플라즈마 시스템(55)이 제공되어 최적의 세척 속도를 제공하는 NF3 흐름 및 마이크로파 포화 전력 사이의 관계를 도시한 도면.
도 22a-22c는 본 발명의 실시예에 따라 생성된 캡핑된 BSG 막을 사용하여 형성된 극도로 얇은 접합의 도판트 프로파일을 도시하는 실험 결과를 도시한 그래프.
도 23a-23f는 본 발명의 다른 실시예에 따라 다른 캡핑된 BSG 막을 사용하여 형성된 극도로 얇은 접합의 도판트(dopant) 프로파일 및 시트 저항을 도시하는 다른 실험적인 결과를 도시한 그래프.
도 24a는 본 발명의 특정 실시예에 따라 600℃에서 증착된 PSG 막의 증착 갭 충전 능력을 나타내는 현미경 사진.
도 24b는 도 24a에 도시된 구조의 간략화된 단면도.
도 25는 특정 실시예에 따라 예시적인 처리 조건 하에서, 약 600℃에서 증착된 PSG 막의 FTIP 스펙트럼.
도 26a 및 도 26b는 본 발명의 특정 실시예에 따라 약 1050℃에서 가열하고 추후 습식 에칭 처리 후, 각각 약 400℃ 및 약 550℃에서 증착된 TEOS/O3 USG 막의 상대적 갭 충전 능력을 도시한 현미경 사진.
도 27은 본 발명의 특정 실시예에 따라, 약 1000℃에서 가열하고 추후 습식 에칭 처리 후 약 550℃에서 증착된 USG 막의 갭 충전 능력을 나타내는 현미경 사진.
도 28은 특정 실시예에 따라, 예시적인 처리 조건 하에서, 약 550℃에서 증착된 USG 막의 FTIP 스펙트럼을 도시한 도.
*도면의 주요 부분에 대한 부호의 설명*
10 : CVD 장치 15 : 진공 챔버
16 : 가스 반응 영역 20 : 가스 분배 플레이트
30 : 가열기/리프트 어셈블리 35 : 챔버 라이너
43 : 가스 라이너 47 : 세척 가스 도관
50 : 처리기 55 : 원격 마이크로파 플라즈마 시스템
70 : 메모리 93 : 가스 혼합 시스템
153 : 처리 선택기 루틴 155 : 처리 시퀀서 서브루틴

Claims (20)

  1. 기판 처리용 시스템에 있어서,
    내부벽과 세라믹 라이너를 구비하는 처리 챔버를 포함하는데, 상기 세라믹 라이너는 상기 내부벽의 적어도 일부를 라이닝하고;
    상기 처리 챔버 내에 약 10-760 torr 사이의 선택된 압력을 유지하도록 구성된 진공 시스템;
    상기 처리 챔버에 선택된 반응 가스를 운반하도록 구성되고, 증착 제공 시스템과 제 1 입력부 및 제 2 입력부를 가지는 이중 입력 박스를 포함하고, 상기 선택된 반응 가스를 선택된 시점에 상기 이중 입력 박스의 선택된 입력부를 통해 상기 처리 챔버 내로 유입하도록 구성되는 가스 운반 시스템을 포함하는데, 상기 증착 제공 시스템은 상기 제 1 입력부가 선택될 때 상기 제 1 입력부로부터 상기 처리 챔버 내로 제 1 반응 가스를 운반하고 상기 제 2 입력부가 선택될 때 상기 제 2 입력부로부터 상기 처리 챔버 내로 반응 종을 운반하도록 구성되고;
    상기 제 2 입력부로부터 상기 처리 챔버로 상기 반응 종을 생성하고 운반하도록 구성된 원격 마이크로파 플라즈마 시스템을 포함하는데, 상기 반응 종은 마이크로파에 의해 분해된 세척 가스로부터 형성된 플라즈마로부터 생성되고;
    세라믹 외부를 가지는 가열기를 포함하는 가열 시스템을 포함하는데, 상기 가열기는 기판을 홀딩하여 적어도 약 500 ℃까지 가열할 수 있고;
    상기 가스 운반 시스템, 상기 원격 마이크로파 플라즈마 시스템, 상기 가열 시스템, 및 상기 진공 시스템을 제어하도록 구성된 제어기; 및
    상기 제어기에 결합되고, 상기 기판 처리 시스템의 동작을 지시하기 위해 구현된 컴퓨터 판독 가능 프로그램을 가지는 컴퓨터 판독 가능 매체를 구비하는 메모리를 포함하며, 상기 컴퓨터 판독 가능 프로그램은:
    상기 처리 챔버를 세척하기 위해 상기 처리 챔버 내로 상기 반응 종을 운반하도록 제 1 시간 주기 동안 상기 원격 마이크로파 플라즈마 시스템에 상기 세척 가스를 유입하기 위해 상기 가스 운반 시스템을 제어하기 위한 제 1 컴퓨터 명령 세트를 포함하는 것을 특징으로 하는 기판 처리 시스템.
  2. 제 1항에 있어서,
    상기 제 1 컴퓨터 명령 세트는:
    상기 처리 챔버 내의 약 10-760 torr의 압력을 유지하도록 상기 진공 시스템을 제어하기 위한 제 1 컴퓨터 명령 서브세트;
    상기 제 1 시간 주기 동안 적어도 약 500 ℃의 선택된 온도까지 상기 가열기를 가열하도록 상기 가열 시스템을 제어하기 위한 제 2 컴퓨터 명령 서브세트;
    상기 제 1 시간 주기 동안 상기 반응 종을 제공하도록 상기 세척 가스에 마이크로파를 인가하기 위해 상기 원격 마이크로파 플라즈마 시스템을 제어하기 위한 제 3 컴퓨터 명령 서브세트; 및
    상기 처리 챔버를 세척한 후 상기 처리 챔버의 잔류물을 외부로 펌핑하도록 상기 진공 시스템을 제어하기 위한 제 4 컴퓨터 명령 서브세트를 포함하는 것을 특징으로 하는 기판 처리 시스템.
  3. 제 1항에 있어서, 상기 가스 운반 시스템은 상기 제 2 입력부에 게이트 밸브를 더 포함하며, 상기 게이트 밸브는 상기 반응 종이 상기 제 2 입력부 내로 흐르도록 선택적으로 개방되거나 밀폐되는 것을 특징으로 하는 기판 처리 시스템.
  4. 제 3항에 있어서, 상기 제어기는 상기 게이트 밸브를 제어하도록 구성되며, 상기 컴퓨터 판독 가능 프로그램은 상기 게이트 밸브를 선택적으로 개방 또는 밀폐하도록 상기 가스 운반 시스템을 제어하기 위한 제 6 컴퓨터 명령 서브세트를 더 포함하는 것을 특징으로 하는 기판 처리 시스템.
  5. 제 2항에 있어서, 상기 선택된 온도는 약 550 ℃인 것을 특징으로 하는 기판 처리 시스템.
  6. 제 2항에 있어서, 상기 선택된 온도는 약 600 ℃인 것을 특징으로 하는 기판 처리 시스템.
  7. 제 2항에 있어서, 상기 선택된 온도는 약 650 ℃인 것을 특징으로 하는 기판 처리 시스템.
  8. 제 2항에 있어서, 상기 반응 종은 플루오르로 이루어지는 것을 특징으로 하는 기판 처리 시스템.
  9. 제 8항에 있어서, 상기 세척 가스는 NF3와 N2, NF3와 아르곤, NF3 와 O2, NF3와 산소 원자(O), 및 희석 F2로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 기판 처리 시스템.
  10. 제 8항에 있어서, 상기 세척 가스는 CF4, C2F6, C3F8, 및 SF6로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 기판 처리 시스템.
  11. 제 2항에 있어서, 상기 반응 종은 염소로 이루어지고 상기 세척 가스는 Cl2를 포함하는 것을 특징으로 하는 기판 처리 시스템.
  12. 처리 챔버를 세척하기 위한 방법에 있어서,
    제 1 시간 주기에 상기 처리 챔버 내의 세라믹 가열기 위에 있는 웨이퍼 상에 유전체 막을 증착하는 단계를 포함하는데, 상기 세라믹 가열기는 상기 증착 단계 동안 적어도 약 500 ℃의 제 1 온도까지 가열되고;
    제 2 시간 주기 동안 원격 마이크로파 플라즈마 시스템에 입력되는 세척 가스로부터 상기 처리 챔버 내로 반응 종을 유입하는 단계를 포함하는데, 상기 세라믹 가열기는 상기 유입 단계 동안 적어도 약 500 ℃의 제 2 온도까지 가열되고; 및
    상기 처리 챔버 내의 표면을 세척하는 단계를 포함하며, 상기 세척은 상기 반응 종에 의해 수행되는 것을 특징으로 하는 처리 챔버 세척 방법.
  13. 제 12항에 있어서, 상기 원격 마이크로파 플라즈마 시스템은 마그네트론, 튜닝된 도파관 시스템, 및 어플리케이터 튜브를 포함하고; 상기 세척 가스는 상기 마그네트론으로부터의 마이크로파가 상기 처리 챔버에 상기 반응 종을 제공하도록 상기 세척 가스를 에너지화하는 상기 어플리케이터 튜브내에 수용되는 것을 특징으로 하는 처리 챔버 세척 방법.
  14. 제 12항에 있어서, 상기 제 1 온도는 상기 제 2 온도와 대체로 동일한 것을 특징으로 하는 처리 챔버 세척 방법.
  15. 제 12항에 있어서, 상기 제 1 온도는 약 550-600 ℃ 사이인 것을 특징으로 하는 처리 챔버 세척 방법.
  16. 제 14항에 있어서, 상기 제 1 온도는 약 550-600 ℃ 사이인 것을 특징으로 하는 처리 챔버 세척 방법.
  17. 제 12항에 있어서, 상기 반응 종은 플루오르를 포함하는 것을 특징으로 하는 처리 챔버 세척 방법.
  18. 제 17항에 있어서, 상기 세척 가스는 NF3를 포함하는 것을 특징으로 하는 처리 챔버 세척 방법.
  19. 제 17항에 있어서, 상기 세척 가스는 NF3와 N2, NF3와 아르곤, NF3 와 O2, NF3와 산소 원자(O), 및 희석 F2로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 처리 챔버 세척 방법.
  20. 제 16항에 있어서, 상기 반응 종은 염소로 이루어지고 상기 세척 가스는 Cl2를 포함하는 것을 특징으로 하는 처리 챔버 세척 방법.
KR1019970059589A 1996-11-13 1997-11-13 기판처리시스템의표면세척을위한방법및그장치 KR100472108B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/748,095 1996-11-13
US08/748,095 US5812403A (en) 1996-11-13 1996-11-13 Methods and apparatus for cleaning surfaces in a substrate processing system
US8/748,095 1996-11-13

Publications (2)

Publication Number Publication Date
KR19980042360A KR19980042360A (ko) 1998-08-17
KR100472108B1 true KR100472108B1 (ko) 2005-04-14

Family

ID=25007997

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970059589A KR100472108B1 (ko) 1996-11-13 1997-11-13 기판처리시스템의표면세척을위한방법및그장치

Country Status (5)

Country Link
US (1) US5812403A (ko)
JP (1) JPH10178004A (ko)
KR (1) KR100472108B1 (ko)
SG (1) SG67997A1 (ko)
TW (1) TW358968B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101201039B1 (ko) * 2009-11-12 2012-11-20 노벨러스 시스템즈, 인코포레이티드 반도체 공정의 k값 회복 및 표면 세정을 위한 uv 및 환원제 처리
KR20160083417A (ko) * 2014-12-31 2016-07-12 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Families Citing this family (301)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5963840A (en) * 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US6017414A (en) 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
JP3801730B2 (ja) * 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US6109206A (en) * 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6534007B1 (en) 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US6003526A (en) * 1997-09-12 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd In-sit chamber cleaning method
US6090206A (en) * 1997-10-20 2000-07-18 Applied Materials, Inc. Throttle valve providing enhanced cleaning
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US6135128A (en) * 1998-03-27 2000-10-24 Eaton Corporation Method for in-process cleaning of an ion source
US20030164225A1 (en) * 1998-04-20 2003-09-04 Tadashi Sawayama Processing apparatus, exhaust processing process and plasma processing
US6169933B1 (en) 1998-04-23 2001-01-02 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6132577A (en) * 1998-04-23 2000-10-17 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6223755B1 (en) 1998-04-23 2001-05-01 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6254717B1 (en) * 1998-04-23 2001-07-03 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6269278B1 (en) 1998-04-23 2001-07-31 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6225601B1 (en) * 1998-07-13 2001-05-01 Applied Komatsu Technology, Inc. Heating a substrate support in a substrate handling chamber
US6197703B1 (en) * 1998-08-17 2001-03-06 Advanced Micro Devices, Inc. Apparatus and method for manufacturing semiconductors using low dielectric constant materials
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6368567B2 (en) * 1998-10-07 2002-04-09 Applied Materials, Inc. Point-of-use exhaust by-product reactor
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US20010052323A1 (en) * 1999-02-17 2001-12-20 Ellie Yieh Method and apparatus for forming material layers from atomic gasses
US6300255B1 (en) * 1999-02-24 2001-10-09 Applied Materials, Inc. Method and apparatus for processing semiconductive wafers
TW439143B (en) * 1999-03-01 2001-06-07 United Microelectronics Corp Method to prevent first-wafer effect
US6090675A (en) * 1999-04-02 2000-07-18 Taiwan Semiconductor Manufacturing Company Formation of dielectric layer employing high ozone:tetraethyl-ortho-silicate ratios during chemical vapor deposition
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6358327B1 (en) * 1999-06-29 2002-03-19 Applied Materials, Inc. Method for endpoint detection using throttle valve position
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6291358B1 (en) * 1999-10-15 2001-09-18 Micron Technology, Inc. Plasma deposition tool operating method
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US20030010354A1 (en) 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6863019B2 (en) * 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
JP2002057149A (ja) * 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置及びそのクリーニング方法
US6640155B2 (en) * 2000-08-22 2003-10-28 Lam Research Corporation Chemical mechanical polishing apparatus and methods with central control of polishing pressure applied by polishing head
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6516814B2 (en) * 2001-05-03 2003-02-11 Silicon Integrated Systems Corp. Method of rapid prevention of particle pollution in pre-clean chambers
US6602800B2 (en) 2001-05-09 2003-08-05 Asm Japan K.K. Apparatus for forming thin film on semiconductor substrate by plasma reaction
JP2002343962A (ja) * 2001-05-15 2002-11-29 Hitachi Ltd 半導体集積回路装置およびその製造方法
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
KR100390917B1 (ko) * 2001-06-29 2003-07-12 주식회사 하이닉스반도체 플레시 메모리소자의 제조방법
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
JP4942125B2 (ja) * 2001-07-26 2012-05-30 住友精密工業株式会社 オゾン処理システム
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
WO2003014413A1 (fr) * 2001-08-07 2003-02-20 Tokyo Electron Limited Dispositif de traitement et procede de nettoyage associe
US6686594B2 (en) 2001-10-29 2004-02-03 Air Products And Chemicals, Inc. On-line UV-Visible light halogen gas analyzer for semiconductor processing effluent monitoring
US20030121796A1 (en) * 2001-11-26 2003-07-03 Siegele Stephen H Generation and distribution of molecular fluorine within a fabrication facility
US20090001524A1 (en) * 2001-11-26 2009-01-01 Siegele Stephen H Generation and distribution of a fluorine gas
KR100421223B1 (ko) * 2001-12-13 2004-03-02 삼성전자주식회사 화학 기상 반응기용 샤워헤드
US6878214B2 (en) * 2002-01-24 2005-04-12 Applied Materials, Inc. Process endpoint detection in processing chambers
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US7217336B2 (en) * 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
JP2004031546A (ja) * 2002-06-25 2004-01-29 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US7588036B2 (en) 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
DE10241590A1 (de) * 2002-09-05 2004-03-18 Infineon Technologies Ag Verfahren zur Bestimmung des Endpunktes beim Reinigungsätzen
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7062141B2 (en) * 2002-12-12 2006-06-13 Applied Materials, Inc. Deposition of thick BPSG layers as upper and lower cladding for optoelectronics applications
JP2004214610A (ja) * 2002-12-20 2004-07-29 Renesas Technology Corp 半導体装置の製造方法
CN101457338B (zh) * 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
KR100541675B1 (ko) * 2003-04-30 2006-01-11 주식회사 하이닉스반도체 유전막 형성 방법
KR100997964B1 (ko) * 2003-06-16 2010-12-02 삼성전자주식회사 박막 트랜지스터 표시판의 제조 방법
FR2863404B1 (fr) * 2003-12-09 2006-04-21 Cit Alcatel Dispositif pour la generation et la commande du flux d'agents de nettoyage dans une chambre de procedes
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4818589B2 (ja) * 2004-02-26 2011-11-16 東京エレクトロン株式会社 処理装置
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
JP4933720B2 (ja) * 2004-03-19 2012-05-16 アプライド マテリアルズ インコーポレイテッド 成膜方法
US7288284B2 (en) * 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050214445A1 (en) * 2004-03-29 2005-09-29 Tokyo Electron Limited Method and processing system for determining coating status of a ceramic substrate heater
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7007408B2 (en) * 2004-04-28 2006-03-07 Solid State Measurements, Inc. Method and apparatus for removing and/or preventing surface contamination of a probe
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US20050284371A1 (en) * 2004-06-29 2005-12-29 Mcfadden Robert S Deposition apparatus for providing uniform low-k dielectric
US20080110744A1 (en) * 2004-06-30 2008-05-15 Jean-Marc Girard Method for the Preparation of a Gas or Mixture of Gases Containing Molecular Fluorine
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP2006066540A (ja) * 2004-08-25 2006-03-09 Tokyo Electron Ltd 薄膜形成装置の洗浄方法及び薄膜形成装置
US20090053903A1 (en) * 2004-08-31 2009-02-26 Tokyo Electron Limited Silicon oxide film forming method, semiconductor device manufacturing method and computer storage medium
TWI279260B (en) * 2004-10-12 2007-04-21 Applied Materials Inc Endpoint detector and particle monitor
JP2006114780A (ja) * 2004-10-15 2006-04-27 Tokyo Electron Ltd 薄膜形成装置の洗浄方法、薄膜形成装置及びプログラム
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
ITMI20042206A1 (it) * 2004-11-17 2005-02-17 St Microelectronics Srl Procedimento per la definizione di cirfuiti integrati di dispositivi elettronici a semicondutture
JP5184890B2 (ja) * 2004-12-21 2013-04-17 アプライド マテリアルズ インコーポレイテッド 基板のための処理チャンバ
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060182886A1 (en) * 2005-02-15 2006-08-17 Guidotti Emmanuel P Method and system for improved delivery of a precursor vapor to a processing zone
US7578301B2 (en) * 2005-03-28 2009-08-25 Lam Research Corporation Methods and apparatus for determining the endpoint of a cleaning or conditioning process in a plasma processing system
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US20070026653A1 (en) * 2005-07-26 2007-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Cap layer on doped dielectric
KR20070070867A (ko) * 2005-12-29 2007-07-04 동부일렉트로닉스 주식회사 화학기상증착 방법
JP5324026B2 (ja) * 2006-01-18 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の制御方法
US20070224840A1 (en) * 2006-03-21 2007-09-27 Varian Semiconductor Equipment Associates, Inc. Method of Plasma Processing with In-Situ Monitoring and Process Parameter Tuning
US20070266946A1 (en) * 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR20080023172A (ko) * 2006-09-08 2008-03-12 주성엔지니어링(주) 기판 가장자리 식각 장치
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20080160786A1 (en) * 2006-12-27 2008-07-03 United Microelectronics Corp. Method for increasing film stress and method for forming high stress layer
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
JP4959733B2 (ja) * 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090314208A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
JP5089513B2 (ja) * 2008-07-11 2012-12-05 東京エレクトロン株式会社 プラズマ処理装置システムの制御装置、プラズマ処理システムの制御方法および制御プログラムを記憶した記憶媒体
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
JP2012506620A (ja) * 2008-10-21 2012-03-15 アプライド マテリアルズ インコーポレイテッド チャンバをクリーニングするプラズマ発生源とプロセス
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
EP2422359A4 (en) * 2009-04-20 2013-07-03 Applied Materials Inc REINFORCED ABSORPTION OF RESTFLUORRADIKALEN WITH THE HELP OF A SILICONE COATING ON PROCESS CHAMBER WALLS
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
JP5698950B2 (ja) 2009-10-23 2015-04-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
US20110108058A1 (en) * 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5948040B2 (ja) 2010-11-04 2016-07-06 株式会社半導体エネルギー研究所 結晶性半導体膜の作製方法及び半導体装置の作製方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR20120137650A (ko) * 2011-06-13 2012-12-24 삼성디스플레이 주식회사 증착용 챔버의 초기화 방법, 챔버 내의 오염물 제거방법 및 챔버의 제조방법
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
DE102012101438B4 (de) * 2012-02-23 2023-07-13 Aixtron Se Verfahren zum Reinigen einer Prozesskammer eines CVD-Reaktors
KR101678512B1 (ko) * 2012-03-22 2016-11-22 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5973850B2 (ja) * 2012-09-03 2016-08-23 大陽日酸株式会社 クリーニング終点検知方法
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR101965256B1 (ko) * 2012-10-17 2019-04-04 삼성디스플레이 주식회사 유기 발광 표시 장치
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9246133B2 (en) * 2013-04-12 2016-01-26 Semiconductor Energy Laboratory Co., Ltd. Light-emitting module, light-emitting panel, and light-emitting device
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
KR20150091769A (ko) * 2014-02-04 2015-08-12 주성엔지니어링(주) 기판처리장치
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299558B2 (en) * 2014-03-21 2016-03-29 Applied Materials, Inc. Run-to-run stability of film deposition
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
JP6262333B2 (ja) * 2014-03-26 2018-01-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
KR200480985Y1 (ko) * 2015-02-05 2016-08-01 주식회사 에프알디 반도체 제조 장비용 세라믹 히터의 모니터 장치 및 상기 반도체 제조 장비용 세라믹 히터의 모니터 장치를 포함하는 반도체 제조 장비용 세라믹 히터
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6480222B2 (ja) * 2015-03-18 2019-03-06 株式会社アルバック イオンビーム装置、イオン注入装置、イオンビーム放出方法
US10191215B2 (en) * 2015-05-05 2019-01-29 Ecole Polytechnique Federale De Lausanne (Epfl) Waveguide fabrication method
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US20210114832A1 (en) * 2018-06-14 2021-04-22 Applied Materials, Inc. Roller device for guiding a flexible substrate, use of a roller device for transporting a flexible substrate, vacuum processing apparatus, and method of processing a flexible substrate
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20230035651A (ko) * 2020-07-14 2023-03-14 어플라이드 머티어리얼스, 인코포레이티드 화학적 기계적 연마 동안 부적합 기판 프로세싱 이벤트들을 검출하는 방법들
US11745229B2 (en) * 2020-08-11 2023-09-05 Mks Instruments, Inc. Endpoint detection of deposition cleaning in a pumping line and a processing chamber
CN111946144B (zh) * 2020-08-13 2022-03-22 浙江保罗蓝森塑业有限公司 一种建筑施工用防尘降噪型防护板墙
US11664197B2 (en) 2021-08-02 2023-05-30 Mks Instruments, Inc. Method and apparatus for plasma generation
WO2023107724A1 (en) * 2021-12-09 2023-06-15 Helios Technical Services, Llc Thermocouple leak detection

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JP3227522B2 (ja) * 1992-10-20 2001-11-12 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置
US5486235A (en) * 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101201039B1 (ko) * 2009-11-12 2012-11-20 노벨러스 시스템즈, 인코포레이티드 반도체 공정의 k값 회복 및 표면 세정을 위한 uv 및 환원제 처리
KR20160083417A (ko) * 2014-12-31 2016-07-12 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102299884B1 (ko) 2014-12-31 2021-09-09 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
JPH10178004A (ja) 1998-06-30
KR19980042360A (ko) 1998-08-17
SG67997A1 (en) 1999-10-19
US5812403A (en) 1998-09-22
TW358968B (en) 1999-05-21

Similar Documents

Publication Publication Date Title
KR100472108B1 (ko) 기판처리시스템의표면세척을위한방법및그장치
KR100470796B1 (ko) 하부장착된원격플라즈마시스템을갖는기판처리용장치
KR100538137B1 (ko) 고온처리챔버용덮개어셈블리
KR100538136B1 (ko) 반도체웨이퍼의고온처리를위한시스템및그방법
KR100538138B1 (ko) 대기압 이하의 고온 조건에서 금속전 유전체층을 증착하기 위한 방법 및 장치
KR100550421B1 (ko) 얕은트렌치절연방법및장치
KR100550422B1 (ko) 도핑된실리콘산화물막을사용하여극도로얕은도핑영역을형성하는방법및장치
US5879574A (en) Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US5939831A (en) Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6645303B2 (en) Heater/lift assembly for high temperature processing chamber
US5935340A (en) Method and apparatus for gettering fluorine from chamber material surfaces
US6444037B1 (en) Chamber liner for high temperature processing chamber
US5968587A (en) Systems and methods for controlling the temperature of a vapor deposition apparatus
US6347636B1 (en) Methods and apparatus for gettering fluorine from chamber material surfaces
KR20010062663A (ko) 고밀도 플라즈마 반응로내의 질화 실리콘의 인시튜 증착및 집적화
US6436303B1 (en) Film removal employing a remote plasma source

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130130

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150129

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee