KR100538138B1 - 대기압 이하의 고온 조건에서 금속전 유전체층을 증착하기 위한 방법 및 장치 - Google Patents

대기압 이하의 고온 조건에서 금속전 유전체층을 증착하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR100538138B1
KR100538138B1 KR1019970059590A KR19970059590A KR100538138B1 KR 100538138 B1 KR100538138 B1 KR 100538138B1 KR 1019970059590 A KR1019970059590 A KR 1019970059590A KR 19970059590 A KR19970059590 A KR 19970059590A KR 100538138 B1 KR100538138 B1 KR 100538138B1
Authority
KR
South Korea
Prior art keywords
chamber
gas
deposition
film
heater
Prior art date
Application number
KR1019970059590A
Other languages
English (en)
Other versions
KR19980042361A (ko
Inventor
스리니바스 네마니
엘리 이에
리-쿤 시아
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR19980042361A publication Critical patent/KR19980042361A/ko
Application granted granted Critical
Publication of KR100538138B1 publication Critical patent/KR100538138B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

본 발명은 반도체 웨이퍼의 고온(적어도 약 500-800 ℃) 처리를 위한 시스템, 방법 및 장치를 제공한다. 본 발명의 시스템, 방법 및 장치는 다중 처리 단계가 전체 처리 시간을 감소하고 고종횡비 소자에 대한 고품질 처리를 보장하도록 동일한 챔버에서 인-시튜 수행되도록 한다. 또한 동일 챔버내의 다중 처리 단계 수행은 처리 파라미터의 제어를 증가시키고 소자 손상을 감소시킨다. 특히, 본 발명은 고온 증착, 가열 및 두께 균일성을 가지는 유전체막을 형성하기 위한 유효한 세척, 양호한 갭 충전 능력, 고밀도, 저습도, 및 다른 요구된 특성을 제공할 수 있다.

Description

대기압 이하의 고온 조건에서 금속전 유전체층을 증착하기 위한 방법 및 장치
본 출원은 공동 발명자가 조나단 프랑켈, 해리 포넥칸티, 이나 쉬무런, 및 비스베스워렌 시베러마크리스한이고 발명의 명칭이 "고온 처리 챔버용 히터/리프트 어셈블리" 인 출원; 및 공동 발명자가 조나단 프랑켈 및 비스베스워렌 시베러마크리스한이고 발명의 명칭이 "고온 처리 챔버용 챔버 라이너 "인 출원; 공동 발명자가 게리 퐁 및 이리윈 실베스트이고 발명의 명칭이 "하부에 설치된 원격 플라즈마 시스템을 가진 기판 처리 장치 "인 출원; 공동 발명자가 조나단 프랑켈이고 발명의 명칭이 "고온 처리 챔버용 리프트 어셈블리 "인 출원; 공동 출원자가 비베스워렌 시베러마크리스한 및 게리 퐁이고 발명의 명칭이 " 열(비플라즈마) 처리시 챔버 세척 검출 단부에 대한 시스템 및 방법 "인 출원; 공동 출원자가 조나단 프랑켈, 이나 쉬무룬 비스베스워렌 시베러마크리스한, 및 유겐 푸샨스키이고 발명의 명칭이 "고온 처리 챔버용 덮개 어셈블리 "인 출원; 공동 출원자가 게리 퐁, 리 쿤 시아, 쉬리니바스 네마니, 및 엘리 이히이고 발명의 명칭이 " 기판 처리 시스템에서 표면을 세척하기 위한 방법 및 장치 "인 출원; 공동 발명자가 리 쿤 시아, 비스베스워렌 시베러마크리스한, 쉬리니바스 네마니, 엘리 이히, 및 게리 퐁이고 발명의 명칭이 " 챔버 재료 표면으로부터 질소를 생성하기 위한 방법 및 장치 "인 출원; 공동 발명자가 비스베스워렌 시어러마크리스한, 엘리 이히, 조나단 프랑켈, 리 쿤 시아, 게리 퐁, 스리니바스 네마니, 이윈 실베스트, 이나 슈무런, 및 팀 레빈이고 발명의 명칭이 "반도체 웨이퍼의 고온 처리용 시스템 및 방법 "인 출원; 공동 발명자가 엘리 이히, 리 쿤 시아, 및 쉬리니바스 네마니이고 발명의 명칭이 " 얇은 트렌치 절연을 위한 방법 및 장치 "인 출원; 공동 발명자가 조나단 프랑켈이고 발명의 명칭이 " 기상 증착 장치의 온도를 제어하기 위한 시스템 및 방법인 출원; 공동 발명자가 게리 퐁, 퐁 창, 및 롱 구엔이고 발명의 명칭이 "마이크로파 세척 응용을 위한 사전 안정화된 플라즈마 발생 방법 및 장치인 출원; 및 공동 발명자가 엘리 이히, 리쿤 시아, 파울 게, 및 방 구엔이고 발명의 명칭이 "도핑된 실리콘 산화물 막을 사용하여 극도로 얇은 도핑 지역을 형성하기 위한 방법 및 장치"인 출원에 관한 것이다. 각각의 상기 참조 출원은 어플라이드 머티어리얼스 인코포레이티드에 양도되었고, 본 발명의 양도인 및 각각의 상기 참조 출원은 참조된다.
본 발명은 반도체 처리에 관한 것이다. 특히, 본 발명은 약 500℃ 이상의 온도에서 높은 종횡비의 피처(feature) 위로 낮은 수분 함량 및 낮은 수축력을 가진 유전체막을 형성하는 방법 및 장치에 관한 것이다. 본 발명의 실시예는 보로포스포실리케이트 글래스(BPSG)막, 보로실리케이트 글래스(BSG)막, 또는 포스포실리케이트 글래스(PSG)막과 같이 도핑된 유전체막을 증착하고, 예를 들어 얕은 트렌치 절연시 소스/드레인 접합부 또는 채널 스톱 확산부로서 사용되는 극도로 얕은 도핑영역을 형성하는데 특히 유용하다. 게다가, 본 발명의 실시예는 전금속 유전체(PMD : premetal dielectirc)층, 금속간 유전체(IMD)층, 또는 다른 유전체층으로서 사용되는 도핑된 유전체막을 증착하는데 사용될 수 있다. 본 발명의 실시예는 얕은 트렌치 절연 충전 산화물, 절연층, 캡핑층, 또는 다른층으로서 사용되는 도핑되지 않은 실리케이트 글래스(USG) 막과 같은 도핑되지 않은 유전체막을 증착하는데도 사용될 수 있다.
현대 반도체 소자를 제조하는데 있어 가장 중요한 단계중 하나는 반도체 기판상에 유전체층을 형성하는 것이다. 공지된 바와 같이, 상기 유전체층은 화학적 기상 증착(CVD)에 의해 증착될 수 있다. 종래의 열 CVD 공정에서, 반응 가스는 원하는 막을 형성하기 위하여 열 유도 화학 반응(균질 또는 이질)이 이루어지는 기판 표면에 공급된다. 종래의 플리즈마 공정에서, 제어된 플라즈마는 원하는 막을 형성하기 위하여 반응 종을 분해 및/또는 에너지화시키도록 형성된다. 일반적으로, 열 및 플라즈마 공정시 반응 속도는 온도, 압력, 및 반응 가스 유량중 하나 이상을 제어함으로써 제어될 수 있다.
반도체 소자는 몇 십년 전에 처음 소개되었기 때문에, 반도체 소자 구조는 크기면에서 크게 감소되었다. 집적 회로는 칩상에 장착되는 소자의 수가 2년마다 두배인 것을 의미하는 2년/하프 크기 법칙(종종 "무어 법칙" 이라 불린다)을 따른다. 오늘날의 웨이퍼 제조 설비는 통상적으로 0.5㎛ 및 심지어 0.35㎛ 피처 크기 소자를 생산하며, 앞으로의 설비는 보다 작은 피처 크기를 갖는 장치를 생산할 것이다. 소자 피처 크기가 작아지고 집적도가 증가함에 따라, 산업계에서 이전에 중요시되지 않았던 문제에 대한 관심이 커지고 있다. 특히, 증가하는 고밀도 소자는 높은 종횡비(예를들어, 약 3:1 또는 4:1 이상의)의 피처를 갖는다. (종횡비는 두 개의 인접한 스텝의 높이 대 간격으로서 정의된다).
고품질의 소자를 제조하기 위해 고집적도의 소자를 제조하는 공정에서 보다 엄격한 조건이 요구되며, 종래의 기판 처리 시스템은 이러한 요구조건에 만족시키기에는 부적합하다. 한가지 요구조건은 상기 소자의 제조 공정에서 형성된 유전체 막은 갭 또는 보이드를 거의 남기지 않고 높은 종횡비 막 상에 균일하게 증착되어야 한다는 것이다. 또 다른 요구조건은 순차적 가열 및/또는 습식 에칭 단계가 증착된 막에서 보이드가 개방되지 않도록 낮은 수축력을 나타날 필요가 있다는 것이다. 그러나, 약 450℃ 이하의 온도에서 유전체막을 증착하는 종래의 기판 처리 시스템은 순차적 가열 및/또는 습식 에칭 단계에서 실질적으로 보이드를 개방하지 않고 우수한 갭 충전 능력을 가지는 낮은 습기 막을 형성할 수 없다. 공지된 바와 같이, 이들 갭 또는 보이드는 소자에 신뢰적이지 못한 성능 및 다른 문제를 부여한다. 예를 들어 상기 소자에서 PMD 또는 IMD층으로서 사용된 유전체층은 갭 또는 보이드에 의해 유발되는 문제를 방지하기 위하여 높은 종횡비에 대해 우수한 갭 충전 능력을 필요로 한다. 추가의 요구조건은 처리 단계 동안 웨이퍼 속으로의 금속 오염을 최소화시켜 회로 단락 및 소자에서의 다른 문제점들을 방지해야 한다는 것이다. 공지된 바와 같이, 처리과정 동안 인 시튜 플라즈마를 사용하는 종래의 기판 처리 시스템은 알루미늄 벽과 같은 챔버 표면을 공격하는 이온의 물리적 스퍼터링을 겪어, 기판의 금속 오염을 유발한다. 따라서 인 시튜 플라즈마의 사용은 바람직하지 않다. 인 시튜 플라즈마를 사용하지 않는 개선된 기판 처리 시스템은 낮은 습기, 높은 밀도, 낮은 수축도, 우수한 높은 종횡비 갭 충전 능력의 원하는 특성을 가지는 유전체막을 제공하기 위하여 필요하다.
이러한 엄격한 요구조건을 만족시키는 것 외에, 기판 처리 시스템은 축소형 소자 구조를 갖는 고집적 소자를 위해 요구되는 극도로 얕은 도핑 영역을 형성하기 위한 고도의 조건을 만족시켜야 한다. 보다 작은 소자 구조로 인해, 반도체의 극도로 얕은 도핑 영역은 예를 들어, 소스/드레인 접합부, 얕은 트렌치 절연을 위한 채널 스톱 확산부 등을 포함하는 다양한 분야에서 요구된다. 예를 들어, 0.8㎛ 미만의 채널 길이를 가지는 MOS 소자는 적절한 소자 성능을 위하여 약 250나노미터(㎚) 미만의 깊이를 가지는 소스/드레인 접합부을 요구한다. 약 0.35㎛ 깊이의 트렌치 절연 구조에 의해 분리된 트랜지스터에 대하여, 수백 나노미터 정도의 깊이를 가지는 극도로 얇은 채널 스톱 영역이 요구된다. 극도로 얇은 도핑 영역을 요구하는 적용 분야에 있어, 도핑된 영역에서의 균일한 도펀트 분포 및 접합 깊이의 우수한 제어를 제공하는 것이 중요하다.
이온 주입 및 가스 확산과 같이 극도로 얕은 도핑 영역을 형성하기 위한 현재의 방법은 몇가지 적용 분야에 있어서는 부적당하다. 이들 현재 방법으로는, 도펀트 분배 및 접합 깊이 제어 능력이 특히 도핑된 영역이 얕아짐에 따라 제한된다. 이온 주입과 같은 방법으로, 도펀트 분포를 제어는 반도체 재료의 표면에서 이온의 축적 농도로 인해 어렵다. 또한, 이온 주입은 반도체 표면에 손상을 유발하며, 이런 기판 손상을 치유하기 위한 방법은 극도로 얕은 도핑 역역에 대한 도펀트 분포 및 접합 깊이 제어를 보다 어렵게 한다. 예를 들어, 비교적 높은 에너지 레벨에서 충돌된 이온은 반도체 재료를 관통하거나 통과하는 경향을 가지며 포인트 결함과 같은 손상을 유발한다. 불규칙적이고 비균일한 접합 깊이를 유도하는 이들 포인트 결함은 고온(약 900℃ 이상에서)에서 주입된 반도체 재료를 어닐링함으로써 고정될 수 있다. 그러나 주입된 반도체 재료의 어닐링은 원하는바 이상으로 접합 깊이를 더 증가시킨다. 가스 확산과 같은 방법은, 극도로 얕은 도핑 영역을 형성하는 경우 도펀트 분포 및 접합 깊이의 제어를 어렵게 한다. 보다 작은 구조의 소자에 대한 기술로서, 극도로 얕은 도핑 영역에 도펀트 균일성 및 접합 깊이를 제어할 수 있는 다른 방법이 요구된다.
극도로 얕은 도핑 영역을 형성에 있어, 현재의 이온 주입 및 가스 확산 방법에 대한 또 다른 방법은 도펀트 확산 소스로서 도핑된 유전체막을 사용하는 것이다. 이런 다른 방법에서는, 도핑된 유전체막이 기판상에 증착되어 극도로 얕은 도핑 영역을 형성하기 위하여 기판속으로 확산되는 도펀트 소스로서 사용된다. 예를들어, 도핑된 유전체막은 증착 챔버에서 500℃ 미만의 온도로 증착되고, 도핑 영역을 형성하기 위한 도펀트 확산을 수행하도록 어닐링 퍼니스와 같은 다른 챔버에서 500℃ 이상의 온도로 순차적으로 가열된다. 도핑된 유전체막의 두게, 균일성, 및 수분 함량 제어는 반도체 재료에 극도로 얕은 도핑 접합부를 효율적으로 형성하는데 중요하다. 특히, 증착된 도핑된 유전체막의 두께 및 균일성 제어는 확산을 위해 이용될 수 있는 도펀트 양을 약간 제어한다. 확산 소스로서 사용되는 도핑된 유전체막의 두께 제한은 증착(및 순차적 에칭) 시간을 절약함으로써 웨이퍼 생산량을 증가시키는데 도움을 준다. 게다가, 도펀트 균일성을 가진 균일하게 증착된 막은 막으로부터 기판속으로의 도펀트 확산을 보다 잘 제어한다. 공지된 바와 같이, 도핑된 유전체막내의 수분은 도펀트와 반응하여 결정 구조에서 수분과 결합되어, 도핑된 영역을 형성하기 위하여 기판속으로 확산되는데 이용할 수 있는 도펀트가 적어진다. 이들 막은 확산에 사용할 수 있는 보다 많은 도펀트를 갖기 때문에, 낮은 수분 함량을 갖는 도핑된 유전체막을 사용하는 것이 바람직하다.
도펀트 확산 소스로서 도핑된 유전체막을 사용하는 경우 종래의 기판 처리 시스템에서는 몇가지 문제점이 발생한다. 문제점중 하나는 도핑된 유전체막을 증착하기 위하여 종래의 시스템을 사용하는 경우 막 두께 및 불균일성에 대한 보다 정밀한 제어가 어렵다는 것이다. 또 다른 문제점은 극도로 얕은 도핑 영역을 형성하는데 있어 도핑된 유전체막내의 적당한 양의 도펀트가 기판속으로의 확산에 이용되도록 보장하는 것이 어렵다는 것이다. 또 다른 문제점은 극도로 얕은 도핑 영역이 형성되는 기판 표면상에는, 도핑된 유전체막으로부터 기판속으로 도펀트가 확산되는 것을 방지하는 장벽층으로서 작용하는, 자연 산화물이 존재한다는 것이다. 이들 문제점은 하기에 상세히 논의된다.
극도로 얕은 도핑 영역을 형성하기 위하여 도펀트 확산 소스로서 도핑된 유전체막을 사용하는 장점에도 불구하고, 종래의 증착 시스템을 사용하는 경우 증착되는 도핑된 유전체막의 두께 및 균일성을 제어할 수 없다는 문제점은 2개의 주된 이유와 관련된다. 첫째, 종래의 방법 및 장치를 사용하여 증착된 도핑된 유전체막의 두께 및 균일성을 적절하게 제어할 수 없다는 것은 형성되는 극도로 얕은 도핑 영역의 도펀트 균일성 및 접합 깊이를 제어하는 능력을 감소시킨다. 예를 들어, 종래의 연속적인 CVD 챔버에서, 기판은 벨트상에 위치되어 챔버의 다양한 부분을 통하여 이동한다. 챔버의 각 부분에서, 일정 두께를 가지는 층이 증착될 수 있다. 증착된 막의 두께는 제한된 제어를 제공하는 벨트 속도를 변화시킴으로써 제어된다. 또한, 벨트 속도를 사용하여 막 두께 및 도펀트 농도를 제어하는 경우 여러 웨이퍼상에 증착된 막의 두께 및 도펀트 균일성 제어가 어렵다. 즉, 상이한 웨이퍼상에 증착된 막의 두께는 변할 수 있어 예측할 수 없으며, 웨이퍼간의 비신뢰도를 유도한다. 둘째, 매우 얇은 막에 대하여 증착된 도핑된 유전체막 두께를 제어는 전체적인 효율 및 웨이퍼 수율 증가를 위해 바람직하다. 그러나, 종래의 방법은 몇천 옴스트롱(Å) 정도의 두께를 가지는 도핑된 유전체막만을 형성할 수 있다. 또한, 증착된 막의 두께를 제어하기 위하여 벨트 속도에 따라 시스템을 사용하여 가능한 얇게 증착된 막 두께를 유지하는 것은 어렵다. 통상적으로 보다 두꺼운 막이 증착되고, 몇몇 도펀트는 이들이 반도체 재료에 도달하기 이전에 이동 거리가 더 커지기 때문에, 기판속으로 더 이상 확산되지 않는다. 또한, 에칭 또는 다른 기술에 의해 도펀트 확산 소스로서 사용되는 두꺼운 막의 제거는 웨이퍼를 처리하기 위한 전체 시간을 증가시킨다. 효율을 개선하기 위하여 제조기의 압력을 증가시키고, 막을 증착 및 제거하기 위하여 요구되는 시간을 감소시키기 위해 가능한 도핑된 유전체막을 얇게 형성하는 것이 바람직하다. 도펀트 확산 소스로서 사용되는 도핑된 유전체막(웨이퍼의 ±0.2 중량 퍼세튼 도펀트 편차에서 약 500Å 두께 이하)의 두께 및 도펀트 균일성을 쉽게 제어할 수 있는 방법 및 장치가 요구된다.
극도로 얕은 도핑 영역에 대한 도펀트 확산 소스로서 도핑된 유전체막을 사용함으로써 발생되는 또 다른 문제점은 적당량의 도펀트가 기판속으로 확산될 수 있어야 한다는 것이다. 고농도의 도펀트를 갖는 막은 극도로 얕은 접합부를 형성하기 위하여 기판속으로 균일한 확산을 위해 적당량의 도펀트를 제공하는 것이 필요하다. 그러나, 수분 흡수 및 가스 배기는 적당한 도펀트 이용과 관련하여 두가지 문제점을 갖는다. 특히, 고농도 도펀트의 도핑된 유전체막은 웨이퍼가 클린룸에서 대기중의 수분에 노출된 후(예를들어, 다중 단계 처리에서, 도핑된 유전체막의 증착 후 증착 챔버로부터 다음 처리 단계를 위해 다른 처리 챔버로 웨이퍼가 전달될 때) 단시간 수분을 흡수하는 경향이 있다. 흡수된 수분(H2O)은 유전체막내의 도펀트와 반응하여, 막을 결정화시킨다. 막내의 도펀트를 결합시키는 결정 구조로 인해, 이들 도펀트는 다른 챔버에서의 급속 열처리 또는 어닐링에 의해 웨이퍼의 순차적 가열후 조차, 기판속으로의 확산을 위하여 이용될 수 없다. 따라서 수분 흡수는 기판속으로의 확산을 위한 도펀트 양을 감소시킨다. 수분 흡수 문제 이외에, 도핑된 유전체막으로부터 도펀트의 배기가 순차적인 가열 단계에서 발생할 수 있다. 이들 도펀트는 기판으로부터 떨어져 막 밖으로 확산되어, 극도로 얕은 도핑 영역을 형성하기 위하여 기판속으로 확산될 수 있는 도펀트가 보다 적어지게 된다.
비록 적당한 도펀트가 확산을 위하여 이용될 수 있지만, 자연 산화물 문제점은 확산 소스로서 도핑된 유전체막을 사용할 때 고려할 주요한 사항이다. 극도로 얕은 도핑 영역이 형성되는 기판 표면상에 존재하는 자연 산화물은 실리콘속으로의 효과적이고 균일한 도펀트 확산을 방지한다. 그러므로, 도펀트에 대한 확산 장벽으로서 작용하는 자연 산화물을 제거할 필요가 있다. 자연 산화물 제거는 액체 에천트를 사용하는 습식 에칭, 및 인 시튜 플라즈마를 사용하는 건식 에칭과 같은 종래의 기술을 사용하여 행해진다. 그러나, 액체 에천트 사용은 제어가 어려워 기판을 오버에칭할 수 있다. 습식 에칭과 같은 종래 방법에 의해 세척되는 자연 산화물을 갖는 기판은 자연 산화물이 다시 형성되기 시작하기 전에 약 일주일 미만의 저장 수명을 가지며, 자연 산화물이 제거된 후 단시간에 웨이퍼를 처리하는 것이 바람직하다. 인 시튜 플라즈마를 사용하여 자연 산화물을 제거하기 위한 건식 에칭 사용은 기판의 표면에 플라즈마 손상을 유발한다. 표면 플라즈마 손상을 유발하는 것 이외에, 인 시튜 플라즈마 건식 에칭은 상기된 바와 같이 바람직하지 않은 금속 오염을 유발한다. 따라서, 기판 표면을 손상시키지 않고 자연 산화물을 효과적으로 제거하여 극도로 얕은 도핑 영역에 대해 도펀트가 균일하게 확산되도록 하는 것이 중요하다.
균일한 두께 및 금속 오염이 낮은 높은 종횡비 갭 충전 능력을 가지는 조밀하고 수분 함량이 적은 유전체막을 제공하는 것 외에, 집적 회로 소자를 제조하는데 있어 품질 및 전체 효율성 개선 또한 중요하다. 소자를 제조하는데 있어 품질 및 전체 효율성을 개선하기 위한 중요한 방법은 챔버를 효과적이고 경제적으로 세척하는 것이다. 처리 품질 및 전체 효율성을 개선시키기 위해 장치상의 압력을 증가시키고, 웨이퍼의 품질을 손상시키지 않고 다단계 공정에서의 전체 중단 시간을 없애는 것은 시간 및 비용 모두를 절약하는 데 있어 중요하다. CVD 처리 동안, 처리 챔버 안쪽으로 방출되는 반응 가스는 처리되는 기판 표면상에 실리콘 산화물 또는 질화물과 같은 층을 형성한다. 원치않는 산화물 증착은 가스 혼합 박스 및 가스 분배 매니폴드 사이의 영역과 같이 CVD 장치 어느 곳에서나 발생한다. 원치않는 산화물 찌꺼기는 CVD 공정 동안 처리 챔버의 배출 채널 및 벽에 또는 그 주변에 증착될 수 있다. 시간이 지나, CVD 장치로부터의 찌꺼기 세척 결함은 기판의 품질을 저하시키고 신뢰성 없는 처리 및 기판 결함을 야기시킨다. 잦은 세척 과정이 없다면, CVD 장치에서 축적된 잔류물로부터의 불순물은 기판으로 이동할 수 있다. 기판상의 소자에 손상을 유발하는 불순물 문제는 오늘날의 점점더 소형화되는 소자 크기에 있어 중요하다. 따라서, CVD 시스템 유지는 소자 수율 개선 및 보다 나은 제품 성능 뿐만 아니라, 기판 처리의 순조로운 동작을 위하여 중요하다.
주로, N개 웨이퍼의 처리 마다 처리 사이에 주기적인 챔버 세척은 고품질의 소자를 생산하는데 CVD 시스템 성능을 개선하기 위하여 필요하다. 챔버 및/또는 기판의 효과적인, 비 손상 세척을 제공하는 것은 제조되는 소자의 성능 및 품질을 향상시킨다. 상기 챔버 세척의 품질을 개선하는 것 이외에(진공 밀봉을 부수지 않고 수행된다), 예방적인 유지 챔버 세척(여기서, 챔버를 물리적으로 세척하기 위하여 진공 밀봉은 챔버 리드를 개방함으로써 파손됨)이 다수의 주기적인 챔버 세척중에 수행된다. 때때로, 꼭 필요한 예방유지 챔버 세척을 수행하는 것은 챔버 리드 및 리드를 차단하고 있는 다른 챔버 부재를 개방하는 것을 포함하며, 이는 일반 제조 공정에 방해가 되는 시간 소비적인 절차이다.
상기 관점에서, 균일한 두께와 높은 종횡비의 갭 충전력을 가진 조밀하고 수분이 적은 유전체막을 증착시키기 위해 개선된 방법, 시스템 및 장치가 요구된다. 바람직하게, 이러한 개선된 방법 및 장치는 또한 낮은 금속 오염으로 챔버를 세척하도록 한다. 또한 극도로 얕은 접합부를 위한 도펀트 확산 소스로서 도핑된 유전체 막을 형성하기 위한 개선된 방법 및 장치를 제공할 필요가 있다. 이들 방법 및 장치는 실리콘 웨이퍼의 표면에 심각한 손상을 야기시키지 않고 도핑된 유전체층으로부터 효과적이고 균일한 도펀트 확산이 가능하도록 효과적으로 자연 산화물을 제거할 수 있어야 한다. 또한 일부 적용 분야에 있어서, 상이한 형태의 세척에 소요되는 시간을 최소화하기 위하여 단순화된 설계에 의하여 단일 챔버에서 다중 증착 및 세척 능력을 제공하는 것이 요구된다. 따라서, 고품질, 고효율, 고온 증착 및 고효율의 순조로운 세척을 제공할 수 있는 시스템 및 방법이 요구된다. 특히, 이들 시스템 및 방법은 높은 종횡비 특성을 가진 소자를 형성하고 극도로 얕은 도핑영역을 형성하기 위한 공정 요구조건과 호환성있게 설계되어야 한다.
본 발명은 반도체 웨이퍼의 고온(적어도 약 500-800℃) 처리 시스템, 방법 및 장치를 제공하는 것이다. 본 발명의 실시예는 전체 공정 시간을 감소시키고 고품질의 공정을 보장하여 높은 종횡비 피처를 가진 고집적 소자를 제조하기 위하여 동일 챔버내에서 인 시튜로 다단계 공정이 수행되도록 할 수 있는 시스템, 방법 및 장치를 포함한다. 동일 챔버에서 다단계 공정을 수행하는 것은 또한 공정 파라미터에 대한 제어를 강화시키고, 실질적으로 증착된 막내의 수분 함량을 감소시키며, 금속 오염물 또는 공정 잔류 오염물에 의한 소자 손상을 감소시킨다.
특히, 본 발명은 상대적으로 얇은 막 두께를 가진 유전체막을 형성하기 위하여 고온 증착, 가열 및 효율적인 세척을 제공한다. 본 발명의 실시예는 특히 보로포스포실리케이트 글래스(BPSG) 막, 보로실리케이트 글래스(BSG) 막 또는 포스포실리케이트 글래스(PSG) 막과 같은 도핑된 유전체막을 증착하는데 유용하며, 또한 예를 들어 소스/드레인 접합부 또는 얕은 트랜치 절연부에서 채널 스톱 확산부로서 이용되는 극도로 얕은 도핑 영역을 형성하는데 유용하다. 또한, 본 발명의 실시예는 금속전 유전체(PMD)층, 금속간 유전체(IMD)층 또는 다른 유전체층으로서 이용되는 도핑된 유전체막을 증착하기 위하여 이용될 수 있다. 본 발명의 다른 실시예는 얕은 트랜치 절연 충전 산화물, 절연층, 캡핑층 또는 다른 층으로서 이용되는 도핑되지 않은 유전체막을 증착하기 위하여 이용될 수 있다.
본 발명에 따른 챔버내 가열기상의 기판상에 절연층을 증착하는 방법은, 상기 챔버에서 적어도 500℃의 온도로 상기 가열기를 가열하는 단계, 상기 챔버에 10-760 토르 사이의 압력을 가하는 단계, 및 상기 온도로 상기 가열기상의 상기 기판상에 포스포실리케이트 글래스(PSG) 막을 증착하기 위하여 상기 챔버에 실리콘, 산소, 및 인을 도입하는 단계를 포함한다.
본 발명의 따른 방법은 CVD에 의하여 약 10-760 토르 사이의 압력을 가진 진공 챔버내의 기판상에 유전체막을 증착시키는 단계 및 약 500℃ 이상의 높은 온도로 기판을 가열하는 단계를 포함한다. 기판은 평탄화, 또는 증착된 도핑된 유전체층으로부터 도펀트를 드라이브-인시키기 위해 증착된 유전체층의 리플로우를 수행하는 것처럼 여러 가지 목적으로 위하여 가열될 수 있다. 상기 공정은 단일 단계(예를 들어, 500℃ 이상의 온도에서 웨이퍼 상에 막을 증착시키고 리플로우 하는 것) 또는 다단계(예를 들어, 500℃ 미만의 온도에서 웨이퍼상에 막을 증착시키고 다음에 막이 증착된 후에 웨이퍼상의 막을 가열하는 것)로 수행될 수 있다. 각각의 경우에, 고온 처리 공정은 진공 챔버로부터 웨이퍼를 제거하지 않고 달성되며, 이는 유전체막상의 수분 흡수를 감소시킨다는 장점을 가진다. 고온 처리 공정은 또한 갭핑층을 가진 도핑된 유전체막의 인 시튜 증착을 가능하게 하여 바람직하게 도핑막으로부터 도펀트의 유출을 감소시키고 수분 함량을 낮춘다. 특정 실시예에서, 반응 가스는 기판 표면으로 전달되어 기판 표면에서는 열-유도 화학 반응이 발생하여 유전체막을 형성된다. 추가로 또는 선택적으로, 반응 종의 분해를 용이하게 하기 위하여 제어된 플리즈마가 형성될 수 있다.
실시예에서, 유전체막은 극도로 얕은 접합부를 위한 도펀트 확산 소스로서 이용되는 얇은 도핑막이다. 막은 약 500℃ 이상의 온도에서 기판위에 증착되며 보다 더 높은 온도, 일반적으로 600℃ 이상, 바람직하게 약 700℃ 이상의 온도로 가열되어 유전체층으로부터 하부 기판으로 도펀트를 확산시키도록 한다. 동일한 챔버에서 증착 단계와 가열 단계를 수행하는 것은 도핑된 유전체막의 두께, 균일성 및 수분 함량에 대한 양호한 제어를 제공한다. 막의 수분 함량을 개선시키는 것은 막에서 이용가능한 도펀트량을 증가시키며, 이는 특히 고집적 소자에 극도로 얕은 접합부를 형성하는데 바람직하다.
본 발명의 다른 특징은, 진공 챔버의 내벽 및 장치의 부품 위에 원하지 않는 증착물을 에칭하고 처리하기 전에 반도체 웨이퍼로부터 자연 산화물 및 다른 잔류물을 세척하기 위한 원격 플라즈마 시스템을 제공한다는 것이다. 원격 에너지 소스를 이용한 순조로운 세척 기술이 금속 오염도를 낮추기 위하여 종래의 인 시튜 플라즈마 공정 대신 바람직하게 이용될 수 있다. 예를 들어, 원격 플라즈마 시스템은 원격 플라즈마를 제공하며, 바람직하게 플라즈마로부터의 불소 라디컬은 고온에서 챔버로 주입될 수 있으며, 챔버의 순조로운 열 세척을 제공한다. 원격 플라즈마 시스템을 이용할 경우, 화학 반응만이 이용되며, 물리적 스퍼터링 효과 문제는 소거된다. 이에 반하여, 인 시튜 플라즈마 시스템을 이용할 경우, 스퍼터링 효과가 알루미늄 챔버벽에서 이루어져, 처리된 웨이퍼내에 금속 오염물을 발생시킨다. 원격 플라즈마 시스템을 이용한 열 세척 공정에서, 챔버를 향하는 라디컬은 챔버 표면으로부터 원치않는 증착물 및 잔류물을 효과적으로 세척할 수 있으며, 플라즈마는 챔버로부터 멀리 또는 외부에 유지된다. 원격 플라즈마 시스템의 다른 장점은 자연 산화물이 웨이퍼로부터 효과적으로 제거되어 실리콘 웨이퍼의 표면을 크게 손상시키지 않고 도핑된 유전체층으로부터의 효율적이고 균일한 도펀트 확산을 보장할 수 있다는 것이다. 원격 플라즈마 시스템의 또 다른 장점은 시스템이 요구되는 여러 가지 입력 가스를 이용하여 막을 증착하도록 구성될 수 있다는 것이다.
바람직한 실시예에서, 원격 플라즈마 세척 시스템은 처리 챔버에 선택 종(예를 들어, 불소, 염소 또는 다른 라디컬)을 형성하고 전달하도록 구성된 마이크로파 플라즈마 시스템이다. 원격 플라즈마 시스템은 마이크로파 방사선에 의하여 가스를 에너지화시켜 에칭 라디컬을 가진 플라즈마를 생성한다. 특히, 마이크로파는 마그네트론 또는 다른 에너지 소스에 의하여 생성되어 도파관 시스템을 통하여 플라즈마가 발생되는 어플리케이터 튜브에 전달된다. 다음에 반응 가스는 어플리케이터 튜브에 주입되고 마이크로파 에너지에 의하여 에너지화되며, 이는 점화된 플라즈마의 이온화를 지속시켜 처리 챔버속으로 라디컬이 흐르게 한다. 세척을 위하여, 라디컬은 챔버벽에 형성된 잔류물과 상호작용하여 배기 시스템에 의하여 챔버로부터 배기되는 반응가스를 형성하도록 한다. 또한 마이크로파 플라즈마 시스템은 처리 챔버속으로 증착 반응 가스를 전달함으로써 플라즈마 강화 CVD막을 증착시킬 수 있다.
본 발명에 따르면, 원격 플라즈마 시스템는 챔버 세척이 종료될 때를 나타내는 엔드포인트 검출 시스템을 포함한다. 종래의 엔드포인트 검출 시스템을 이용할 경우, 챔버에서 플라즈마의 결핍은 세척이 완료되는 시간(즉, 챔버내의 마지막 공정 가스 잔류물이 세척 에천트와 반응하여 챔버로부터 배출될 수 있을 때)을 정확하게 나타내는 것을 어렵게 한다. 이는 종래의 엔드포인트 검출 시스템에서는 인 시튜 플라즈마로부터의 방출을 체크하기 위하여, 챔버내의 플라즈마 이용에 따라 세척 공정의 종료를 결정하기 때문이다. 본 발명에서, 엔드포인트 검출 어셈블리는 처리 챔버의 가스 출구에 결합되어 SiF4와 같이 배출되는 세척 가스 반응물에 의한 광흡수로 인해 발생되는 광세기 변화를 검출함으로써, 세척 공정의 엔드포인트를 검출하도록 한다.
본 발명에 따르면, 챔버벽 표면으로부터 불소와 같이 임의의 흡수된 세척 가스를 게터링하는 방법이 제공된다. 본 발명에 따르면, 불소를 함유한 제 1 세척 가스가 처리 챔버로 도입되어 처리 챔버의 증착 잔류물을 세척한다. 제 1 세척 가스에 의하여 잔류물이 제거된 후에 제 2 세척 가스가 처리 챔버로 도입된다. 제 2 세척 가스는 제 1세척 가스와 처리 챔버 내부 표면 사이의 반응에 의하여 형성된 세척 잔류물을 제거한다. 챔버로부터의 세척 잔류물 제거 또는 게터링은 많은 장점을 제공한다. 예를 들어, 본 발명의 바람직한 실시예에서, 불소 라디컬은 챔버로부터 펌핑되는 실리콘-불화물 가스 생성물을 형성함으로써 실리콘 산화물과 같은 잔류물을 제거하도록 처리 챔버로 도입된다. 불소를 기초로한 챔버 세척 공정 후에, 처리되는 다음 웨이퍼상의 증착 박막과 반응하거나 또는 결합될 수 있는 챔버벽 표면상의 임의의 흡수된 불소가 게터링된다. 선택적인 실시예에서, 게터링은 마이크로파 발생 원자 산소 및 실리콘 소스를 이용하여 챔버를 충분히 건조시킴으로써 수행되어, 임의의 흡수된 불소를 포획하여 증착된 박막의 오염을 방지하도록 챔버위에 얇은 산화물막을 증착시키도록 한다.
본 발명은 고온 처리를 위한 다양한 내열성 및 공정 호환성 부품을 제공한다. 본 발명의 시스템은 처리 챔버를 수용하는 엔클로저 어셈블리를 갖춘 기상 증착 장치를 포함한다. 상기 장치는 약 500-800℃의 온도로 웨이퍼를 가열하기 위한 페데스탈/히터를 가지는 가열 어셈블리를 포함한다. 바람직하게 페데스탈은 처리 가스와의 반응 및 적어도 약 400℃, 및 바람직하게 약 500-800℃의 온도에서의 처리에 의해 증착을 실질적으로 저항하는 재료를 포함한다. 게다가, 페데스탈은 바람직하게 세척동안 챔버로 도입된 불소 라디컬에 의해 고온(즉, 500-800℃)에서 실질적으로 에칭에 저항하는 재료를 포함한다. 실시예에서, 페데스탈/히터는 알루미늄 산화물 또는 바람직하게 알루미늄 질화물과 같은 세라믹 재료에 내장된 내열성 엘리먼트를 포함한다.
본 발명의 가열 어셈블리는 챔버내에서 페데스탈/히터를 지지하고 필요한 전기적 접속부를 하우징하기 위한 지지 샤프트를 더 포함한다. 바람직하게 지지 샤프트는 샤프트내에 진공 밀봉을 제공하기 위하여 페데스탈/히터에 확산-결합되는 세라믹 재료를 포함한다. 이러한 진공 밀봉은 샤프트 내부의 공동이 고온 처리 과정 동안 대기 온도 및 압력으로 유지되게 하여, 챔버내의 처리 및 세척 가스로부터의 부식으로부터 전극 및 다른 전기적 접속부를 보호한다. 게다가, 샤프트내에 대기 압력을 제공하는 것은 전력 소스로부터 샤프트의 공동 코어를 통하여 전력 리드 또는 샤프트의 외부 벽으로의 아킹을 최소화시킨다.
본 발명의 다른 특징에서, 히터로부터 챔버벽을 절연시키기 위하여 페데스탈/히터 주위에 챔버 라이너가 제공된다. 바람직하게, 챔버 라이너는 고온을 견디고 증착/세척 반응에 저항하는 세라믹과 같은 재료로 구성된 라이너 부분, 및 균열에 저항하는 재료로 구성된 외부 부분을 포함한다. 라이너의 내부 부분은 증착된 막의 균일성에 악영향을 미치는 웨이퍼 에지 냉각 효과를 감소시키기 위하여 챔버 벽을 절연한다. 챔버 라이너의 외부 부분은 내부 부분보다 실질적으로 두꺼워 웨이퍼와 벽 사이의 갭을 교락시키면서, 단일의 비교적 두꺼운 세라믹 라이너가 발생할 수 있는 균열(cracking)을 최소화시킨다. 실시예에서, 라이너의 외부 부분은 라이너에 의해 제공된 절연을 강화시키기 위하여 공기 갭을 포함한다.
본 발명의 또 다른 특징에서, 엔클로저 어셈블리에 리드 어셈블리가 제공된다. 리드 어셈블리는 처리 및 세척 가스를 수용하고 이들 가스를 챔버에 전달하기 위한 하나 이상의 세척 가스 통로 및 하나 이상의 처리 가스 통로에 결합된 가스 혼합 블록(또는 박스)를 포함한다. 가스가 가스 혼합 블록으로 선택적으로 흐르도록 하기 위하여 세척 가스 통로 또는 처리 가스 통로상에는 하나 이상의 밸브가 제공된다. 본 실시예는 처리 및 세척 사이에 빠르고 효과적인 스위칭을 위한 장치를 허용함으로써 본 발명의 인 시튜 세척 방법을 용이하게 하여 시스템의 작업 처리량을 증가시킨다.
실시예에서, 리드 어셈블리는 하나 이상의 가스를 수용하는 가스 입구를 갖는 베이스 플레이트 및 가스를 처리 챔버에 분산시키기 위해 다수의 홀을 포함하는 다수의 가스 분배 플레이트를 포함한다. 리드 어셈블리는 가스 분배 홀보다 유체 흐름에 덜 저항적인 베이스 플레이트에 하나 이상의 바이패스 통로를 포함한다. 예를 들어, 세척 동안 세척 가스의 적어도 일부는 챔버속으로 직접 바이패스 통로를 통과하여 세척 처리 속도를 증가시켜 챔버의 정지 시간을 감소시킨다. 바람직하게 장치는 가스 분배 홀을 통하는 가스 흐름을 제어하기 위하여 바이패스 통로를 부분적으로 또는 완전히 밀폐하기 위한 밸브 및 제어기와 같은 제어 시스템을 포함한다.
본 발명의 장점 및 특징 뿐만 아니라 이들 및 다른 실시예는 다음 아래 및 첨부된 도면과 관련하여 상세히 기술된다.
I. CVD 반응기 시스템
A. CVD 반응기의 개요
본 발명의 실시예는 약 500℃ 이상의 온도에서 유전체막을 증착하는데 사용되는 시스템, 방법 및 장치에 관한 것이다. 특히, 이러한 시스템, 방법 및 장치는 도핑되지 않은 유전체막 및 도핑된 유전체막을 증착시키는데 사용될 수 있다. 이와 같은 막은 극도로 얕은 도핑 영역, 금속전 유전체층, 금속간 유전체층, 캡핑층, 산화물 충전층 또는 다른층을 형성하는데 사용될 수 있다. 도 1A는 특정 실시예에 따른 CVD 장치(10)의 일 실시예에 대한 단면도이다. 유전체층을 증착할 수 있는 것 외에, 본 발명에 따른 장치는 평탄화를 위해 증착된 유전체층의 리플로우를 수행하거나, 또는 극도로 얕은 도핑 영역을 형성할 때 증착되는 도핑 유전체층으로부터 도펀트를 드라이브 인시킬 수 있는 고온 가열 능력을 가진다. 또한, 본 발명에 따른 장치는 다양한 CVD 챔버 부품 및 웨이퍼 표면을 효율적으로 세척할 수 있다. CVD 장치(10)는 단일 진공 챔버(15)에서 인 슈트의 다양한 능력을 제공한다. 따라서, 다중 처리 단계가 챔버로부터 전달된 웨이퍼를 다른 외부 챔버로 이동시키지 않고 단일 챔버에서 수행될 수 있다. 이것은 대기로부터 수분을 흡수하는 기회를 제거함으로써 웨이퍼상의 수분 함량을 감소시킬 수 있으며, 증착된 유전체층에서의 도펀트 보유 능력을 바람직하게 증가시킨다. 또한, 단일 챔버내에서 다중처리 단계 수행은 시간을 절약하며 전체 작업 처리량을 증가시킬 수 있다.
도 1A를 참조하면, CVD 장치(10)는 가스 반응 영역(16)을 갖는 진공 챔버(15)를 고정하는 엔클로저 어셈블리(200)를 포함한다. 가스 분배 플레이트(20)는 플레이트(20)내의 천공된 홀을 통해, 수직으로 이동가능한 히터(25)(웨이퍼 지지 페데스탈 또는 서셉터로 간주됨)상에 배치된 웨이퍼(도시안됨)에 반응 가스를 분산시키기 위해 가스 반응 영역(16)상에 제공된다. CVD 장치(10)는 히터(25)상에서 지지되는 웨이퍼를 가열하기 위한 히터/리프트 어셈블리(30)를 더 포함한다. 또한 히터/리프트 어셈블리(30)는 도 1A에 도시된 바와 같이, 플레이트(20)에 근접 배치되어 있으며 점선(13)으로 표시되어 있는 하부 로딩/오프-로딩 위치 및 상부 처리위치 사이에서 제어가능하게 이동될 수 있다. 중심 보드(도시안됨)는 웨이퍼의 위치에 대한 정보를 제공하기 위해 센서를 포함한다. 이하에 상세히 기술된 것처럼, 히터(25)는 세라믹, 바람직하게 알루미늄 질화물로 밀폐된 내열성 부품을 포함한다. 실시예에 있어서, 진공 챔버(15)에 노출된 히터(25)의 전체 표면은 알루미늄 산화물(Al2O3 또는 알루미나) 또는 알루미늄 질화물과 같은 세라믹 재료로 만들어진다. 히터(25) 및 웨이퍼가 처리 위치(13)에 있을 때, 그들은 장치(10)의 내부벽(17)을 따르는 챔버 라이너(35)와 상기 챔버 라이너(35) 및 챔버(15)의 상부 부분에 의해 형성된 환형 펌핑 채널(40)로 둘러싸여 있다. 이하에 상세히 기술된 것처럼, 챔버 라이너(35) 표면은 바람직하게 알루미나 또는 알루니늄 질화물과 같은 세라믹 재료를 포함하며, 상기 세라믹 재료는 내열성 히터(25)(고온)와 챔버 벽(17)사이의 온도차를 히터(25)에 비해 훨씬 낮은 온도로 낮추기 위해 사용된다.
반응 및 캐리어 가스가 공급 라인(43)을 통해 가스 혼합 박스(또는 가스 혼합 블록)(273)(도 5)에 공급되어, 바람직하게 상기 가스가 혼합되어 플레이트(20)에 전달된다. 바람직하게 가스 혼합 가스(273)는 처리 가스 공급 라인(43) 및 세척 가스 도관(47)에 결합된 이중 입력 혼합 블록이다. 이하에 상세히 기술된 것처럼, 바람직하게 처리기(50)는 상기 두 개의 선택적인 가스 소스중 어느것이 챔버(15)내로 분산되도록 플레이트(20)에 도입되는지를 선택하는 게이트 밸브(280)(도 5)를 제어가능하게 동작시킨다. 도관(47)은 입력 가스를 수용하는 입구(57)를 가지는 일체식 원격 마이크로파 플라즈마 시스템(55)으로부터 가스를 수용한다. 증착 공정 동안, 플레이트(20)에 공급된 가스는 바람직하게 층류로 웨이퍼 표면 양단에 균일하게 방사상으로 분배될 수 있는 웨이퍼 표면(화살표 21로 표시됨)을 향해 배출된다. 정화 가스는 엔클로저 어셈블리(200)의 하부벽을 통해 입구 또는 튜브(도시안됨)로부터 챔버(15)내로 전달될 수 있다. 정화 가스는 히터(25)를 지나 상향 환형 펌핑 채널(40)로 흐른다. 배기 시스템은 진공 펌핑 시스템(도시안됨)에 의해 배기 라인(60)을 통해 환형 펌핑 채널(40)로 가스(화살표 22로 표시됨)를 배기시킨다. 배기 가스 및 잔류물은 트로틀 밸브 시스템(63)에 의해 제어되는 속도로 환형 펌핑 채널(40)로부터 배기 라인(60)을 통해 바람직하게 배출된다.
바람직한 실시예에 있어서, CVD 장치(10)에서 수행되는 화학적 기상 증착 방법은 종종 대기-이하(sub-atmospheric) CVD(SACVD)로 언급되는, 열적 대기-이하 압력 방법이다. 전술한 것처럼, 열적 CVD 방법은 원하는 막을 형성하기 위해 열유도 화학 반응(균질 또는 이질)이 발생하는 기판 표면에 반응 가스를 공급한다. CVD 장치(10)에서는, 이하 기술된 것처럼 약 400-800℃ 정도의 고온에 도달할 수 있는 내열성 히터(25)에 의해 열이 분배된다. 이러한 열 분배는, 챔버(15)내에서 인슈트 다중 단계 처리로 증착, 리플로우 및/또는 드라이브-인, 세척, 및/또는 건조/게터링 단계를 효과적으로 달성하기 위해 웨이퍼 상에 균일하고 신속한 열적 가열을 제공한다. 선택적으로, 제어된 플라즈마가 RF 전원장치(도시안됨)로부터 가스 분배 플레이트(20)에 공급된 RF 에너지에 의해 웨이퍼에 부근에 형성될 수 있다. 부가적으로 하부 RF 전극을 구비한 실시예에 있어서, RF 전원장치는 처리 챔버(15)속으로 도입된 반응종의 분해를 강화시키기 위해서 단일 주파수 RF 전력을 플레이트(20)에 공급하거나 또는 혼합된 주파수를 가진 RF 전력을 플레이트(20) 및 하부 RF전극에 공급할 수 있다. 플라즈마 처리에서, 기상 증착 장치(10)의 일부 부품은 이하에 기술되는 것처럼 RF 에너지를 수용하도록 변형될 수 있다.
CVD 장치(10)에 일체식으로 제공되는 원격 마이크로파 플라즈마 시스템(55)은 벽(17) 뿐만 아니라 다른 부품을 포함하여 챔버(15)의 다양한 부품으로부터 원치않는 증착 잔류물을 주기적으로 세척하기 위해 사용된다. 더욱이, 원격 마이크로파 플라즈마 시스템(55)은 원하는 적용 분야에 따라, 웨이퍼의 표면으로부터 자연 산화물 또는 잔류물을 세척 또는 에칭할 수 있다. 비록 라인(57)을 통해 플라즈마 시스템(55)으로 도입되는 가스는 불소, 염소 및 다른 라다칼을 제공하기 위한 플라즈마 발생용 반응성 세척 가스지만, 원격 마이크로파 플라즈마 시스템(55)은 입력 라인(57)을 통해 시스템(55)으로 증착 반응 가스를 입력함으로써 플라즈마 강화 CVD 막을 증착하는데 사용될 수 있다. 일반적으로, 원격 마이크로파 플라즈마 시스템(55)은 플레이트(20)를 통해 챔버(15)에 가스를 분산시키는 도관(47)을 통해 전달되는 에칭 라디컬을 사용하여 플라즈마를 형성하기 위해 마이크로파 방사에 의해 에너지가 부여되는 가스를 입력 라인(57)을 통해 도입한다. 플라즈마 시스템(55)의 보다 상세한 설명은 이하에 기술된다. 장치(10)의 몇몇 실시예는 인 시튜 플라즈마 능력을 제공하기 위해 무선 주파수(RF) 플라즈마 시스템을 포함할 수 있다.
모터 및 광 센서(도시안됨)는 트로틀 밸브 시스템(63) 및 히터(25)와 같이 이동가능한 기계적 어셈블리의 위치를 이동 및 결정하기 위해 사용된다. 히터/리프트 어셈블리(30), 모터, 게이트 밸브(280), 트로틀 밸브 시스템(63), 원격 마이크로파 플라즈마 시스템(55) 및 다른 시스템 부품은 일부만이 도시된 제어 라인(65)을 통해 처리기(50)에 의해 제어된다.
처리기(50)는 CVD 장치의 모든 동작을 제어한다. 시스템 제어기로써 동작하는 처리기(50)는 처리기(50)에 결합된 메모리(70)에 저장된 컴퓨터 프로그램이 있는 시스템 제어 소프트웨어를 실행시킨다. 바람직하게, 메모리(70)는 하드 디스크 드라이브일 수 있으나, 다른 종류의 메모리일 수도 있다. 하드 디스크 드라이브(예를 들어, 메모리(70))외에, 바람직한 실시예에서 CVD 장치(10)는 플로피 디스크 드라이브 및 카드 랙을 포함한다. 처리기(50)는 타이밍, 가스 혼합, 챔버 압력, 챔버 온도, 마이크로파 전력 레벨, 서셉터 위치 및 특정 공정의 다른 파라미터를 지시하는 명령 세트를 포함하는 시스템 제어 소프트웨어의 제어하에서 동작한다. 예를 들어 디스크 드라이브 또는 다른 적절한 드라이브에 삽입된 다른 컴퓨터 프로그램 부품 또는 플로피 디스크를 포함하는 다른 메모리상에 저장된 것과 같은 다른 컴퓨터 프로그램이 처리기(50)를 동작시키기 위해 사용될 수 있다. 시스템 제어 소프트웨어는 이하에서 상세히 기술된다. 카드 랙은 단일 보드 컴퓨터, 아날로그 및 디지털 입력/출력 보드, 인터페이스 보드 및 스텝퍼 모터 제어 보드를 포함한다. CVD 장치(10)의 다양한 부품은 보드, 카드 케이지 및 커넥터 치수 및 형태를 규정하는 Versa Modular European(VME) 표준을 따른다. VME 표준은 16비트 데이터 버스 및 24비트 어드레스 버스를 가진 버스 구조를 한정한다.
사용자와 처리기(50) 사이의 인터페이스는 다중챔버 시스템에서 챔버중 하나로써 기술되는 CVD 장치(10)와 시스템 모니터의 단순화된 도면인 도 1B에 도시된 CRT 모니터(73a) 및 라이트 펜(73b)을 통한다. CVD 장치(10)는 바람직하게 장치(10)에 대한 전기 배관 작업 및 다른 지지 기능을 포함 및 제공하는 메인프레임 유니트(75)에 부착된다. CVD(10) 장치의 기술된 실시예와 호환가능한 전형적인 메인프레임 유니트는 어플라이드 머티어리얼스 인코포레이티드사에 의해 제조된 Precision 5000tm 및 Centura 5200TM 시스템으로서 상업적으로 이용하고 있다. 다중챔버 시스템은 진공을 파괴하지 않고 다중챔버 시스템 외부에서 웨이퍼를 수분 또는 다른 오염물에 노출시키지 않고 챔버 사이에서 웨이퍼를 전달하는 능력을 가진다. 다중챔버 시스템의 또 다른 장점은 다중챔버 시스템에서의 상이한 챔버가 전체 공정에서 상이한 목적을 위해 사용될 수 있다는 점이다. 예를들어, 하나의 챔버는 산화물을 증착시키기 위해 사용될 수 있으며, 다른 챔버는 급속 열처리를 수행하기 위해 사용될 수 있으며, 또 다른 챔버는 산화물을 세척하기 위해 사용될 수있다. 이 공정은 다중챔버 시스템내에서 중단되지 않고 처리될 수 있어, 공정의 상이한 부품에 대해 다양한 개별적인 각각의 챔버들(다중챔버 시스템에 있지 않는) 사이에서 웨이퍼를 전달하는 경우 발생하는 웨이퍼 오염을 방지할 수 있다.
바람직한 실시예에서는 두 개의 모니터(73a)가 사용되며, 한 개의 모니터는 오퍼레이터를 위한 클린룸 벽내에 장착되며, 다른 모니터는 서비스 기술자를 위해 벽뒤에 장착된다. 두 개의 모니터(73a)는 동일 정보를 동시에 디스플레이 하지만, 단지 하나의 광 펜(73b)만이 사용가능하다. 광 펜(73b)은 펜의 끝에 있는 광 센서를 사용하여 CRT 디스플레이에 의해 방사된 광을 검출한다. 특정 스크린 또는 기능을 선택하기 위해서, 오퍼레이터는 디스플레이 스크린의 지시영역을 터치하여 펜(73b)상의 버튼을 누른다. 터치된 영역은 밝은 색상으로 변화되거나, 새로운 메뉴 또는 스크린은 광 펜과 디스플레이 스크린 사이의 통신을 확인하도록 디스플레이된다. 물론, 사용자가 처리기(50)와 통신할 수 있도록 키보드, 마우스 또는 다른 포인팅 또는 통신 장치와 같은 다른 장치가 광 펜(73b) 대신에 또는 광 펜(73b)에 부가하여 사용될 수 있다.
도 1C는 클린룸내에 위치된 가스 공급 패널(80)과 관련하여 CVD 장치(10)를 도시한다. 전술한 것처럼, CVD 장치(10)는 히터(25)를 가진 챔버(15), 공급 라인(43)으로 부터의 입력 및 도관(47)을 가진 가스 혼합 박스(273), 및 입력 라인(57)을 가진 원격 마이크로파 플라즈마 시스템(55)을 포함한다. 전술한 것처럼, 가스 혼합 박스(273)는 증착 가스(들) 및 세척 가스(들) 또는 다른 가스(들)를 혼합하여 도입관(43)을 통해 처리 챔버(15)에 도입하도록 사용된다. 도 1C에 도시된 것처럼, 원격 마이크로파 플라즈마 시스템(55)은 챔버(15)위에 배치된 가스 혼합 박스(273) 및 게이트 밸브(280)로 챔버(15)의 측면을 따라 연장되는 도관(47)과 함께 챔버(15) 아래에 배치 및 장착된다. 유사하게, 챔버(15)를 따라 가스 혼합 박스(273)에 이르는 가스 공급 라인(43)은 가스 공급 패널(80)로부터 라인(83, 85)을 통해 반응 가스가 공급된다. 가스 공급 패널(80)은 특정 분야에 사용되는 원하는 공정에 따라 변화할 수 있는 가스 또는 액체를 함유하는 가스 또는 액체 가스 공급원(90)에 대한 라인들을 포함한다. 가스 공급 패널(80)은 혼합 시스템(93)을 포함하며, 상기 혼합 시스템(93)은 소스(90)로부터의 증착 처리 및 캐리어 가스(또는 기화된 액체)를 라인(85)과 공급 라인(43)을 경유하여 가스 혼합 박스(273)로 전달하여 혼합한다. 일반적으로, 각각의 처리 가스를 위한 공급라인은 (i) 라인(85, 57)으로 처리 가스의 흐름을 자동으로 또는 수동으로 차단하기 위해 사용될 수 있는 차단 밸브(95), 및 (ii) 공급 라인을 통해 흐르는 가스 또는 액체의 흐름을 측정하는 매스 흐름 제어기(100)를 포함한다. 유독성 가스(예를 들어, 오존 및 세척 가스)가 공정에 사용될 때, 종래 구조에서는 각각의 가스 공급 라인상에 몇 개의 차단 밸브(95)가 배치될 수있다. 예를 들어 테트라에틸오르토실란(TEOS), 헬륨(He), 및 질소(N2), 및 선택적으로 트리에틸포스페이트(TEPO), 트리에틸보레이트(TEB), 및/또는 다른 도펀트 소스를 포함하는 증착 및 캐리어 가스가 가스 혼합 시스템(93)에 공급되는 속도는, 액체 또는 가스 매스 흐름 제어기(MFC)(도시안됨) 및/또는 밸브(도시안됨)에 의해 제어된다. 몇몇의 실시예에 있어서, 가스 혼합 시스템(93)은 TEOS 및 TEPO와 같은 반응성 액체를 기화시키는 액체 도입 시스템을 포함한다. 이들 실시예에 따르면, 인 소스로서 TEPO, 실리콘 소스로서 TEOS, 및 산소(O2) 또는 오존(O3)과 같이 하나 이상의 산소 가스 소스를 포함하는 혼합물은 가스 혼합 시스템(93)으로 형성될 수 있다. 또 다른 실시예에서 TEPO 및 TEOS는 종래의 보일러형 또는 버블형 가열 박스에 의해 기화될 수 있는 모든 액체 소스이다. 액체 도입 시스템은 그것이 가스 혼합 시스템으로 도입되는 반응물 용량의 보다 큰 제어를 제공하기 때문에 바람직하다. 기화된 가스는 가열된 라인(85)으로 전달되기 이전에, 헬륨과 같은 캐리어 가스와 가스 혼합 시스템에서 혼합된다. 물론, 도펀트, 실리콘 및 산소의 다른 소스가 사용될 수도 있다.
부가적으로, 가스 공급 패널(80)은 처리기(50)의 제어하에서, 처리 가스 라인(83)을 따라 가스 공급 라인(43)에 또는 세척 가스 라인(57)을 따라 원격 마이크로파 플라즈마 시스템(55)에 N2 세척 가스를 선택적으로 전달할 수 있는 스위칭 밸브(95)를 포함한다. 처리기(50)에 의해 스위칭 밸브(95)가 입력 라인(57)을 통해 플라즈마 시스템(55)에 N2를 가진 세척 가스를 전달할 때, 챔버(15)로부터 떨어져 있는 플라즈마는 마이크로파 에너지 인가로 인해 형성되며 세척 라디컬이 가스 도관(47)에 전달되도록 형성된다. 또한 처리기(50)는 또 다른 밸브(96)가 라인(83)을 통해 가스 공급 라인(43)에 오존을 전달하고 가스 혼합 시스템(93)으로부터 가열 라인(85)을 통해 가스 공급 라인(43)으로 증착 및 캐리어 가스를 전달하게 할 수 있다. 다른 실시예에 있어서, 밸브(95)는 그의 출력만이 라인(97)과 접속되며 세척 가스 및 N2가 라인(97)을 통해 스위칭 밸브(105)(도시안됨)를 선택적으로 통과하게 한다. 본 실시예에서 입구(57) 및 원격 시스템(55)에 근접한 지점에 위치된, 스위칭 밸브(105)는 원격 마이크로파 시스템(55)의 입구(57) 및 입구(43)에 앞선 라인(83)에 접속된다. 특정 실시예에 있어서, 게이트 밸브(280)는 챔버(15)내로 흐르는 세척 가스 또는 증착 가스를 선택하기 위해, 시스템 소프트웨어 컴퓨터 프로그램으로부터의 명령을 사용하는 처리기(50)에 의해 제어될 수있다.
마이크로파 전력장치(10) 및 오조네이터(115)는 장치(10)의 챔버(15)가 위치하는 클린룸으로부터 멀리 떨어져 배치된다. 전원장치(110)는 원격 플라즈마 시스템(55)의 마그네트론에 전력을 공급한다. 오조네이터(115)는 소스(90)중 적어도 하나의 출력으로서의 사용을 위해 오존(O3)을 제공하는 입력으로서 사용되는 산소(O2)에 전력을 공급한다. 다른 실시예에서, 전원장치(110) 및 오조네이터(115)는 떨어져 배치되어 있지 않고 클린룸내에 배치될 수 있다. 또한, 다수의 오존 소스 및/또는 다수의 원격 마이크로파 플라즈마 시스템(55)을 필요로 하는 다중챔버 시스템에 다중 오조네이터(115) 및 다중 전원장치(110)가 제공될 수 있다.
막 증착, 세척 수행, 및 리플로우 또는 드라이브 인 단계를 수행하는 공정은 처리기(50)에 의해 실행되는 컴퓨터 프로그램을 이용하여 수행될 수 있다. 컴퓨터 프로그램 코드는 예를 들어, 68000 어셈블리 언어, C, C++, 파스칼, 포트란 또는 다른 언어와 같은 임의의 통상적인 컴퓨터 판독가능 프로그래밍 언어로 기록될 수있다. 적절한 프로그램 코드는 종래의 텍스트 편집기를 사용하여 단일 파일 또는 다중 파일로 입력되며 컴퓨터 메모리 시스템과 같은 컴퓨터 사용가능 매체내에 저장될 수있다. 만일 입력된 코드 텍스트가 고급 언어라면, 코드는 컴파일되며, 결과적인 컴파일러 코드는 미리컴파일된 윈도우 라이브러리 루틴의 목적 코드와 링크된다. 링크된 컴파일 목적 코드를 실행하기 위해서, 시스템 사용자는 목적 코드를 호출하여, 컴퓨터 시스템은 CPU가 프로그램에서 식별된 태스크를 수행하도록 코드를 판독 및 실행하는 메모리내에 코드를 로딩할 수 있다.
도 1D는 특정 실시예에 따라, 시스템 제어 소프트웨어, 컴퓨터 프로그램(150)의 계층 제어구조의 블록도이다. 광 펜 인터페이스를 사용하여, 사용자는 CRT 모니터상에 디스플레이되는 메뉴 또는 스크린에 응답하여 공정 선택기 서브루틴(153)으로 공정 세트 수 및 처리 챔버 수를 입력한다. 특정 공정을 수행하는데 필요한 예정된 세트의 공정 파라미터인 고정 세트는 예정된 세트 수에 의해 식별된다. 공정 선택기 루틴(153)은, (i) 원하는 처리 챔버 및 (ii) 원하는 공정을 수행하기 위한 처리 챔버를 동작시키기 위해 요구되는 원하는 세트의 공정 파라미터를 식별한다. 특정 공정을 실행하는 공정 파라미터는 예를 들어, 처리 가스 조성 및 유량비, 온도, 압력, 마그네트론 전력 레벨과 같은(및, RF 플라즈마 시스템에 갖추어지는 고주파수 및 저주파수 RF 전력 레벨 및 저주파수 RF 주파수 또는 이들 외에) 플라즈마 조건, 냉각 가스 압력, 및 챔버벽 온도와 같은 공정 조건에 관련된다. 공정 선택기 서브루틴(153)은 챔버(15)에서 임의의 시간에 공정이 수행되는 형태(증착, 웨이퍼 세척, 챔버 세척, 챔버 게터링, 리플로우)를 제어한다. 임의의 실시예에서는 하나 이상의 공정 선택기 서브루틴이 제공될 수 있다. 공정 파라미터는 방법의 형태에 따라 사용자에게 제공되며 광 펜/CRT 모니터 인터페이스를 사용하여 입력된다.
공정을 모니터링하는 신호는 시스템 제어기의 아날로그 입력 보드 및 디지털 입력 보드에 의해 제공되며, 공정을 제어하는 신호는 CVD 시스템(10)의 아날로그 출력 보드 및 디지털 출력 보드로부터 출력된다.
공정 시퀀서 서브루틴(155)은 공정 선택기 서브루틴(153)으로부터 식별된 처리 챔버 및 공정 파라미터 세트를 허용하고 다양한 처리 챔버의 동작을 제어하는 프로그램 코드를 포함한다. 다수의 사용자가 다수의 공정 세트 수 및 처리 챔버 수를 입력하거나, 또는 단일 사용자는 다수의 공정 세트 수 및 처리 챔버 수를 입력할 수 있다. 따라서, 시퀀서 서브루틴(155)은 선택된 공정을 원하는 시퀀스로 계획하여 동작시킨다. 바람직하게, 시퀀서 서브루틴(155)은 (i) 챔버가 사용되는 지를 검사하기 위해 처리 챔버의 동작을 모니터링하는 단계, (ii) 사용된 챔버에서 어떤 공정이 수행되는가를 결정하는 단계, 및 (iii) 처리 챔버의 유용성과 수행될 공정 형태에 기초하여 원하는 공정을 수행하는 단계를 실행하는 프로그램 코드를 포함한다. 처리 챔버를 모니터링하기 위한 종래 방법으로, 폴링(polling)이 사용될 수 있다. 수행되는 공정을 계획할 때, 시퀀서 서브루틴(155)은 선택된 공정 또는 각각의 특정 사용자 입력 요구의 "에이지(age)"에 대해 원하는 공정 조건과 비교하여 사용되는 처리 챔버의 현재 조건, 또는 시스템 프로그래머가 계획 순위를 결정하기 위해 고려할 수 있는 임의의 다른 요소를 고려하여 설계될 수 있다.
일단 시퀀서 서브루틴(155)이 처리 챔버 및 공정 세트 조합이 다음에 실행되도록 결정하면, 시퀀서 서브루틴(155)은 시퀀서 서브루틴(155)에 의해 결정된 공정 세트에 따라 처리 챔버(15)에서 다중 처리 태스크를 제어하는 챔버 매니저 서브루틴(157a-c)에 특정 공정 세트 파라미터를 전송함으로써 공정 세트의 실행을 초기화한다. 예를 들어, 챔버 매니저 서브루틴(157a)은 처리 챔버(15)에서 CVD 및 세척공정 동작을 제어하는 프로그램 코드를 포함한다. 챔버 매니저 서브루틴(157)은 또한 선택된 공정 세트를 실행하기 위해 필요한 챔버 부품의 동작을 제어하는 여러 챔버 부품 서브루틴의 실행을 제어한다. 챔버 부품 서브루틴의 예로는 기판 위치설정 서브루틴(160), 처리 가스 제어 서브루틴(163), 압력 제어 서브루틴(165), 히터 제어 서브루틴(167), 플라즈마 제어 서브루틴(170), 엔드포인트 검출 제어 서브루틴(159) 및 게터링 제어 서브루틴(169)이 있다. CVD 챔버의 특정 구조에 따르면, 일부 실시예는 전술한 모든 서브루틴을 포함하며 다른 실시예는 단지 몇 개의 서브루틴만을 포함한다. 당업자는 처리 챔버(15)에서 어떠한 공정이 수행되는지에 따라 다른 챔버 제어 서브루틴이 포함될 수 있는지를 용이하게 인식할 수 있다. 동작중에, 챔버 매니저 서브루틴(157a)은 실행되는 특정 공정 세트에 따라 공정 부품 서브루틴을 선택적으로 계획하거나 수반한다. 챔버 매니저 서브루틴(157a)은 처리 챔버(15) 및 공정 세트가 다음에 실행되는 것을 시퀀서 서브루틴(155)이 계획하는 것과 마찬가지로 공정 부품 서브루틴을 계획한다. 전형적으로, 챔버 매니저 서브루틴(157a)은 다양한 챔버 부품을 모니터링하는 단계, 실행될 공정 세트에 대한 공정 파라미터에 기초하여 부품이 동작될 필요성이 있는지를 결정하는 단계 및 모니터링 및 결정 단계에 응답하여 챔버 부품 서브루틴의 실행을 초기화시키는 단계를 포함한다.
특정 챔버 부품 서브루틴의 동작은 도 1D를 참조로 이하 기술한다. 기판 위치설정 서브루틴(160)은 기판을 히터(25)상에 장착하고 선택적으로 기판과 가스 분배 매니폴드(20) 사이의 공간을 제어하기 위해 챔버(15)내에서 원하는 높이로 기판을 들어올리기 위해 사용되는 챔버 부품을 제어하는 프로그램 코드를 포함한다. 기판이 처리 챔버(15)에 장착될 때, 히터(25)는 기판을 수용하도록 하강한 다음 적정 높이로 상승된다. 동작중에, 기판 위치설정 서브루틴(160)은 챔버 매니저 서브루틴(157a)으로부터 전달되는 지지 높이에 관련된 공정 세트 파라미터에 응답하여 히터(25)의 이동을 제어한다.
처리가스 제어 서브루틴(163)은 처리 가스 조성 및 유량비를 제어하기 위한 프로그램 코드를 가진다. 처리 가스 제어 서브루틴(163)은 안전 차단밸브의 개방/폐쇄 위치를 제어하며, 원하는 가스 유동비를 얻기 위해 매스 유동 제어기를 램프 업/다운시킨다. 처리 가스 제어 서브루틴(163)은 모든 챔버 부품 서브루틴과 마찬가지로 챔버 매니저 서브루틴(157a)에 의해 수반되며, 챔버 매니저로부터 원하는 가스 유량비에 관련된 서브루틴 공정 파라미터를 수신한다. 전형적으로, 처리 가스 제어 서브루틴(163)은 가스 공급 라인을 개방시켜서, (i) 필요한 매스 유동 제어기를 판독하며, (ii) 챔버 매니저 서브루틴(157a)으로부터 수신된 원하는 유량비와 상기 판독값을 비교하며, (iii) 필요에 따라 가스 공급 라인의 유량비를 조절하는 단계를 반복적으로 수행함으로써 동작한다. 더욱이, 처리 가스 제어 서브루틴(163)은 불안전한 속도에 대한 가스 유량비를 모니터링하며 불안전한 조건이 검출될 때 안전 차단 밸브를 동작시키는 단계를 포함한다. 처리 가스 제어 서브루틴(163)은 또한 선택되는 원하는 공정(세척 또는 증착 등)에 따라 세척 가스 및 증착가스에 대한 가스 조성 및 유량비를 제어한다. 선택적 실시예는 하나 이상의 처리가스 제어 서브루틴(613)을 가질 수 있으며, 각각의 서브루틴(163)은 특정 공정 형태 또는 특정 세트의 가스 라인을 제어한다.
임의의 처리중에, 질소 또는 아르곤과 같은 불활성 가스는 반응 처리 가스가 도입되기 이전에 챔버내의 압력을 안정화시키기 위해 챔버(15)속으로 흘러간다. 이들 처리에서, 처리 가스 제어 서브루틴(163)은 챔버내의 압력을 안정화시키기 위해 필요한 시간 동안 불활성 가스를 챔버(15)로 흘려보내는 단계를 포함하며 상기 단계가 수행되도록 프로그램된다. 더욱이, 처리 가스가 액체 선구물질, 예를 들어 TEOS로부터 기화될 때, 처리 가스 제어 서브루틴(163)은 버블러 어셈블리에서 액체 선구 물질을 통해 헬륨과 같은 전달 가스를 버블링하는 단계 또는 액체 도입 시스템에 헬륨과 같은 캐리어 가스를 도입시키는 단계를 포함하도록 기록된다. 버블러가 이러한 형태의 처리를 위해 사용될 때, 처리 가스 제어 서브루틴(163)은 원하는 처리 가스 유량비를 얻기 위해 전달 가스의 흐름, 버블러내의 압력 및 버블러 온도를 조절한다. 전술한 것처럼, 원하는 처리 가스 유량비는 공정 파라미터로써 처리가스 제어 서브루틴(163)에 전달된다. 더욱이, 처리가스 제어 서브루틴(163)은 주어진 처리 가스 유량비에 대한 필요 값을 포함하는 저장 테이블을 액세스함으로써, 원하는 처리 가스 유량비에 대한 필요 전달 가스 유량비, 버블러 압력 및 버블러 온도를 얻기 위한 단계를 포함한다. 일단 필요값이 얻어지면, 전달 가스 유량비, 버블러 압력 및 버블러 온도가 모니터링되어, 필요값과 비교된 후 조절된다.
압력 제어 서브루틴(165)은 챔버의 배기 시스템에서 트로틀 밸브의 구멍 크기를 조절함으로써 챔버(15)의 압력을 제어하는 프로그램 코드를 포함한다. 트로틀 밸브의 구멍 크기는 전체 처리 가스 흐름, 처리 챔버의 크기, 및 배기 시스템에 대한 펌핑 설정 포인트 압력에 관련하여 원하는 레벨로 챔버 압력을 제어하도록 설정된다. 압력 제어 서브루틴(165)이 호출될 때, 원하는 또는 타겟 압력 레벨이 챔버 매니저 서브루틴(157a)으로부터 파라미터로서 수신된다. 압력 제어 서브루틴(165)은 챔버에 연결된 하나 이상의 종래의 압력 나노미터를 판독함으로써 챔버(15)내의 압력을 측정하고, 타겟 압력와 측정값(들)을 비교하고, 저장된 압력표로부터 타겟 압력에 상응하는 PID(비례, 적분, 및 미분)값을 얻고, 압력표로부터 얻어진 PID 값에 따라 트로틀 밸브를 조절한다. 선택적으로, 압력 제어 서브루틴(165)은 원하는 레벨로 챔버(15)내의 압력을 조절하기 위하여 특정한 구멍 크기로 트로틀 밸브를 개폐하도록 기록될 수 있다.
히터 제어 서브루틴(167)은 히터(25)(및 그위의 기판)를 저항성으로 가열하는데 사용되는 가열 엘리먼트(473)의 온도를 제어하기 위한 프로그램 코드를 포함한다. 도 1E를 참조하면, 챔버 매니저 서브루틴(157a)에 의해 수반되는 히터 제어 서브루틴(167)은, 입력으로서 원하는 타켓/설정점 온도 파라미터 (Tdes)를 수신한다(단계 580). 단계 582에서, 히터 제어 서브루틴(167)은 히터(25)에 위치된 열전쌍의 전압 출력을 측정함으로써 히터(25)의 현재 온도를 측정한다. 현재 온도를 T(k)라 규정하며, 여기서 k는 히터 제어 서브루틴(167)의 현재 시간 단계이다. 제어기는 4차 다항식을 이용하여 온도를 계산하거나 또는 저장된 전환표에 상응하는 온도를 관찰함으로써 열전쌍 전압으로부터 온도 T(k)를 얻는다. 실시예에서, 단계 (584)에서의 히터 제어 서브루틴(167)은 온도 에러를 계산한다. Errtemp라 규정된 온도 에러는 방정식 Errtemp = Tdes - T(k)에 의해 결정된다.
단계 584에서, 히터 제어 서브루틴(167)은 Errtemp(k)의 절대치를 기초로하여 2개의 제어 알고리즘중 하나를 선택할 수 있다. 온도 에러의 절대치가 예정된 경계 에러보다 작을 경우, 히터 제어 서브루틴은 온도 조절기 알고리즘을 선택할 것이다(단계 586 및 588). 이 알고리즘은 원하는 온도 (Tdes)에서 온도를 정확하게 제어한다. 온도 에러의 절대치가 경계 에러보다 클 경우, 히터 제어 서브루틴(167)은 온도 램프 제어 알고리즘을 선택할 수 있다(단계 590). 이 알고리즘은 히터 온도가 원하는 온도 (Tdes)에 도달하는 속도를 제어한다. 즉, 온도 변화율을 제어한다.
온도 조절기 알고리즘(단계 586 및 588)은 가능한 한 Tdes에 가깝게 현재 온도 T(k)를 유지하기 위하여 히터(25)에 내장된 가열 엘리먼트로 전달된 전력을 갱신하기 위해 피드백 및 피드포워드 제어를 사용한다. 이 알고리즘에서 피드포워드 제어는 챔버에 공급된 RF 전력 및 가스 흐름의 형태와 양이 제공된 원하는 온도를 유지하는데 필요한 전력량을 평가한다. 피드백 제어는 온도 에러 (Errtemp(k))의 다이나믹 동작을 기초로하여 평가된 피드포워드 전력을 조절하기 위하여 표준 비례 적분미분(PID) 제어 용어를 사용한다. PID 제어는 온도 에러의 값과 상관없이 종래의 히터 제어 시스템에 사용되는 알고리즘 형태이다. 이들 루틴이 온도 램프 속도를 제어하도록 탐색되면, 이 루틴들은 시변(Tdes)을 한정하고 이어서 원하는 온도 궤도를 추적하기 위하여 PID 제어기를 사용한다.
본 발명에 있어서, 온도 에러의 절대치가 경계 에러보다 클 때, 히터 제어 서브루틴(167)은 바람직하게는 히터(25)에서 전력을 제어하기 위해 온도 램프 제어 알고리즘을 사용한다(단계 590). 이 알고리즘은 시간 단계(k)에서 온도 변화율인 T'(k)를 제어한다. 온도 변화율은 히터(25)가 세라믹 재료로 만들어지기 때문에 제어되어야 하며, 세라믹 재료는 온도가 너무 빨리 변하면 열 충격으로부터 파손 될 수 있다. 램프 제어 알고리즘은 예정된 원하는 램프 속도 함수 T'des(k)로 T'(k)를 제어하기 위하여 피드포워드 및 비례 피드백 제어를 사용한다. 원하는 램프 속도는 다양한 온도에서 히터(25)의 열충격 저항을 기초로하는 주로 히터의 온도의 함수이다. 그러므로, 원하는 램프 속도는 히터의 현재 측정된 온도를 기초로하여 연속적으로 변하거나, 또는 특정 처리의 온도 변화내의 열 충격을 피하도록 충분히 낮은 최소 속도를 기초로 하여 일정하게 설정될 수도 있다. 제어 알고리즘은 램프 속도에서 발진을 감소시키는 시스템 응답을 댐핑하기 위하여 히터에 공급된 전력의 변화율에 대한 포화 함수를 사용한다.
시간 온도 궤도를 추적함으로써 램프 속도를 조절하고자 하는 제어기는 원하는 온도(Tdes(K))가 앞으로 임의의 시간(K)에서 달성될 수 있는 것만을 보장할 수 있다. 길이(K)의 전체 시간 간격에 걸친 평균 램프 속도는 T'des이다. 그러나, 온도 T'(k)의 임시 변화율은 상기 간격 동안 넓게 변화할 것이다. 장애가 K보다 작은 시간의 간격 동안 안정하게 온도를 유지할 수 있도록 하는 경우를 고려한다. 그 경우, 제어기는 원하는 궤도 Tdes(k)로 가능한 한 빨리 "캐치 업" 하기 위한 시도를 할 것이다. 제어기가 캐치 업하는 동안 시간 간격에 대한 램프 속도는 T'des보다 더 클 것이다. 상기 시나리오는 열 충격 파손을 초래한다. 램프 속도를 직접 제어함으로써, 본 발명은 이러한 잠재적인 시나리오를 방지할 수 있다.
도 1E를 참조로, 예시적인 램프 제어 알고리즘이 도시된다. 적정 온도(Tdes)가 입력된 후(단계 580), 현재 온도 T(k)가 측정되고(단계 582), 온도 에러가 결정되며, 램프 제어 알고리즘은 수치 미분 기술을 이용하여 실제 램프 속도 T'(k)를 계산한다. T(k)의 현재 값을 기초로한 원하는 램프 속도 T'des(T(k)), 및 램프 속도에서의 에러 Errrrate=T'des(T(k))-T'(k)를 계산한다(단계 592 및 594). 실제 램프 속도 T'(k)는 온도 측정 샘플의 범위에 걸쳐 측정된 온도 T(k)로부터 계산된다. 일반적으로, T'des(T(k))는 다양한 실시예에서의 임의의 연속적인 온도 함수이다. 특정 실시예에서 T'des(T(k))는 예정된 정수값으로 설정된다. 계산된 램프 속도 T'(k)는 예정된 샘플 속도(예를 들면, 특정 실시예에서 전력 갱신 주기 1초에 10번)에서 온도를 샘플링(즉, 측정)함으로써 결정된다. 이어서, 10개 샘플의 평균값이 계산되어 이전의 10개 샘플의 평균값과 비교된다. 첫 번째 10개의 측정 온도와 이전 10개의 측정 온도의 평균 간의 차는 평균 측정 온도를 얻기 위하여 전력 갱신 주기로 나뉜다. 다음 평균 측정 온도의 도함수가 계산되어 계산된 램프 속도 T'(k)에 도달한다. 램프 속도 에러 (ErrRRare)는 특정 실시예에서 계산된 램프 속도 T'(k) 및 상수값 T'des(T(k)) 사이의 차를 취함으로써 검출된다(단계594). 상기 실시예는 사용될 수 있는 수치 미분 기술의 실시예이지만, 더 복잡해 질 수 있는 다른 기술이 다른 실시예에 사용될 수 있다. 다른 실시예에서, 다른 샘플 속도가 사용될 수도 있다.
단계(596)를 부연하자면, 특정 실시예에서 사용되는 예시적인 제어 함수는 다음 방정식에 의해 주어진다:
P(k+1) = Pmodel[T(k),T'des(T(k))] + Kp*[T'des(T(k))-T'(k)]
여기서 k는 현재 시간 단계이며 k+1은 다음 시간 단계이다. P(k+1)은 다음 시간 단계에서 히터에 공급되는 전력이다. 원하는 램프 속도 및 측정 온도의 함수인 Pmodel[T(k),T'des(T(k))]은 온도 T(k)에서 T'des(T(k))의 램프 속도를 제공하기 위하여 필요한 전력의 근사치로 모델링된다. Kp는 램프 속도 에러 ErrRRare로 승산되며 사용자 정의된 제어 이득 상수(와트/(℃/초))이다. 특정 실시예에서, Pmodel[T(k),T'des(T(k))]은 P(k)로서 근사될 수 있다. 이런한 근사치는 본 발명의 큰 열량을 갖는 내열성 히터와 같은 슬로우 시스템에 특히 양호하다. 제어 함수는 다음 방정식에 의해 근사된다:
P(k+1) = P(k) + Kp*[T'des(T(k))-T'(k)]
P(k+1) - P(k) = Kp*[T'des(T(k))-T'(k)]
히터의 응답이 늦기 때문에, 전력이 조절되는 시간과 상기 조절이 원하는 결과를 산출하는 경우의 시간 사이에는 지연이 있다. 예를 들면, 히터의 온도가 안정되고 원하는 램프 속도가 포지티브이면, 제어는 히터에 대한 전력을 증가시킬 것이다. 그러나, 온도는 원하는 램프 속도에 따라 즉시 상승하지는 않는다. 다음 시간 단계에서 제어는 전력을 더 증가시킨다. 원하는 램프 속도가 충족될 때까지 전력은 계속 증가할 것이다. 그러나, 이때 공급되는 전력은 원하는 램프 속도를 유지하는데 필요한 것보다 더 크다. 램프 속도는 원하는 값 이상으로의 증가는 계속될 것이다. 이를 소위 오버슈트라 한다. 제어기는 전력을 감소시킴으로써 재가동되고, 램프 속도는 천천히 감소된다. 다시 한 번, 제어기는 히터보다 더 빠르게 동작할 수 있고, 그로인해 전력은 너무 많이 감소되고 램프 속도는 원하는 값 이하로 감소된다. 이것은 소위 발진이다. 시간이 경과하여, 발진의 진폭은 감소하고 램프 속도가 일정한 정상 상태 값에 도달한다. 실제 모든 시스템은 작은 장애를 받기 때문에 작은 정상 상태 에러가 발생된다.
Kp의 값은 오버슈트 및 정상 상태 에러의 크기를 결정한다. Kp가 크면 시스템은 더 발진하지만, 정상 상태 에러는 작아진다. Kp가 작으면 그 반대로 된다. 전형적으로, Kp는 크게 될 수 있는데, 그 이유는 시스템의 응답을 댐핑하는데, 즉 오버슈트 및 발진을 감소시키는데 미분 제어가 사용될 수 있기 때문이다. 정상 상태 에러는 적분 제어를 사용함으로써 감소될 수 있지만, 이것은 오버슈트 및 발진을 증가시키는 경향이 있으며 이 제어 알고리즘에서는 바람직하게 회피된다. 이 경우, 미분 제어는 이용될 수 없다. 온도의 제 2 미분을 수치적으로 계산하는 것이 요구된다. 열전쌍 신호의 신호 대 잡음비가 낮기 때문에, 제 2 미분은 신뢰성 있게 계산될 수 없다. 따라서, 본 발명은 시스템 응답을 댐핑하기 위하여 미분 제어 대신에 정상상태 에러 및 포화함수를 감소시키고자 큰 Kp를 사용한다(단계 598). 포화 함수는 Kp가 램프 속도 에러(ErrRRate)에 반비례하도록 이들(Kp)을 효과적으로 계획한다. 시스템 응답의 전이 부분 동안, 에러가 커서 오버슈트가 발생할 때, 유효 이득은 작다. 정상상태에서, 에러는 작으며, 그로 인해 유효 Kp는 크다.
시스템 응답을 댐핑하는데 사용되는 예시적인 포화 함수는 다음 방정식(단계 598)에 의해 주어진다. 이들 방정식에 대해, P(k+1)는 상기 주어진 제어방정식에 의해 한정된 전력으로 간주된다. P1(k+1)은 히터에 공급된 실제 전력이다. P'max는 하나의 시간 단계에서 다음 단계로 공급된 전력에서 허용가능한 예정된 최대치이다. 예시적인 포화함수는 다음과 같다:
P(k+1)-P(k)| > P'max일 경우,
P(k+1)>P(K)에 대하여, P1(k+1)=P(k)-P'max이고,
P(k+1)<P(k)에 대하여, P1(k+1)=P(k)-P'max이다.
그밖에 P1(k+1)=P(k+1)이다.
새로운 용어 Kpeff(k)는 시간단계 k에서 제어기의 유효 이득으로서 정의될 수 있다. 명백히, P1(k+1)=P1(k+1)이면 시간 k에서 유효 이득은 이득 Kp와 같다. 포화함수가 인가되면, Kpeff(k)는 상기 방정식에서 P1(k+1)를 P(k+1)로 대체하고 이들을 상기 방정식에 조합함으로써 정의된다:
P(k+1)=Pmodel[T(k), T'des(T(k))] + Kp*[T'des(T(k)) - T'(k)]
용어 Kpeff(k)는 다음과 같다:
P'max = Kpeff(k)*ErrRRate(k);
Kpeff(k) = P'max/ErrRRate(k).
램프 에러율이 큰 경우, 유효 이득을 작게 함으로써 응답에서의 오바슈트 및 발진이 상기 포화함수에 의해 최소화된다. 이것은 열악한 램프 속도 제어로부터 히터에 대한 손상을 감소시킨다. 따라서, 히터 제어 서브루틴(167)의 램프 제어 알고리즘은 램프 에러율이 큰 경우, 시스템 응답을 댐핑시켜 보다 효과적인 온도 제어가 이루어진다.
플라즈마 제어 서브루틴(170)은 마그네트론 전력 레벨 및 모드(CW 또는 펄스화)를 세팅하기 위한 프로그램 코드를 포함한다. RF 플라즈마 시스템을 갖는 다른 실시예에서, 플라즈마 제어 서브루틴(170)은 챔버(15)내의 처리 전극에 인가된 저주파수 및 고주파수 RF전력 레벨을 세팅하고, 사용되는 저주파수의 RF 주파수를 세팅하기 위한 프로그램 코드를 포함한다. 물론, 일부 실시예는 마이크로파 전력 레벨에 사용되는 플라즈마 제어 서브루틴(170)과 RF 전력 레벨에 사용된 플라즈마 제어 서브루틴(170)을 가질 수 있다. 이전에 기술된 챔버 부품 서브루틴과 같이, 플라즈마 제어 서브루틴(170)은 챔버 매니저 서브루틴(157a)에 의해 수반된다.
플라즈마 제어 서브루틴(170)은 마그네트론 전력 레벨 및 모드(CW 또는 펄스화)를 설정 및 조절하기 위한 프로그램 코드를 포함한다. RF 플라즈마 시스템을 갖는 선택적 실시예에서, 플라즈마 제어 서브루틴(170)은 챔버(15)내의 처리 전극에 인가된 저주파수 및 고주파수 RF 전력 레벨을 설정하고, 사용되는 저주파수의 RF 주파수를 설정하기 위한 프로그램 코드를 포함한다. 물론, 일부 실시예들은 마이크로파 전력 레벨에 사용되는 플라즈마 제어 서브루틴(170)과 RF 전력 레벨에 사용되는 또 다른 플라즈마 제어 서브루틴(170)을 가질 수 있다. 이전에 기술된 챔버 부품 서브루틴과 같이, 플라즈마 제어 서브루틴(170)은 챔버 매니저 서브루틴(157a)에 의해 호출된다. 게이트 밸브(280)를 갖는 실시예에서, 플라즈마 제어 서브루틴(170)은 마이크로파 전력 레벨의 세팅/조절에 따라 게이트 밸브를 개폐시키는 프로그램 코드를 포함한다. 선택적으로, 시스템 소프트웨어는 게이트 밸브(280)를 가지는 실시예에서 개별 게이트 밸브 제어 서브루틴을 가질 수 있다.
엔드포인트 검출 제어 서브루틴(159)은 광원 및 광 검출기를 제어하고, 흡광도로부터 광 세기 변화를 비교하는데 사용하기 위한 광 검출기로부터의 데이터를 수신하고, 세척 공정의 엔드포인트를 나타내는 플래그를 상승시키거나 또는 예정된 광 세기 레벨을 검출할 때 세척 공정을 선택적으로 정지시킴으로써, 엔드포인트를 관리하는 프로그램 코드를 포함한다. 또한 엔드포인트 검출 제어 서브루틴(159)은 챔버 매니저 서브루틴(157a)에 의해 호출될 수 있다. 엔드포인트 검출 제어 서브루틴(159)은 하기에 기술된 엔드포인트 검출 시스템을 사용하는 실시예들에 포함된다. 엔드포인트 검출 시스템을 갖지 않는 실시예는 엔드포인트 검출 제어 서브루틴(159)을 사용하거나 가질 필요가 없다는 것을 인식할 것이다.
선택적으로, 게터링 제어 서브루틴(169)은 챔버 매니저 서브루틴(157a)에 의해 호출되도록 포함될 수 있다. 게터링 제어 서브루틴(169)은 챔버 건조(seasoning), 포스트(post)-세척 불소 게터링 등에 사용될 수 있는 게터링 공정을 제어하는 프로그램 코드를 포함한다. 일부 실시예에서, 게터링 제어 서브루틴(169)은 사용되는 세척 방법과 조합하여 게터링 제어를 용이하게 하기 위하여 세척 방법이 내장된 특정 소프트웨어를 수반할 수 있다.
상기 CVD 시스템 설명은 주로 전반적인 설명을 목적으로 한 것이며 본 발명의 범위를 제한하고자 하는 것은 아니다. 예시적인 CVD 시스템(10)은 단일 웨이퍼 진공 챔버 시스템이다. 그러나, 다른 CVD 시스템(다중 웨이퍼 챔버 시스템)이 본 발명의 또 다른 실시예에 사용될 수 있다. 그러나, 본 발명의 어떤 특징들이 도시되고 다중 챔버 공정 시스템의 CVD 부분으로서 기술되었지만, 본 발명은 반드시 이러한 방법에 제한되지는 않는다. 즉, 본 발명은 에칭 챔버, 확산 챔버 등과 같은 다양한 처리 챔버에 사용될 수 있다. 설계, 히터 설계, RF 전력 접속부 위치, 소프트웨어 동작 및 구조, 일부 소프트웨어 서브루틴에 사용된 특정 알고리즘, 가스입구 라인 및 밸브의 구성, 및 다른 변형과 같은 상기한 시스템의 변화가 가능하다. 부가적으로, 전자 사이클로트론 공명(ECR) 플라즈마 CVD 장치, 유도결합된 RF 고밀도 플라즈마 CVD 장치 등의 다른 플라즈마 CVD 장치가 사용될 수 있다. 유전체층 및 이러한 층을 형성하기 위한 본 발명의 방법은 어떤 특정 플라즈마 여기 방법으로 반드시 제한되지는 않는다.
도 2 및 도 3에 도시된 바와 같이, CVD 장치(10)는 일반적으로 진공 챔버(15)내에 반도체 웨이퍼를 지지하기 위한 수직으로 이동가능한 히터(웨이퍼 지지대 또는 서셉터)를 갖는 엔클로저 어셈블리(200)를 포함한다. 처리 가스(들)는 웨이퍼상에서 다양한 증착 및 에칭 단계를 실행하기 위하여 챔버(15)로 전달된다. 가스 분배 시스템(205)(도 2 내지 6)은 웨이퍼상에 가스 소스(90)(도 1C)로부터의 처리 가스를 분배하고, 배기 시스템(210)(도 2-4)은 챔버(15)로부터 처리 가스 및 다른 잔류물을 방출한다. CVD 장치(10)는 웨이퍼를 가열하고 챔버(15)내의 처리 위치로 웨이퍼를 상향 리프팅하기 위해 히터(25)를 포함하는 히터/리프트 어셈블리(30)(도 1A, 9-15)를 더 포함한다. 일체식 원격 마이크로파 플라즈마 시스템(55)(도 1A 및 16)이 주기적인 챔버 세척, 웨이퍼 세척, 또는 증착 단계를 위해 CVD 챔버(10)내에 제공된다.
도 2에 도시된 바와 같이, CVD 장치(10)는 고온 처리 과정 동안 상기 부품들을 냉각시키기 위하여 챔버(15)의 다양한 부품에 냉각제를 전달하는 액체 냉각 시스템(215)을 더 포함한다. 액체 냉각 시스템(215)은 고온 공정으로 인해 이들 부품상에서의 바람직하지 못한 증착을 최소화시키기 위하여 상기 챔버 부품의 온도를 감소시키는 작용을 한다. 액체 냉각 시스템(215)은 가스 분배 시스템(205)에 냉각제를 전달하기 위한 냉각제 매니폴드(도시하지 않음)와 히터/리프트 어셈블리(30)를 통하여 냉각수를 공급하는 한 쌍의 물 접속부(217, 219)를 포함한다. 물흐름 검출기(220)는 히터 교환기(도시하지 않음)로부터 엔클로저 어셈블리(200)로의 물 흐름을 검출한다. 장치(10)의 개별 시스템의 바람직한 실시예를 이하 설명한다.
A. 엔클로저 어셈블리
도 2 및 도 6을 참조하면, 엔클로저 어셈블리(200)는 바람직하게 알루미늄 또는 양극처리된 알루미늄과 같이 공정에 호환성있는 재료로 만들어진 일체식 하우징이다. 엔클로저 어셈블리(200)는 도입관(43)을 통해 어셈블리(200)내의 내부 리드 어셈블리(230)로 처리 및 세척 가스를 전달하기 위한 외부 리드 어셈블리(225)를 포함한다. 내부 리드 어셈블리(230)는 히터(25)상에 지지된 웨이퍼(도시하지 않음)상에 챔버(15)를 통하여 가스를 분산시키는 기능을 한다. 도 2에 도시된 바와 같이, 리드 커버(233)는 엔클로저 어셈블리(200) 상부의(즉, 외부 리드 어셈블리(32)) 부품으로 액세스를 제공하며, 시스템 동작동안 오퍼레이터가 고온에 노출되는 것을 차단한다. SACVD 처리에 대하여, 리드 커버(233)는 바람직하게 챔버(16)의 가스 보존을 위한 리드 클램프(237)에 대한 클리어런스를 허용하는 컷아웃(235)을 포함한다. 리드 커버(233)는 일반적으로 챔버가 개방되지 않으면, 예를 들어 예방적인 유지 챔버 세척을 실행하기 위하여 대부분의 공정 단계동안 폐쇄되어 남아 챔버 진공상태가 깨져서 대기 압력 상태로 된다. 리드 힌지(239)는 리드 커버(233)가 떨어지는 것을 방지하기 위하여 록킹 래치트 메카니즘(241)을 포함한다.
도 2에 도시된 바와 같이, 엔클로저 어셈블리(200)는 진공 록 도어(도시하지 않음) 및 슬릿 밸브 개구(243)를 형성하며, 이 슬릿 밸브 개구를 통해 웨이퍼 로딩 어셈블리(도시하지 않음)는 처리 챔버(16)내로 웨이퍼(W)를 전달하여 히터(25)상에 웨이퍼(W)를 장착한다. 바람직하게 웨이퍼 로딩 어셈블리는 다중챔버 처리 시스템의 전달 챔버(도시하지 않음)내에 배치된 종래의 로봇 메카니즘이다. 적합한 로봇 전달 어셈블리는 본 명세서에서 참조되며, Maydan에 의해 양도된 미합중국 특허 제 4,951,601호에 기술되어 있다.
도 3, 4, 7A 및 7B를 참조하면, 챔버(15) 주위의 엔클로저 어셈블리(200)의 내부 벽(245)은 챔버 라이너(250)로 커버되며, 이 챔버 라이너는 엔클로저 어셈블리(200)의 선반(252)상에 위치된다. 챔버 라이너(250)는 처리 가스가 웨이퍼의 후방측으로 흐르는 것을 방지하는 작용을 한다. 이외에도, 히터(25)가 엔클로저 어셈블리(200)보다 작은 직경을 갖기 때문에, 라이너(250)는 챔버(15)의 하부로 히터(25) 아래의 처리 가스의 흐름을 방지한다. 따라서, 히터(25)의 하부 및 챔버(15)의 하부상에서 바람직하지 못한 증착이 최소화된다. 더구나, 라이너(250)는 고온 처리과정 동안 웨이퍼 에지의 냉각을 방지하기 위하여, 히터(25)상에 웨이퍼의 에지 및 엔클로저 어셈블리(200)의 알루미늄 벽 사이에 열 절연을 제공한다. 고온 처리과정 동안, 라이너(250)는 히터(25)상에 있는 웨이퍼의 뜨거운 에지(약 550-600℃)로부터 보다 차가운 주변 챔버벽(약 60℃)으로의 과도한 열 손실을 방지한다. 라이너(250)없이, 웨이퍼 에지에서의 가열 효과는 웨이퍼에 대해 온도 균일성에 역효과를 미치며 비균일한 증착을 초래한다. 라이너(250)는 바람직하게는 고온 처리(예를 들면, 500℃ 이상)에 아주 적합한 처리 호환가능 재료로 형성된 내부부분(253)을 포함한다. 바람직하게는, 라이너(250)의 내부 부분(253)은 알루미늄 질화물, 알루미나 등과 같은 세라믹 재료를 포함하며, 알루미나가 바람직한 재료이다. 내부 부분(253)은 약 0.1 내지 1 인치, 바람직하게는 약 0.2 내지 0.3 인치의 두께를 갖는다.
라이너(250)는 바람직하게는 알루미늄과 같이, 세라믹보다 덜 균열되는 재료를 포함하는 외부 부분(255)을 포함한다. 외부 부분(255)은 엔클로저 어셈블리의 선반(252)상에 놓이며, 라이너(250)의 내부 부분(253)을 지지하기 위한 환형 립(254)을 포함한다. 특히 바람직한 실시예에서, 외부 부분(255)은 도 7A 및 7B에 도시된 바와 같이, 그사이에 내부 에어 갭(259)을 형성하는 다수의 원주 방향으로 이격된 수직 스트루트(257)를 포함한다. 에어 갭(259)은 웨이퍼 온도 균일성을 증가시키기 위하여 외부 챔버 벽으로부터 라이너(250)의 내부 부분(253)의 절연을 용이하게 한다(반면 웨이퍼 외부 에지는 히터 및 웨이퍼 온도에 비해 차가운 주변 챔버 벽 온도로 인해 냉각된다). 이외에도, 에어 갭(259)은 균열이나 두꺼운 라이너(250)로 발생될 수 있는 다른 열 손상을 최소화시키면서 외부 챔버 벽과 히터(25) 사이의 갭을 브리지시킬 수 있도록 라이너(250)에 두께를 제공한다. 라이너(250)의 외부 부분(255)은 약 0.2 내지 1.5인치 두께의 에어 갭(259)을 포함하여 약 0.5 내지 2인치의 두께를 가지며, 바람직하게는 약 0.6 내지 0.9 인치의 두께의 에어 갭(259)을 포함하여 약 0.9 내지 1.1인치의 두께를 갖는다. 바람직하게 환형 커버(261)는 펌핑 채널(40)(아래에 도시)의 하부 벽을 형성하기 위하여 외부 라이너(255)의 상부 표면상에 위치설정된다. 환형 커버(262)는 펌핑 채널(40)내의 열 및 처리 가스로부터 라이너(250)의 알루미늄 외부(255)를 차단하기 위하여, 알루미늄 산화물 또는 알루미늄 질화물과 같은 세라믹 재료를 포함한다.
또 다른 실시예에서(도시하지 않음), 라이너(250)는 펌핑 채널(40) 하부를 형성하는 환형 커버(261) 및 엔클로저 어셈블리의 선반(252)상에 놓여있는 내부 세라믹 부분(255)만을 포함한다. 본 실시예에서, 외부 부분(255)은 엔클로저 어셈블리의 내부벽과 세라믹 부분(255) 사이의 에어 갭(도시하지 않음)으로 대체된다. 에어 갭은 엔클로저 어셈블리의 냉각 벽으로부터 고온 웨이퍼를 절연하며, 챔버 벽과 히터(25) 사이의 갭을 브리지하기 위하여 라이너(250)에 두께를 제공한다.
B. 가스 분배 시스템
도 2 및 6을 참조하면, 외부 리드 어셈블리(225)는 리드 또는 베이스 플레이트(265), 냉각제 매니폴드(도시하지 않음), 도관(47)을 포함하는 세척 가스 매니폴드(270), 도입관(43)을 통해 처리 챔버(15)에 처리 가스(들) 및 세척 가스(들)를 혼합하여 도입하기 위한 가스 혼합 박스(273), 및 가스 혼합 박스(273)에 세척 및/또는 처리 가스를 선택적으로 분배하기 위한 게이트 밸브(280)를 포함한다. 물론, 게이트 밸브(280)는 선택적이며, 외부 리드 어셈블리(225)는 게이트 밸브 없이 박스(273)에 세척 및/또는 처리 가스를 선택적으로 분배하도록 변형될 수 있다. 도 4에 도시된 바와 같이, 가스 혼합 박스(273), 세척 가스 매니폴드(244) 및 게이트 밸브(280)는 바람직하게는 베이스 플레이트(265)의 상부 표면에 예를 들면 볼트로 고정된다. 제 1 및 제 2 가스 통로(83, 85)는 플레이트(265)의 외부에 장착되어 가스 혼합 박스(273)로 연장한다. 가스 통로(83, 85)는 각각 오존, TEOS, TEOP, 헬륨, 질소, 세척 가스 등과 같은 가스 소스(90)(도 1C 참조)에 적당하게 연결된 입구, 및 도입관(43)을 통하여 내부 리드 어셈블리(230)로 가스들을 전달하기에 앞서 가스를 혼합하기 위한 박스(273)내에 혼합 영역(93)과 연통하는 출구(미도시)를 갖는다.
플라즈마 공정을 위하여, CVD 장치(10)는 가스가 차단되지 않고 가스 분배 시스템에서 가스 증착없이 가스 박스에 고압 RF 전력을 인가할 수 있는 가스 통로(83, 85)를 하우징하는 가스 피드-쓰루 박스(미도시)를 더 포함한다. 예시적인 가스 피드-쓰루 박스의 설명은 본 명세서에 참조되며, Wang 에게 양도된 미합중국 특허 제 4,872,947호에 개시되어 있다.
도 6A에 도시된 바와 같이, 세척 가스 매니폴드(70)는 입구(290)로부터 가스(들)를 수용하고, 이들 가스(들)를 유체 통로(293)를 통하여 가스 혼합 박스(273)로 향하게 하기 위한 도관(47)을 포함한다. 게이트 밸브(280)는 가스가 가스 혼합 박스(273)내로 도관(47)을 통과하는 것을 방지하거나 또는 선택적으로 허용하기 위해 통로(293)내에 위치된 밸브 플러그(도시하지 않음)를 포함한다. 게이트 밸브(280)는 핸들(281)을 조작함으로써 수동적으로 동작되거나 처리기(50)에 의해 제어될 수도 있다. 세척 동안(이하 설명), 게이트 밸브(280)는 세척 가스가 플라즈마 시스템(55)으로부터 박스(273)를 통과하는 것을 허용하도록 구성되며, 그들은 웨이퍼나 내부 챔버 벽 및 장치(10)의 다른 부품을 에칭하기 위하여 도입관(43)을 통하여 챔버(15)로 향한다.
도 3에 도시된 바와 같이, 세척 가스 매니폴드(270)는 챔버(15)의 상부로부터 측면을 향하여 적절하게 구부러지거나 휘어 있는 도관(47)과 함께 장치(10)의 엔클로저 어셈블리(200)의 상부 부분에 일체식으로 구성된다. 매니폴드(270)의 도관(47)은 장치(10)의 엔클로저 어셈블리(200)의 측벽내에서 일체로 형성된 통로에 개구부를 가지며, 이는 고온에서 동작하는 히터(25)에 의해 가열된다. 이러한 통로는 어플리케이터 튜브(292)로부터 진입하는 세척 가스 라디컬로 인한 부식 및 에칭으로부터 엔클로저 어셈블리(200)내의 통로 내부 표면을 보호하는 역할을 하는 내부 라이너(291)를 구비한다. 또한 라이너(291)는 세척 가스 라디컬의 재결합을 방지한다. 세척 가스는 입구(57)로부터 어플리케이터 튜브(292)로 도입된다. 라디컬은 플라즈마 시스템(55)의 마그네트론으로부터 방사된 마이크로파 에너지에 의해 어플리케이터 튜브(292)의 세척 가스로부터 생성되며, 엔클로저 어셈블리(200)의 챔버(15)의 하부를 향해 바람직하게 위치된다. 장치(10)의 하부에 있는 시스템(55)의 위치는 예방적인 유지 세척, 수리 등을 챔버(15) 제공하는데 있어 용이하게 한다. 특히, 하부에 장착된 원격 마이크로파 플리즈마 시스템(55)이 장치(10) 리드의 상부에 위치되 않기 때문에, 예방적인 유지 보수 세척을 수행하기 위해 장치(10)의 리드 개방이 용이하게 행해진다. 마이크로파 플라즈마 시스템(55)은 아래에서 더욱 상세히 설명된다. 도 3 및 도 4에 도시된 바와 같이, 도입관(43)은 바람직하게 처리 가스를 챔버(15)에 도입하기 위한 내부 통로(295)와, 세척 가스를 챔버로 향하게 하는 통로(293)와 연통하는 외부, 환형 통로(297)를 포함한다.
베이스 플레이트(265)의 상부 또는 측면에 고정될 수 있는 냉각재 매니폴드는 열 교환기로부터 물 또는 글리콜/물 혼합물과 같은 냉각 유체를 수용한다. 냉각제는 처리과정 동안 내부 리드 어셈블리(230)의 부품 및 플레이트(265)로부터 열을 대류 또는 전도로 제거하기 위해 냉각 매니폴드로부터 베이스 플레이트(265)의 환형 냉각 채널(93)(도 4 및 도 5)을 통하여 배분된다(아래에서 더욱 상세히 설명됨).
도 2 및 도 5에 도시된 바와 같이, 일반적으로 내부 리드 어셈블리(230)는 베이스 플레이트(265), 블로커 또는 처리 및 세척 가스를 챔버(15)속으로 분산시키기 위한 가스 분산 플레이트(310) 및 샤워헤드 또는 가스 분배 플레이트(20)를 포함한다. 플레이트(301, 20)는 바람직하게 고온 공정을 견딜 수 있는 공정에 호환성이 있는 재료로 형성된다. 예를 들어, 플레이트(301, 20)는 알루미늄 산화물 또는 알루미늄 질화물(AlN)과 같은 세라믹 물질, 또는 알루미늄 또는 양극 산화된 알루미늄과 같은 금속을 포함할 수 있다. 바람직하게, 플레이트(301, 20)는 플레이트(301, 20)의 표면상에 가스 증착을 최소화시키기 위해 알루미늄 또는 양극산화된 알루미늄과 같은 금속을 포함한다. 특히, 바람직한 실시예에서, 가스 분산 플레이트(301)는 양극산화된 알루미늄을 포함하고 가스 분배 플레이트(20)는 알루미늄을 포함한다. 가스 분산 및 분배 플레이트(301, 20)는 각각 베이스 플레이트(265)의 하부 표면에 직접 고정된다. 바람직하게, 가스 분산 및 분배 플레이트(301, 20)는 각각 다수의 나사산으로 된 장착 나사(303, 305)로 베이스 플레이트(265)의 하부 표면에 고정된다. 장착 나사(303, 305)는 각각 가스 분배 및 분산 플레이트(20, 301)의 접촉 표면과 베이스 플레이트(265)의 하부 표면 사이에 비교적 타이트한 표면 대 표면 접촉을 제공하여 이들 사이에 전도성 열 교환이 용이하게 한다(이하 상세히 설명됨). 장착 나사(303, 305)는 nickel, HasteloyTM, HaynesTM 등과 같이 공정에 호환가능한 재료로 이루어진다.
도 4 및 도 5를 참조하면, 가스 분배 플레이트(20)는 베이스 플레이트(265)의 하부 표면과 플레이트(20)의 접촉 표면의 맞물림을 제공하기 위해 장착 나사(305)를 수용하는 다수의 홀(315)을 갖춘 외부 플랜지(313)를 갖는 실질적으로 평탄 플레이트(311)이다. 베이스 플레이트(265)는 다수의 가스 배분 홀(315)을 통하여 반도체 웨이퍼로 처리 가스를 균일하게 분산시키기 위해 베이스 플레이트와 가스 분배 플레이트간에 챔버(317)를 형성하고 베이스 플레이트(265)의 하부 표면으로부터 가스 분배 플레이트(20)가 이격되도록 외부 환형 스탠드-오프(316)를 포함한다. 선택적으로, 가스 분배 플레이트(20)는 측벽과 베이스 벽에 의해 형성된 중앙에 배치된 캐비티를 갖는 접시-형태의 소자(도시되지 않음)를 포함할 수 있다.
가스 분배 홀(315)의 사이즈 및 배열은 가공 특성에 따라 변한다. 예를 들어, 홀(315)은 웨이퍼상에 균일한 가스 분배를 위해 균일하게 이격된다. 반면에, 필요에 따라 홀(315)은 비균일하게 이격될 수 있다. 홀(315)은 일반적으로 약 5-100mil 바람직하게는 약 10-50mil 범위의 직경을 갖는다. 바람직하게, 가스 분배 홀(315)은 반도체 웨이퍼상에서의 균일한 증착을 개선시키도록 설계된다. 홀(상기한 바와 같이 매니폴드 온도뿐만 아니라)은 매니폴드 외부(하부) 표면상에서의 증착 형성을 방지하고, 특히, 처리과정 동안 및 처리과정 후에 웨이퍼상에 낙하 및 얇은 조각으로 벗겨질 수 있는 연성 증착물이 상기 표면상에 증착되는 것을 방지하도록 설계된다. 실시예에서, 홀 어레이는 홀(315)에 대해 동심원을 이룬 링중의 하나이다. 인접한 링 사이의 거리(링-링 사이의 간격)는 거의 동일하고, 각 링내의 홀간 간격은 거의 동일하다. 가스 분배 홀에 대한 적합한 장치에 대해서는 본 명세서에서 참조되며 Wang씨에게 공동 양도된 미합중국 특허 제 4,872,947호에 개시되어 있다.
가스 분산 플레이트(301)는 외부 스탠드-오프(316)와 가스 분배 플레이트(20)간에 형성된 챔버(317)내로 가스를 분산시키기 위한 다수의 가스 분산 홀(325)을 포함한다. 바람직하게 베이스 플레이트(265)는 스탠드-오프(318)와 플레이트(301) 사이에 형성된 챔버(320)(도 4를 참조)내로 가스를 분산시키고 베이스 플레이트(265)를 통과하고 분산 플레이트(301)가 베이스 플레이트(265)로부터 이격되도록 제 2 내부 스탠드-오프(318)를 포함한다. 선택적으로, 가스 분산 플레이트(301)는 스탠드-오프(318) 보다 챔버(320)를 형성하기 위해 오목부(도시되지 않음)를 형성할 수 있다. 분산 홀(325)은 일반적으로 약 0.02-0.04mm의 직경을 갖는다. 물론 당업자에게는 분산 플레이트(301)가 본 발명의 바람직한 실시예에 포함될 수 있음이 이해될 것이다. 그러나, 다른 실시예에서 처리 가스는, 가스 분배 플레이트(20)의 챔버(317)속으로 베이스 플레이트(265)로부터 직접 통과될 수 있다.
도 4 및 도 5에 도시된 바와 같이, 베이스 플레이트(265)는 처리 가스(들)를 가스 분산 플레이트(301)에 전달하고 전체 내부 리드 어셈블리(230)를 처리 챔버의 메인 프레임 유닛에 장착하는 기능을 하는 일체식으로 된 단일 부품 부재이다. RF 플라즈마 처리에서, 내부 리드 어셈블리(230)는 챔버 리드를 접지로부터 전기적으로 절연시키며 챔버 몸체를 RF 가스 박스(미도시)로부터 절연시키는 절연체를 포함한다. RF 플라즈마 공정에 사용되는 예시적인 리드 어셈블리는 본 명세서에서 차조되며, Wang씨에게 허여된 미합중국 특허 제 4,872,947호에 설명되어 있다.
도 8에 도시된 바와 같이, 베이스 플레이트(265)는 증착 가스를 배출하기 위해 환형 펌핑 채널(40)을 형성하는 하부 표면(321)을 갖는다(아래에서 상세히 설명됨). 도 4 및 도 5에 도시된 바와 같이, 베이스 플레이트(265)는 가스 혼합 박스(273)로부터 혼합 처리 가스를 수용하기 위해 도입관(43)과 연통되는 중앙 홀(327)을 형성한다. 홀(327)은 또한 플레이트(301)를 가로지르는 가스를 홀(315)로 분산시키기 위해 가스 분산 플레이트(301)의 오목부(311)와 연통한다. 또한 베이스 플레이트(265)는 플레이트(215)의 부분을 통해 냉각 유체가 향하도록 냉각 시스템(215)에 결합된 입구(331) 및 출구(333)를 갖는 냉각 통로(93)를 형성하여, 플레이트(265)의 상기 부분들은 대류식으로 냉각된다. 바람직하게, 냉각 통로(93)는 장착 나사(303, 305)에 비교적 근접해 있는 베이스 플레이트(265) 부분에서 형성된다. 이것은 베이스 플레이트(265)의 하부 표면(326)과 분산 및 분배 플레이트(301, 20)의 접촉 표면을 통하여 전도성 냉각을 촉진시킨다. 냉각 통로(93)를 위한 예시적인 설계에 대한 더욱 상세한 설명은 이미 본 명세서에 참조되며, 공동 양도되고 1996년 4월 16일에 공동 출원된 출원번호 제 08/631,902와 미합중국 특허 제 4,872,947호에 개시되어 있다.
도 5를 참조하면, 베이스 플레이트(265)는 중앙 홀을 둘러싸는 환형 오목부(343) 및 냉각 통로(93) 위에서 베이스 플레이트(265)에 고정된 오목부(343)내에 배치된 환형 캡(345)을 형성한다. 바람직하게, 환형 캡(345)은 통로(93)상에 견고한 밀봉을 제공하기 위해 베이스 플레이트(265)의 상부 표면에 용접됨으로써, 통로(93)로부터의 냉각제 유출을 효과적으로 방지한다. 이러한 구성으로, 통로(93)는 가스 분배 및 분산 플레이트(20, 301)에 비교적 가까이 형성된다. 또한, 통로(93)는 베이스 플레이트(265)의 상부 표면에 홈을 형성함으로써 제조되어 플레이트 제조 비용 및 복잡도를 감소시킨다.
이제 도 6B 및 도 6C를 참조하면, 내부 리드 어셈블리(230')의 선택적 실시예가 설명된다. 이전 실시예와 유사하게, 리드 어셈블리(230')는 베이스 플레이트(265) 및 처리 가스 및 세척 가스를 챔버(15)내로 분산시키기 위한 가스 분산 플레이트(301) 및 가스 분배 플레이트(20)를 포함한다. 부가적으로, 베이스 플레이트(265)는 리드 어셈블리(230')의 다른 부품 및 베이스 플레이트(265)를 냉각시키기 위해 물과 같은 냉각 액체를 수용하기 위한 환형 냉각 채널(500)을 포함한다. 본 실시예에서, 베이스 플레이트(265)는 가스 분산 및 가스 분배 홀(325, 315) 바로 위의 베이스 플레이트(265)의 일부분과 열을 교환하기 위해 가스 분산 플레이트(301)위의 중앙 홀(295) 부근으로 연장되는 추가의 환형 유체 채널(502)을 더 포함한다.
내부 리드 어셈블리(230')는 베이스 플레이트(265) 및 가스 분산 플레이트(301) 사이의 챔버로부터 진공 챔버(15)로 연장되는 다수의 바이패스 통로(510)를 포함한다. 바이패스 통로(510)는 가스 분산 및 가스 분배 홀(325, 315) 보다 유체 흐름에 대한 저항성이 낮다. 따라서, 챔버(15)로 흐르는 가스의 대부분은 바이패스 통로(510)를 통과하여 직접 진공 챔버(15)로 흐른다. 예시적인 실시예에서, 바이패스 통로(510)는 바람직하게 챔버(15)에 균일하게 가스를 전달하기 위해 베이스 플레이트(265) 부근의 원주로 이격된다(도 6E를 참조). 본 실시예의 바람직한 실시를 위해, NF3와 같은 세척 가스는 각각 가스 분산 및 분배 홀(325, 315)을 통하여 챔버(320) 내부를 통과한다. 또한, 세척 가스의 일부는 세척 가스를 챔버(15)에 용이하게 전달하기 위해 바이패스 통로(510)를 통하여 직접 챔버(15)를 통과한다.
본 실시예에서, 기상 증착 장치(10)는 가스가 바이패스 통로(510)를 통하여 통과하는 것을 방지하기 위해(또는 적어도 방해하기 위해) 제어기에 연결된 밸브와 같은 제어 시스템을 포함한다. 예를 들어, 처리과정 동안 처리 가스를 웨이퍼 상에 균일하게 분산시키기 위해 가스 분산 및 배분 홀(325, 315)을 통과하는 것이 바람직하다. 따라서, 밸브는 처리 가스가 바이패스 통로(510)를 통과하는 것을 방지하도록 폐쇄된다. 챔버가 세척되었을 때, 밸브는 세척 가스의 적어도 일부가 챔버에 신속히 전달하도록 개방될 것이다. 이것은 세척 가스의 효율 및 속도를 증가시키며, 장치(10)의 정지 시간을 감소시킨다. 물론, 요구된다면, 처리 가스 역시 바이패스 통로(510)를 통하여 전달될 수도 있다.
C. 배기 시스템
도 2 내지 도 4를 참조하면, CVD 장치(10)의 외부에 배치된 펌프(도시되지 않음)는 환형 펌핑 채널(40)을 통해 챔버(15)로부터 잔존물 및 처리 가스와 정화 가스 모두를 배출하기 위한 진공 압력을 제공하며, 여기서 이들은 방전 도관(60)을 따라 장치(10)로부터 방전된다. 도 8에 도시된 바와 같이, 증착 및 세척 가스는 챔버(15)를 둘러싸는 슬롯-형상 구멍(355)를 통하여 웨이퍼(W) 위에서 방사상 외부 방향(화살표 351로 표시)으로 펌핑 채널(40)속으로 배기된다. 환형 슬롯-형상 구멍(355) 및 챔버(40)은 바람직하게 챔버의 실린더형 측벽(17) 상부(챔버 라이너(250)의 내부 부분(253)을 포함, 도 3 및 4를 참조)와 베이스 플레이트(265) 하부 사이의 갭에 의해 형성된다. 펌핑 채널(40)로부터, 가스는 채널(40) 둘레를 원주형으로 흘러서 하향 연장되는(화살표 357로 표시) 가스 통로(361)를 통과하며 진공 차단 밸브(363)(몸체는 바람직하게 하부 챔버 몸체와 통합됨) 및 외부 진공 펌프(도시되지 않음)에 연결된 방출 도관(60)을 지난다.
선택적으로, CVD 장치(10)는 펌핑 채널(40)과 처리 챔버(15)가 직접 연통하는 다수의 가스 홀을 갖는 개별 펌핑 플레이트(도시되지 않음)를 포함한다. 본 실시예에서, 가스 홀은 홀을 지나는 처리 가스의 균일한 방출을 촉진시키기 위해 챔버의 중앙 개구 부근에서 원주 형상으로 이격된다. 입구 및 출구의 상대 위치를 수용하기 위해, 가스 홀은 중앙 개구에 대해 입구로부터 출구로 방사상 외부 방향으로 연장된다. 홀의 이러한 방사 방향은 처리 챔버(15)로부터 배기된 잔류 및 처리 가스와 정화 가스를 실질적으로 균일하게 방출하는데 기여한다. 이러한 유형의 펌핑 플레이트에 대한 보다 상세한 설명은 공동 양도되고 1996년 2월 26일 공동 출원된 미합중국 특허 출원 제 08/606,880호에 설명되어 있으며 이는 본 명세서에서 이미 참조되었다.
도 2 및 도 3을 참조하면, 밸브 어셈블리(트로틀 밸브 시스템)(369)는 펌핑 채널(40)을 통과하는 가스의 유량비를 제어하기 위해 방출 라인(60)을 따라 배치된 트로틀 밸브(373)와 절연 밸브(371)를 포함한다. 처리 챔버(15)내의 압력은 커패시턴스 압력계(381, 383)(도 2 참조)로 모니터링되고 트로틀 밸브(373)로 도관(60)의 단면영역 흐름을 변화시킴으로써 제어된다. 바람직하게, 처리기(50)는 압력계(381, 383)로부터 챔버 압력을 나타내는 신호를 수신한다. 처리기(50)는 측정된 압력값과 조작자(도시되지 않음)에 의해 입력된 설정값 압력을 비교하여, 챔버(15)에서 원하는 압력을 유지하는데 필요한 트로틀 밸브의 조정을 결정한다. 처리기(50)는 제어기(385)를 통한 조절 신호를 구동 모터(도시되지 않음)에 중계하여 설정 압력값에 대응하는 적절한 설정값으로 트로틀 밸브(373)를 조정한다. 본 발명으로 사용하기 위한 적절한 트로틀 밸브에 대한 더욱 상세한 설명은 공동 양도되고 1996년 6월 28일 공동 출원된 발명의 명칭이 " Improved Apparatus and Method for Controlling Process Chamber Pressure" 인 미합중국 특허 출원 제 08/672,891호에 설명되어 있으며 이는 본 명세서에서 이미 참조되었다.
절연 밸브(371)는 펌프의 펌핑 작용에 의한 챔버 압력 감소를 최소화시키기 위해 진공 펌프로부터 처리 챔버(15)를 절연시키는데 사용된다. 절연 밸브(371)는 트로틀 밸브(373)와 함께 CVD 장치(10)의 유량 흐름 제어기(도시되지 않음)를 교정하기 위해 사용된다. 몇몇 공정에서, 액체 도펀트는 기화되어 캐리어 가스와 함께 처리 챔버(15)로 전달된다. 유량 흐름 제어기는 챔버(15)내의 가스 또는 액체 도펀트의 유량비를 모니터링하는데 사용된다. MFC의 교정 동작 동안, 절연 밸브(371)는 챔버(15)의 압력을 최대화시키기 위해 트로틀 밸브(373)로의 가스 흐름을 억제 또는 제한하며, 이것은 MFC의 교정을 촉진한다.
D. 히터/리프트 어셈블리
도 9 내지 도 15를 참조하여, 히터/리프트 어셈블리(30)가 더욱 상세히 설명된다. 히터/리프트 어셈블리(30)는 웨이퍼를 진공 챔버(15)내의 처리 위치로 들어올리고 처리 과정 동안 웨이퍼를 가열시키는 기능을 한다. 먼저, 히터/리프트 어셈블리(30)는 본 명세서에 참조된 대표적인 SACVD 챔버 이외에 다른 다양한 처리 챔버를 위해 수용되거나 직접 위치될 수 있음을 유의해야 한다. 예를 들어, 히터/리프트 어셈블리(40)는 RF 또는 마이크로파 전력으로 프라즈마를 발생시키는 유사한 CVD 챔버, 금속 CVD(MCVD) 챔버 또는 다른 통상적인 또는 통상적이지 않은 반도체 처리 챔버에 사용될 수 있다.
도 9 및 도 13을 참조하면, 일반적으로 히터/리프트 어셈블리(30)는 상부 및 하부 지지 샤프트(391, 393)에 부착된 히터(25) 또는 내열성 웨이퍼 지지 페데스탈 또는 히터(25) 밑에 있는 지지 샤프트(391, 393)를 둘러싸는 리프트 튜브(395) 및 챔버(15)내의 리프트 튜브(202), 샤프트(200, 201) 및 히터(25)를 수직으로 이동시키는 구동 어셈블리(400)를 포함한다. 아래에서 상세히 설명되는 바와 같이, 히터(25)(및 그 위에서 지지되는 웨이퍼)는, 엔클로저 어셈블리(200)의 슬롯(243) 및 하부 로딩/언로딩 위치와 가스 분배 플레이트(200) 밑의 상부 처리 위치와 정렬되는, 하부 로딩/언로딩 위치 사이에서 제어가능하게 이동할 수 있다. 도 7에 도시된 바와 같이, 히터(25)는 웨이퍼가 처리되는 동안 정확히 위치되도록 환형 상승된 주변 플랜지(405)에 의해 둘러싸인 상부 웨이퍼 지지 표면(403)을 포함한다. 웨이퍼 지지 표면(403)은 증착 온도, 예를 들어 약 200-800℃의 온도 범위에서 웨이퍼(W)의 직경과 거의 동일한 직경을 갖는다. 이러한 직경은 전형적으로 큰 사이즈의 웨이퍼에 대해 약 6-8 인치(약 150-200mm)이고 작은 사이즈를 갖는 웨이퍼에 대해 약 3-5 인치(약 75-130mm)이다. 물론, 약 12 인치(약 300mm)의 직경을 갖는 다른 웨이퍼 사이즈도 챔버, 챔버 라이닝(250) 및 지지체 히터(25)의 적절한 변형에 따라 본 발명의 범주내에 포함된다.
바람직하게 지지체 히터(25)는 비교적 높은 공정 온도 즉, 600-800℃ 또는 그 이상의 온도를 견딜 수 있는 공정에 호환성있는 재료로 이루어진 디스크를 포함한다. 또한 상기 재료는 고온 증착과 관련된 반응성 화학반응으로부터 증착에 거항성이 있을 뿐만 아니라 세척 가스의 라디컬에 의한 에칭에 저항성이 있어야 한다. 히터(25)에 적합한 재료는 알루미늄 질화물, 알루미늄 산화물 등과 같은 세라믹이다. 알루미늄 질화물 세라믹은 높은 열 전도성을 갖기 때문에, 뛰어난 내부식성 및 열 충격에 대한 뛰어난 내성을 가져 히터(15)에 대해 바람직한 재료가 된다. 따라서 특정 바람직한 실시예에서, 히터(25)의 전체 외부 표면은 알루미늄 질화물을 포함한다. 알루미늄 질화물은 챔버(15)에 사용된 불소 및 오존 화학물에 대해 높은 온도 성능 및 높은 저항성을 갖는다. 히터(25)를 위해, 스테인리스 스틸 또는 알루미늄 물질 사용에 비해 알루미늄 질화물의 사용은 처리된 웨이퍼 후면이 덜 금속 오염되어 더욱 신뢰성 있는 소자가 된다. 또한 알루미늄은 궁극적으로 챔버 내부로 또는 웨이퍼상에서 얇게 벗겨지거나 축적되는 알루미늄 불소 화합물로 된 층을 형성하기 위해 세척 가스에 사용되는 불소 함유 화합물과 반응하는 경향이 있어 결과적으로 오염된다(아래에서 더욱 상세히 설명됨). 알루미늄 질화물에 의한 히터(25)의 구성은 세척 동안 이러한 문제 있는 반응을 효과적으로 제거한다.
도 7을 다시 참조하면, 구동 어셈블리(400)는 공압식 실린더 또는 제어가능한 모터 등를 포함하는 다양한 구동 메커니즘을 포함할 수 있다. 바람직하게, 적절한 기어 구동기(407)를 통해 히터에 결합된 스텝퍼 모터(407)는 로딩/언로딩 및 처리 위치 사이에서의 증분이 제어되는 리프트 튜브(395), 샤프트(391, 393) 및 히터(25)를 수직으로 구동시킨다. 또한 구동 어셈블리(400)는 실질적으로 히터(25)의 자유로운 수직 이동을 허용하도록 처리 챔버의 하부와 샤프트(391)의 단부 사이에 고정된 상부 및 하부 벨로우즈(411, 413)를 포함한다. 또한, 벨로우즈(411, 413)는 가스 분배 페이스플레이트(20)와 히터(25)가 처리과정 동안 실질적으로 평행하게 보장되도록 약간의 각을 이룬 이동을 허용한다.
도 4,9 및 13을 참조하면, 리프트 튜브(395)는 상부 샤프트(391)의 하부 부분을 둘러싸며 처리 챔버(15)의 샤프트(391), 히터(25) 및 내부로부터 방사되는 열 에너지로부터 상부 벨로우즈(411)의 절연을 보조한다. 일반적으로 리프트 튜브(395)는 알루미늄 샤프트(418), 알루미늄 샤프트(418)의 상부 표면상에 위치되는 환형 스트라이크 플레이트(420) 및 샤프트(418)에 플레이트(420)를 장착하는 환형 플랜지(422)를 포함한다. 플랜지(422) 및 스트라이크 플레이트(420)는 바람직하게 알루미늄 질화물 또는 알루미늄 산화물(세라믹 자체 또는 알루미나 형태의 Al2O3)과 같이 고온에 견딜 수 있는 재료로 형성된다. 플랜지(422) 및 스트라이크 플레이트(420)는 히터 샤프트(391) 또는 처리 챔버로의 샤프트(418)의 휨 또는 퓨징을 최소화시키기 위해 히터로부터 알루미늄 샤프트(418)를 절연시킨다. 예시적인 실시예에서, 리프트 튜브(395)는 적어도 스트라이크 플레이트(420)의 뒤틀림을 방지 또는 방해하기 위해 플랜지(420)와 스트라이크 플레이트(420) 사이에 장착되는 웨이브 스프링과 같은 스프링(도시되지 않음)을 포함한다.
도 4 및 도 13에 도시된 바와 같이, 적어도 두 개 및 바람직하게는 4개의, 다수의 웨이퍼-지지/리프트 핑거(430)는 히터(25)의 주변부 부근에서 이격된 가이드 스터드(432) 내에 슬라이드방식으로 장착된다. 핑거(430)는 스트라이크 플레이트(420)가 핑거(430)와 맞물려 웨이퍼를 로딩 및 언로딩하도록 히터(25)의 상부 표면위로 이들을 들어올릴 수 있도록 히터(25) 아래로 하향으로 연장된다. 바람직하게 리프트 핑거(430)는 알루미늄 산화물과 같은 세라믹 재료로 형성되며 일반적으로는 이중의 절단된 원추형 헤드(도시되지 않음)를 갖는다. 4개의 리프트 핑거 가이드 스터드(432)는 바람직하게 히터(25) 주위에 비균일하게 분포되지만 대신에 적어도 일측이 로봇 블레이드의 폭 보다 넓은 직사각형을 형성하고, 이는 전형적으로 얇고 평탄한 바(도시되지 않음)로, 그 부근에서는 리프트 핑거(430)가 웨이퍼를 상승시킬 수 있다. 리프트 핑거(430)의 하부 단부는 라운딩된다. 핑거(430)는 처리과정 동안 핑거가 히터에 결합되는 것을 최소화시키기 위해 약 100-200mil, 바람직하게는 약 150mil의 비교적 두꺼운 직경과, 약 1-3 인치, 바람직하게는 2 인치의 비교적 짧은 길이를 갖는다.
사용중에, 히터(25)가 슬릿(243) 맞은편 위치에(또는 실질적으로 슬릿(243) 바로 아래에)있는 경우, 로봇 블레이드(도시되지 않음)는 웨이퍼를 챔버(15)로 전달한다. 웨이퍼는 초기에 리프트 핑거(430)에 의해 지지되어, 스트라이크 플레이트(420)에 의해 히터(25) 위로 상승된다. 리프트 핑거(430)가 히터(25)와 함께 상승됨에 따라, 리프트 핑거(430)는 정지부와 만나게 된다(도시되지 않음). 히터(25)가 가스 분배 페이스플레이트(20)와 마주하는 처리 위치로 연속적으로 상승됨에 따라, 리프트 핑거(430)는 히터(25)내의 가이드 스터드(432)로 하강하고, 웨이퍼는 환형 플랜지(405)내의 웨이퍼 지지 표면(403) 상에서 증착이 이루어진다. 챔버(15)로부터 웨이퍼를 제거하기 위해, 상기 단계들이 역으로 수행된다.
도 9를 다시 참조하면, 내열성 히터 코일 어셈블리(440)는 처리과정 동안 열을 웨이퍼로 전달하기 위해 히터(25) 내부에 하우징된다. 상부 및 하부 지지 샤프트(391, 393)는 히터(25)를 지지하며 중공 코어(445) 내부의 히터 코일 어셈블리(440)에 필요한 전기적 접속부를 수용한다(아래에서 상세히 설명됨). 상부 지지 샤프트(391)는 비교적 높은 처리 온도를 견딜 수 있는 세라믹 재료로 이루어진다. 바람직하게, 샤프트(391)는 확산-본딩 알루미늄 질화물로 제조되고, 알루미늄 질화물이 존재하지 않는 경우 발생할 수 있는 샤프트(391)내의 전극 및 전기적 접속부상의 증착 뿐만 아니라, 챔버(15)에서 사용되는 화학제에 의한 공격을 방지한다. 바람직하게 샤프트(391)는 샤프트(391)의 중공 코어(445)가 대기 온도 및 대기 압력(바람직하게는 대기압력 즉, 760 토르 또는 1 atm)에 있도록 히터(25)와 샤프트(391) 사이에서 타이트한 가스 밀봉을 제공하도록 히터(25)에 확산-본딩된다. 다른 실시예에서, 중공 코어(445)는 약 0.8-1. 2 atm의 압력과 약 10-200℃의 온도에 있을 수 있고, 챔버(15)는 적어도 약 400℃의 온도 및 약 20 mtorr 내지 약 600torr의 압력에 있을 수 있다. 이러한 구성은 챔버(15)내의 처리 가스 및 세척 가스에 의한 부식으로부터 전극 및 다른 전기적 접속부를 보호하는 데 도움을 준다. 또한, 샤프트(391)의 중공 코어(445)를 대기 압력으로 유지하는 것은 중공 코어(445)를 통해 RF 전력원으로부터 전력 리드 또는 알루미늄 샤프트로의 아킹을 최소화시킨다. 따라서 진공에서 발생할 수 있는 아킹이 방지된다.
도 9 및 10을 참조하면, 상부 지지 샤프트(391)는 엔클로저 어셈블리(200)의 하부 표면의 개구(453)를 통하여 연장되며, 샤프트(391)와 챔버(15) 사이에 가스 밀봉을 제공하는 베이스(455)와 결합된다. 상부 지지 샤프트(391)는 하부 지지 샤프트(393)에, 예를 들어 볼트로 고정되고, 알루미늄 또는 알루미늄 합금과 같은 적절한 공정에 호환성있는 적절한 재료를 포함한다. 하부 지지 샤프트(393)는 바람직하게 수냉된 알루미늄 샤프트이다. 그러나, 하부 지지 샤프트(393)는 또한 알루미늄 산화물 또는 알루미늄 질화물과 같은 세라믹 재료를 포함한다. 1개 이상의 밀봉 부재(457), 예를 들어 O-링이 코어(445)와 챔버(15) 사이에 가스 밀봉을 유지하기 위해 샤프트(391, 393) 사이에 위치된다. 도 9에 도시된 바와 같이, 하 부 지지 샤프트(393)는 로딩 및 처리 위치 사이에서 히터(25)와 샤프트(393)를 이동시키기 위해 구동 어셈블리(400)상에서 수직으로 이동가능한 지지체(461)에 장착된다. 샤프트(393)는 샤프트의 고온으로부터 이들 접속부를 더욱 절연시키기 위해 전기적 접속부 부근을 통과하는 내부 냉각 채널(463)을 형성한다. 냉각 채널(463)은 각각 액체 냉각 시스템(215)의 물 접속부(217, 219)에 결합된 입구(464) 및 출구(466)를 갖는다. 냉각 채널(463)은 밀봉 부재(457)를 보호하도록 비교적 높은 온도로 챔버 하부 영역을 유지하는 역할을 한다. 선택적 실시예에서, 히터 어셈블리(30)는 히터(25)를 지지하는 단일 샤프트(도시되지 않음)를 포함하며 엔클로저 어셈블리(200)의 하부 개구부(453)를 통하여 연장된다. 선택적 실시예에서, 밀봉 부재(457)는 사용되지 않는다.
히터 코일 어셈블리(440)는 적어도 20℃/분의 속도로 챔버(15)에서 적어도 약 200-800℃의 온도를 제공하도록 구성된다. 도 11과 도 12를 참조하면, 히터 코일 어셈블리(440)는 세라믹 히터(25)내에 내장된 히터 코일(471)을 포함한다. 바람직하게 세라믹 히터(25) 베이스에 내장된 히터 코일(471)의 루우팅(routing)은 히터(25) 중심 부근에서 하나의 전기적 접촉부(472)에서 시작하여 가장자리를 향해 상기 히터(25)의 한쪽 측면을 따라 제 2 전기적 접촉부(474)로 전후방으로 진행하는 단일 코일(471)을 제공한다. 이러한 루프 패턴은 플레이트의 폭에 대해 일반적으로 균일한 온도를 유지하지만 열 손실을 허용하는 가열을 제공한다. 바람직하게, 히터 코일(471)은 히터(25)의 웨이퍼 지지 표면(403)에 대해 400℃에서 적어도 약 +/-2℃ 및 600℃에서 적어도 약 +/-8℃의 균일한 온도 분포를 제공할 것이다. 예시적인 실시예에서, 히터 코일(471)은 히터 샤프트(391)로부터 열 변화를 감소시키기 위하여 히터(25) 중심부 근처에서 보다 높은 전력 밀도를 가질 것이다.
도 14에 도시된 바와 같이, 바람직하게 히터 코일 어셈블리(440)는 샤프트(341)를 통해 적절한 전기적 에너지 소스로 연장되는 다수의 도체 리드 와이어(475)에 접속된 매립 RF 메시 그라운드 평면 전극(473)을 포함한다. 메시 그라운드 평면 전극(473)은 플라즈마 처리가 사용되는 실시예에서 그라운드 경로와 플라즈마 저항을 제공하는 몰리브덴 메시 전극이다. 리드 와이어(475)는 바람직하게 상당히 높은 처리 온도에 견딜 수 있는 도전 재료, 이를테면 니켈, 구리 등을 포함한다. 예시적인 구성에서, 리드 와이어(475)는 세라믹과 금속 사이의 납땜을 방지하기 위해 전극(473)속으로 공도-소결되는 금속 삽입물(477)에 의해 전극(473)에 결합된 각각의 니켈 와이어들이다. 바람직하게 삽입물(477)은 알루미늄 질화물, 이를테면 몰리브덴에 비교적 근사하게 부합하는 열 팽창을 갖는 재료를 포함한다. 도시된 바와 같이, 몰리브덴 삽입물(477)은 각각 몰리브덴 플러그(481)에 납땜되어 고정되고, 리드 와이어(475)에 납땜된다. 주로 저항성 또는 주로 전도성중 하나인 히터 코일의 모든 와이어들은 알루미늄 질화물 히터 몸체의 주조(casting)를 견딜 수 있도록 고온에 내성이 있는 연속적인 절연 코팅(이미 개시된 바와 같은)으로 싸여진다.
도 9, 15A와 15B를 참조하면, 히터/리프트 어셈블리(30)는 가열 코일(471)의 온도를 결정하기 위한 적어도 하나의 열전쌍(491)을 포함한다. 열전쌍(491)은 웨이퍼 하부로부터 약 0.25 인치의 거리에서 히터(25)의 하부면과 접촉하여 삽입되고 유지되는 센서(495)(도 9)를 가지는 긴 튜브(493)를 포함한다. 이를 위해, 히터(25)는 엘리먼트(473)에 열전쌍(491)의 센서(495)를 접속하기 위해 가열 엘리먼트(473)에 납땜되는 열전쌍 가이드(501)(도 15A)를 포함한다. 열전쌍(491)은 압축 스프링(503)(도 15B)으로부터의 약한 스프링 힘에 의해 그위치에 고정되고, 온도 제어기(도시 안됨)를 위한 제어 신호를 제공한다. 센서(495)는 바람직하게 대기 압력에 있는 웰(505)내에 배치되고, 더욱 정확한 판독을 제공하기 위해 상기 가열 엘리먼트(473)와 열전쌍(491) 사이의 열전달을 강화시킨다. 온도 제어기는 균일한 온도 프로파일을 유지하기 위해 히터의 응답 특성을 발생시키고 변경하는 처리 단계를 예상하는 처리-구동 비례 적분 미분(recipe-driven proportional integral differential) 제어기이다. 하부 지지 샤프트(393)에 대한 진공 시일과 접지 접속부는 샤프트(393)(도시 안됨)의 측면을 따라 구성되며 히터 와이어 단부(511)에 대한 접속부와 열전쌍 튜브 단부(513)는 대기 조건에서 형성된다.
본 발명이 사용되는 경우, 히터(25)가 슬릿(243) 맞은편 위치(또는 실제로는 슬릿(243) 바로 아래)에 있을 때, 로봇 블레이드(도시안됨)는 웨이퍼를 챔버(15)로 전달한다. 히터(25)와 웨이퍼는 웨이퍼가 히터(25)(도 4, 9 및 10)의 환형 플랜지(405)내의 웨이퍼 지지 표면(430)상에 배치되도록 히터(25)내에서 가이드 스터드(432)로 하강하는 구동 어셈블리(400)와 리프트 핑거(430)에 의해 처리 위치로 상승된다. 처리 가스, 이를테면 TEOS, O3은 가스 통로(83, 85)를 통과하게 되고, 가스 혼합 박스(273)(도 3과 6 참조)의 혼합 영역(93)에서 서로 혼합된다. 다음 혼합된 가스는 도입관(43)의 내부 통로(295)와 가스 분배 플레이트(301)상에 있는 챔버(320)속으로 베이스 플레이트(265)의 중심 홀(327)을 통해 운반되는데, 여기에서 혼합된 가스는 외부로 분산되어 홀(325)을 통해 가스 분배 플레이트(20)(도 4와 5 참조)상에 있는 챔버(317)속으로 흐른다. 바람직하게, 가스는 반도체 웨이퍼(도시안됨)상의 가스 분배 홀(315)을 통해 균일하게 분포된다.
전형적으로 히터(25)상의 웨이퍼 온도는 처리 가스가 웨이퍼 표면에서 서로 반응하여 그 위에 층을 증착하도록, 가열 코일 어셈블리(440)에 의해 최소 증착 온도 이상으로 유지된다. 특히, 전류는 특정 실시예에 따라 약 200-800℃의 온도로 웨이퍼가 가열하도록 도체 와이어(457)를 통해 저항성 코일(473)로 향하게 된다. 바람직한 실시예에서, 온도는 챔버의 현재 온도를 기초로 램프 속도(ramp rate)를 유지하는 피드백 제어 시스템(히터 제어 서브루틴(167)에 대해 이미 개시된)에 의해 제어된다. 이러한 공정 동안, 내부 리드 어셈블리(230)는 그것을 통과하는 가스, 가열된 반도체 웨이퍼를 포함하는 다양한 소스 및 웨이퍼 가열 소스로부터 열을 수용한다. 최소 증착 온도 이하로 리드 어셈블리(230)의 부품을 유지하여 이들 부품상에서의 가스 반응 및 증착을 방지하기 위하여, 냉각 액체가 베이스 플레이트(265)와 가스 분배 및 분산 플레이트(20, 301)로부터 열을 제거하도록 냉각 채널(93)속으로 도입된다.
증착 과정 동안, 진공 펌프는 펌핑 채널(40)내에 진공 압력이 발생하도록 작동되어 채널(40)과 배기 포트(361)(도 4와 8)를 통해 처리 챔버(15)로부터의 처리 가스와 플라즈마 잔류물을 배출시킨다. 부가적으로, 정화 가스는 일반적으로 서셉터(25)와 라이너(250)의 내부 부분(253) 사이의 갭을 통해 처리 챔버(15)내의 위쪽으로 향하게 될 수 있다. 정화 가스는 장치(10)의 하부 부분으로의 처리 가스의 누설을 최소화시켜 포트(361)를 통한 처리 가스의 제거를 용이하게 한다.
E. 통합된 원격 마이크로파 플라즈마 시스템
도 16은 본 발명의 실시예에 따라 웨이퍼 및/또는 처리 챔버를 세척하기 위한 원격 마이크로파 플라즈마 시스템(55)의 개략도이다. 마이크로파 플라즈마 시스템(55)은 챔버(15)내의 웨이퍼 및/또는 챔버(15)의 부품을 효과적으로 에칭 또는 세척하는데 사용하고 가능한 증착에도 사용하기 위해 처리 챔버(15)로부터 원격 플라즈마를 발생시킨다. 마이크로파 플라즈마 시스템(55)은 어플리케이터 튜브(292); 플라즈마 점화 시스템(아래에 개시된); 마이크로파 도파관 시스템(아래에 개시된); 자동 임피던스 정합을 위한 피드백을 요구하는 실시예에 대해 선택적 위상 검출기(703), 및 부하(707)를 갖는 순환 장치(705)를 포함하는 임피던스 정합 시스템(701)을 포함하는 최적화 엘리먼트; 및 마그네트론(711)을 포함한다.
마그네트론(711)은 연속파(CW : continuous wave) 또는 약 2.45 기가헤르츠(㎓) 주파수의 마이크로파의 펄스 출력에 대해 약 500-2500 와트 사이에서 동작할 수 있는 전형적인 마그네트론 소스이다. 마그네트론(711)은 마그네트론(711)으로부터 멀리 배치될 수 있는 전원장치(110)(도 1c에 도시된)에 의해 전력이 인가된다. 물론, 다른 마그네트론이 사용될 수 있다. 마그네트론(711)으로부터의 마이크로파는 마이크로파 도파관 시스템으로 전송되고, 접속부(719)에서 서로 접속될 수 있는 다양한 길이의 직선 및 구부러진 도파관 섹션(715, 717)을 포함한다. 도파관 시스템내에 산재된 최적화 엘리먼트는 낮은 손실, 최소화된 반사 손실로 최대 마이크로파 전송을 제공하고, 반사된 전력으로 인한 손상으로부터 마그네트론을 보호하는 역할을 한다. 이하의 설명은 어플리케이터 튜브(292)를 향하는 마그네트론(711)으로부터 마이크로파의 요구된 방향에 따른 것이다.
특정 실시예에서, 도 16에 도시된 바와 같이 마이크로파 플라즈마 시스템(55)은 부하(707)를 갖는 순환 장치(705)에 접속된 마그네트론(711)을 가진다. 순환장치(705)는 어플리케이터 튜브(292)를 향한 마그네트론(711)으로부터 순방향 마이크로파 전송만을 허용한다. 부하(707)는 마그네트론(711)을 향하는 도파관 시스템으로부터 재반사될 수 있는 임의의 전력을 흡수한다. 따라서 순환장치(705) 및 부하(707)는 마이크로파가 순방향으로 향하게 하여 재반사된 전력으로부터 마그네트론(711)이 손상되는 것을 보호한다. 순환 장치(705)는 또 다른 도파관 섹션(715)에 접속된 위상 검출기(703)에 접속되는 도파관 섹션(715)에 접속된다. 사용된다면, 위상 검출기(703)는 부착된 동조 또는 정합 시스템(701)을 가지는 또 다른 도파관 섹션(715)에 곡선 도파관 섹션(717)을 통해 결합된다. 스터브 튜너 또는 다른 튜닝 엘리먼트를 사용할 수 있는 튜닝 시스템(701)은 도파관 섹션(721)에서 도파관의 특성 임피던스인 50Ω와 부하가 정합되는 능력을 갖는 플라즈마 마이크로파 시스템(55)을 제공한다. 특정 실시예에 따라 튜닝 시스템(701)은 고정 튜닝, 수동 튜닝, 또는 자동 튜닝을 제공할 수 있다. 자동 튜닝을 사용하는 실시예에 대해, 위상 검출기(703)는 정합 시스템(701)에 대한 피드백을 위해 전송된 마이크로파 위상을 검출하는 3-다이오드 어레이로, 부하가 적절히 다이내믹하게 정합된다. 특정 실시예에서, 도파관 섹션은 직사각형 단면을 가지지만, 다른 형태의 도파관이 사용될 수 있다.
도 16에서 알 수 있는 바와 같이, 최적화된 도파관 시스템을 통해 진행하는 마이크로파는 출력 도파관 섹션(721)으로부터 어플리케이터 튜브(292)로 전송되고, 여기에서 플라즈마가 발생된다. 어플리케이터 튜브(292)은 도파관 시스템 및 다른 최적화 엘리먼트를 통해 마그네트론(711)으로부터 마이크로파에 의해 에너지화된 반응 가스를 수용하는 입력 공급 라인(57)을 가진다. 어플리케이터 튜브(292)는 특정 실시예에 따라 플라즈마내의 라디컬에 의한 에칭에 저항할 수 있는 합성 재료 또는 세라믹 재료, 바람직하게 알루미늄, 또는 다른 재료로 만들어진 원형(또는 다른 단면) 튜브이다. 특정 실시예에서, 어플리케이터 튜브(292)는 약 18-24 인치의 길이 및 약 3-4인치의 단면 직경을 가진다. 어플리케이터 튜브(292)는 마이크로파를 전송하기 위해 하나의 단부는 개방되고 금속 벽을 갖는 또 다른 단부는 종결되는 도파관 섹션(721)을 통과하여 배치된다. 도파관은 가스가 어플리케이터 튜브(292) 내부에서 반응하도록 도파관 섹션(721)의 개방 단부를 통해 전송할 수 있고, 이는 마이크로파에 투명하다. 물론, 이를 테면 사파이어와 같은 다른 재료가 어플리케이터 튜브(292)의 내부를 위해 사용될 수도 있다. 또 다른 실시예에서, 어플리케이터 튜브(292)는 합성 재료 또는 세라믹 재료로 만들어진 금속 외부와 내부를 가질 수 있고 도파관 섹션(721)내의 마이크로파는 반응 가스를 에너지화하기 위해 어플리케이터 튜브(292)의 외부를 통해 튜브(292)의 노출된 내부까지의 윈도우에 진입한다.
특정 실시예에서, 플라즈마는 도파관 섹션(721)의 금속벽에 선택적으로 장착될 수 있는 자외선(UV) 램프(731)와 UV 전원장치(733)를 포함하는 플라즈마 점화 시스템에 의해 점화될 수 있다. 물론, UV 전원정치(733)는 금속 벽 옆에 다양한 위치에 장착될 수 있다. UV 전원장치(733)에 의해 전력이 인가되는 UV 램프(731)는 어플리케이터 튜브(292)내에서 플라즈마의 초기 이온화를 제공한다. 다음 마이크로파 에너지는 게이트 밸브(280)를 통해 챔버(15)에 이르는 입구(290)에 진입하는 라디컬 흐름을 생성하도록 점화된 플라즈마의 이온화를 유지한다. 튜브(292)내에서 반응 가스의 도입 및 이온화로부터 어플리케이터 튜브(292)내의 부하 변화로 인해, 정합 시스템(701)의 사용은 효율성을 위해 마이크로파 에너지 결합을 최적화시킨다. 바람직한 실시예에서, 정합 시스템(701)은 자동화된 동조를 위한 처리기(50) 또는 제어기 유니트의 제어하에 있는 적어도 하나의 스터브 튜너를 포함한다. 이미 언급된 바와 같이, 다른 일반적 동조 엘리먼트가 정합 시스템(701)에 사용될 수도 있다.
이미 개시된 바와 같이, 도 3에 도시된 것처럼 어플리케이터 튜브(292)는 어플리케이터 튜브(292)가 엔클로저 어셈블리(200)의 입구(290)내로 플라즈마 라디컬을 방출하도록 챔버(15)의 바디 하부에 장착되고 접속된다. 라디컬은 입구(290)를 통해 바람직하게 폴리테트라플루오로에틸렌(PTFE)로 형성된 라이너(291)를 갖춘 엔클로저 어셈블리(200)의 통로내로 도입된다. 예를 들어, TefronTM PTFE와 같이 상업적으로 이용가능한 PTFE는 입구(290)에서 반응성 화학 작용 입력으로부터의 에칭 또는 증착에 저항성이 있다. 라이너(291)는 세척 처리동안 통로내의 불소 라디컬 재결합을 방지한다. PTFE 이외에, 라이너(291)는 이를 테면 PFA(폴리테트라플루오르에틸렌 수지의 카본-플루오르 백본을 과플루오르알콕시 측면 체인과 조합하는 폴리머인), 불소화된 에틸렌-폴리필렌(TFE) 등과 같이 불소화된 폴리머를 포함하는 임의의 불소화된 재료로 형성될 수 있다. 통로는 바람직하게 입구(290)와 어플리케이터 튜브(292)의 단면에 정합하는 원형 단면 또는 다른 형태의 단면이다. 엔클로저 어셈블리(200)내의 이러한 라이닝된 통로로부터, 플라즈마 라디컬은 세척 가스 매니폴드(270)를 통해 게이트 밸브(280)로 흐른다. 또한 세척 가스 매니폴드(270)는 PTFE로 구성된다. PTFE는 PTFE가 불소 라디컬에 의한 에칭에 저항하기 때문에 불소 라디컬이 플라즈마에서 생성되는 세척 분야에 바람직하다. 물론, 세척 가스 매니폴드(270)와 라이너(291)는 둘다 사용된 반응 가스에 따르는 특정한 화학 반응에 저항하는 다른 재료(이를 테면, 라이너(291)에 대해 이미 언급된 것들)로 형성될 수 있다.
일부 실시예에서, 게이트 밸브(280)는 이미 개시된 바와 같이 증착 공정과 세척 공정을 분리한다. 일반적으로 게이트 밸브(280)는 챔버(15)가 증착, 리플로우, 또는 드라이브-인 단계에 사용되는 동안 폐쇄되어 있다. 폐쇄 위치에서, 게이트 밸브(280)는 세척 공정을 위해 사용되는 도관(47)내의 미립자가 증착 처리동안 웨이퍼를 오염시키지 못하게 할 뿐만 아니라 증착과정 동안 도관(47)의 "데드(dead)" 체적을 감소시킨다. 약 200-760 토르 사이의 압력에서 증착이 게이트 밸브(280) 개방으로 발생한다면, 증착은 어플리케이터 튜브(292)에서 초래될 수 있고, 세척 처리의 오염을 초래한다. 바람직하게 게이트 밸브(280)는 도관(47)으로부터 반응성 화학작용으로 인한 손상 또는 폐쇄된 밸브(280)상의 증착을 최소화시키기 위해 PTFE(또는 라이너(291) 및 매니폴드(270)에 대해 이미 개시된 것들과 유사한 재료)로 형성된다. 바람직한 실시예에서, 게이트 밸브(280)는 미립자 등급 게이트 밸브이다. 게이트 밸브(280)를 사용하는 실시예에서, 챔버(15)는 웨이퍼 세척 단계를 위해 사용되거나 챔버 세척이 수행될 때만 게이트 밸브(280)가 개방되어, 도 3에 도시된 바와 같이 플라즈마 라디컬이 가스 혼합 박스(273)의 유체 통로(293)내로 흐르도록 한다. 앞서 언급된 바와 같이, 소정 실시예에서 게이트 밸브(280)는 전혀 사용되지 않는다. 다음 플라즈마 라디컬은 환형 통로(295) 및 가스 분배 플레이트(20)를 통해 챔버(15)속으로 흐를 수 있다. 따라서 분배 플레이트(20)와 챔버(15)의 다양한 부품이 세척된다. 잔류물 및 사용되는 세척 가스는 이미 개시된 상기 배기 시스템을 사용하여 챔버(15)로부터 배기되다. 챔버(15)의 세척 처리와 웨이퍼 표면의 세척은 아래에서 상세히 개시된다.
F. 엔드포인트 검출 시스템
도 17A-17D는 본 발명의 다른 특징에 따른 마이크로파 플라즈마 시스템(55)용 세척 엔드포인트 검출 시스템(800)을 도시한다. 이미 개시된 바와 같이, 장치(10)는 바람직하게 금속 오염을 낮추기 위해 종래의 인-시튜 플라즈마 처리 대신에 원격 마이크로파 기술을 사용하는 열 세척 기술을 사용한다. 본 발명에서, 원격 마이크로파 플라즈마 시스템(55)을 사용하는 온건한 세척 기술은 물리적 스퍼터링 효과가 챔버 벽내의 알루미늄과 반응하여 처리된 웨이퍼의 알루미늄 금속 오염을 초래하는 인-시튜 플라즈마 처리를 사용하는 것과 대조적으로 화학적 반응만을 사용한다.
원격 플라즈마 시스템(55)을 사용하는 세척 공정에서, 플라즈마는 챔버(15)로부터 원격적으로 생성되며, 바람직하게 거의 불소 라디컬로 이루어진 에천트 가스가 온건한 열적 세척이 일어나는 챔버내로 향하게 되지만, 플라즈마는 챔버 외부에 잔류한다(예를 들면, 어플리케이터 튜브(292)내에, 도 6참조). 이런 방법이 챔버(15)내의 웨이퍼 및/또는 챔버(15)의 부품(이미 개시된)을 세척하는데 있어 다수의 장점(상기 설명됨)을 가지더라도, 챔버내에서 플라즈마 결핍은 일반적 엔드포인트 검출 시스템을 사용하여 세척이 완료되는 시간, 예를 들면 챔버내의 최종 처리 가스 잔류물이 챔버로부터 방출될 수 있도록 세척 에천트와 반응할 때를 정확하게 지적하는 것을 어렵게 할 수 있다. 전형적으로 종래의 엔드포인트 검출 시스템은 챔버내의 플라즈마 사용에 따라 세척 처리의 종결을 결정하기 위해 인-시튜 플라즈마로부터 방출을 체크한다.
그러나, 본 발명의 엔드포인트 검출 시스템은 인-시튜 플라즈마 또는 이를테면 마이크로파 플라즈마 시스템(55)에 의해 제공된 바와 같은 원격 플라즈마와 사용될 수 있다. 예를 들면, 예시적인 공정에서, 불소를 기초한 가스는 진공 펌프를 사용하여 챔버(15)의 외부로 배출되는 SiF4 가스를 형성하기 위해 챔버내의 SiO2 파우더 잔류물과 반응하는데 사용된다. 순차적으로 챔버(15)내의 거의 모든 SiO2 가스가 소모되는 경우, 불소를 기초로한 가스는 SiF4 가스를 형성하도록 SiO2과 반응할 수 없다. 대신에, 불소를 기초로한 가스는 챔버(15)를 오염시키거나 또는 예를 들어 알루미늄 불소 화합물을 형성하기 위해 챔버의 알루미늄 벽과 반응하기 시작한다. 결국, 게이트 밸브(280)는 추가의 불소 라디컬이 챔버(15)에 진입하는 것을 방지하도록 폐쇄될 수 있도록 최종 SiO2 잔류물이 불소 가스와 반응하는데 근사한 엔드포인트 또는 점을 결정하는 것이 중요하다. 이하 보다 상세히 개시되는 바와 같이, 본 발명의 엔드포인트 검출 시스템(800)은 SiF4와 같이 배출된 세척 가스 반응물에 의한 광 흡수에 따라 발생하는 광세기의 변화를 검출함으로써 세척 처리의 엔드포인트를 결정한다.
도 17A에 도시된 바와 같이, 세척 엔드포인트 검출 시스템(800)은 절연 밸브(371)와 트로틀 밸브(373) 사이의 방전 도관(60)을 따라 배치된 가스 검출기(802)를 포함한다. 물론, 가스 검출기(802)는 장치(10)의 배기 시스템내의 다른 위치에 배치될 수 있다. 예를 들면, 검출기(802)는 도 17B에 도시된 바와 같이 트로틀 밸브(373)의 다운스트림에 배치될 수 있다. 또 다른 실시예에서, 검출기(802)는 도 17C에 도시된 바와 같이 도관(60)으로부터 가스의 간단한 스트림을 수용하는 바이패스 라인(804)을 따라 배치될 수 있다. 본 실시예에서, 바이패스 라인(804)은 예를 들어 챔버내의 웨이퍼 가스 처리 동안 라인(804)을 통과하는 흐름의 양을 변화시키거나 바이패스 라인(804)을 따르는 가스 흐름을 완전히 중단하기 위한 제어 밸브(806)를 포함할 수 있다.
도 17D를 참조하여, 가스 검출기(802)의 바람직한 실시예를 이하 개시한다. 도시된 바와 같이, 검출기(802)는 챔버(15)로부터의 가스와 다른 잔류물이 그것을 통과할 수 있도록 하기 위해 도관(60)과 연통하는 관통홀(806)을 한정하는 하우징(804)을 포함한다. 바람직하게 한 쌍의 플랜지(808, 810)는 하우징(804)을 도관(60)에 부착시킨다. 하우징(804)의 측벽은 원적외선 광이 통과하도록 구성되는 한쌍의 적외선(IR) 윈도우(812, 813)를 포함한다. 원적외선은 약 10㎛에서 시작하는 파장을 가진다. IR 윈도우(812, 813)는 길이(L)만큼 이격되며 바람직하게는 광이 전혀 또는 아주 약간 윈도우(812, 813)에 의해 흡수되도록, 원적외선 광에 대체로 투명한 재료를 포함한다. 부가적으로, IR 윈도우(812, 813) 재료는 처리 및 세척 가스 화학 작용과 관련하여 공정에 대해 호환성이 있고, 불활성이어야 하고, 재료는 막을 오염시키지 않아야 한다. 세척 공정 동안 불소 라디컬이 사용되는 실시예에서, 윈도우(812, 813)는 불소에 저항한다. IR 윈도우(812, 813)를 위한 바람직한 재료는 게르마늄, 불화 칼슘 등을 포함한다.
도 17D에 개략적으로 도시된 바와 같이, 검출기(802)는 원적외선 광을 발생시키고 상기 광이 윈도우(812, 813)를 통해 전송되도록 하우징(804)에 적절히 결합되는 원적외선 램프(814)를 더 포함하여 광은 관통홀(806)을 통과한다. IR 검출기(816)는 윈도우(813)를 통과하는 원적외선을 수신하고 검출하는 위치에서 하우징(804)에 결합된다. 바람직하게, 원적외선 램프(814)는 광학 노치 필터를 갖는 텅스텐 램프 소스일 수 있다.
본 발명이 사용될 때, 세척 가스 반응물(예를 들면, SiF4)은 도관(60)과 검출기(802)의 관통홀(806)을 따른다. 원적외선 램프(814)는 윈도우(812), 관통홀(806) 및 윈도우(813)를 통해 원적외선 광을 전송하며 원적외선 광은 검출기(816)에 의해 수신된다. 광이 세척 가스 (SiF4)반응물을 통과함에 따라, 이들 반응물(예를 들면, 실리콘)은 원적외선 광의 일부를 흡수하여 검출기(816)에 의해 수신된 광세기를 감소시킨다. 불소는 원적외선 광을 흡수하지 않는다. 따라서, 검출된 원적외선 광 세기가 기준값까지 증가할 때, 검출기(816)는 도관(60)을 통과하는 SiF4의 농도가 실질적으로 감소되거나 완전히 정지되었다는 것을 표시하는 신호를 제어기(도시안됨)에 보내고, 이 신호는 세척 엔드포인트에 도달했다는 것을 나타낸다. 이때, 제어기는 게이트 밸브(280)를 폐쇄하기 위하여 추가의 에천트 가스가 챔버에 진입하지 못하도록 하는 적당한 신호를 처리기(50)에 보낸다. 바람직한 세척 공정에서, 엔드포인트 검출 시스템(880)은 약 10㎛의 파장을 갖는 광을 흡수하는 세척가스 에천트(SiF4) 및 약 5~6㎛의 파장을 갖는 광을 흡수하는 불소에 의해 흡수될 수 있는 원적외선 파장을 제공하는 소스(814), 및 이런 원적외선 파장을 검출하는 검출기(816)를 사용한다. 다른 실시예에서, 소스(814)와 검출기(816)는 세척 가스 처리에 사용된 특별한 세척 가스 반응물의 광흡수 특성에 따라 상이한 파장에서 광을 제공할 수 있다.
예로서, I0은 SiF4가 도관(60)을 통해 흐르지 않고 검출기(816)가 램프(814)로부터 충분한 세기를 수신하지 못하는 경우 원적외선 광의 세기이다. 세척 동안 SiF4가 관통홀(806)을 통해 흐름에 따라, 원적외선은 흡수되고 검출기(816)에 의해 수신된 세기(I)는 감소되며, 다음 식에 의해 주어진다.
I/I 0 = EXP(- X *L*C)
여기에서 X는 IR 윈도우(812, 813) 또는 필터(도시 안됨)의 흡광 계수이고, L은 윈도우(812, 813) 사이의 길이이며(도 17D 참조), C는 검출기(802)를 통과하는 SiF4의 농도이다. I/I0가 값 1에 도달함에 따라, SiF4 농도가 감소하고, 이는 세척 엔드포인트에 도달하고 있다는 것을 의미한다. 제어기는 이런 값이 세척 엔드포인트에 도달되었다는 것을 표시하는 1에 도달할 때까지 연속적으로 I/I0을 모니터링한다.
상기 설명은 다중 챔버 처리 시스템을 위한 CVD 챔버에 관련하였지만, 본 발명의 특정한 구성을 수행하는데 있어 다른 플라즈마 에칭 챔버, 물리적 증착 챔버등을 사용할 수 있다. 따라서, 상기 설명과 예시는 첨부된 청구항에 의해 한정된 바와 같이 본 발명의 범위를 제한하는 것으로서 고려되어서는 안된다. 본 발명은 상기 설명되고 도면에 도시된 단일 챔버로 제한되지 않는다는 것을 주목해야 한다. 예를 들면, 본 발명의 트로틀 밸브는 다수의 웨이퍼를 동시에 처리하는 배치(batch) 챔버내에 설치될 수 있다. 부가적으로, 본 발명은 각각의 웨이퍼상에서의 순차적인 개별 처리 단계를 수행하는 다중 웨이퍼 챔버에 사용하기 적당할 것이다.
Ⅱ. CVD 반응기 시스템을 사용하는 고온 다단계 공정
A. 구조 및 적용분야의 예
도 18은 본 발명에 따른 집적 회로(900)의 개략적 단면도를 도시한다. 도시된 바와 같이, 집적 회로(900)는 실리콘의 국부적 산화(LOCOS), 또는 다른 기술에 의해 형성된 필드 산화물 영역(920)에 의해 서로 전기적으로 분리되고 절연되는 NMOS와 PMOS 트랜지스터(903, 906)를 포함한다. 선택적으로, 트랜지스터(903, 906)는 트랜지스터(903, 906) 둘다 NMOS 또는 둘다 PMOS가 될 때 얕은 트렌치 절연물(도시 안됨)에 의해 서로 분리되고 전기적으로 절연될 수 있다. 각각의 트랜지스터(903, 906)는 소스 영역(912), 드레인 영역(915) 및 게이트 영역(918)을 포함한다.
금속전 유전체(PMD)층(921)은 금속층(940)과 접촉부(924)에 의해 형성된 트랜지스터 사이의 접속으로 금속층(940)으로부터 트랜지스터(903, 906)를 분리한다. 금속층(940)은 집적 회로(900)내에 포함되는 4개의 금속층(940, 942, 944, 946) 중 하나이다. 각각의 금속층(940, 942, 944, 946)은 각각의 금속간 유전체층(927, 928, 929)에 의해 인접한 금속층으로부터 분리된다. 인접한 금속층은 비아(926)에 의해 선택된 개구에 접속된다. 금속층(946)상에 증착된 것은 평탄화된 패시베이션 층(930)이다. CVD 장치(10)는 예를 들어 PMD층(921), IMD층(927, 928 및 929), 또는 패시베이션층(930)으로서 사용되는 막을 증착하는데 사용될 수 있다. 또한 CVD 장치(10)는 LOCOS 필드 산화물 영역(920)의 위치에 사용된 얕은 트렌치 절연 구조를 위한 산화물 충전층을 증착하는데 사용될 수 있다.
상기 개시된 CVD 장치(10)를 사용하는 다른 예는 도 18의 예시적 집적 회로(900)에 도시된 극도로 얕은 소스와 드레인 영역(912, 915)을 형성하는 것이다. MOS 트랜지스터를 위한 소스/드레인 영역 형성에서 극도로 얕은 도핑 접합부를 형성하기 위한 방법의 응용은 예로서 도 19A-19E를 참조한다.
도 19A는 부분적으로 완성된 MOS 트랜지스터의 개략적 단면도이다. 단순히 예로서, MOS 트랜지스터(1000)는 PMOS 트랜지스터이다. 물론, NMOS 트랜지스터가 형성될 수 있다. PMOS 트랜지스터(1000)에 대해, 사용되는 도핑된 유전체층(1800)은 P형 도펀트 소스로서 BSG 막이 될 수 있다. 도 19A에 도시된 바와 같이, 게이트 산화물(1003) 위에 놓이는 게이트 전극(1002)은 재료(1004) 위에 미리 형성되어 있다. 본 예에서, 재료(1004)는 N형 기판 또는 기판에 형성된 N 웰이 될 수 있다. 또한 필드 산화물 영역(1006)은 실리콘의 국부적 산화 영역(LOCOS)과 같은 방법에 의해 형성된다. 극도로 얕은 도핑 접합이 요구되는 영역은 마스크를 사용하여 형성될 수 있다. 본 예에서, 상기 영역은 소스/드레인 영역(1010, 1012)이지만, 물론 저농도로 도핑된 드레인(LDD) 영역을 형성할 수 있다. 아래에 상세히 개시되는 처리 방안은 이미 개시된 CVD 반응기 시스템을 사용하여, 도핑된 유전체층(1008)은 내열성 히터(25)상에 장착되는 웨이퍼상의 소스/드레인 영역(1010, 1012)에 형성된다.
소스/드레인 영역(1010, 1012)상에 도핑된 유전체층(1008)을 형성하기 이전에, 소스/드레인 영역(1010, 1012)의 표면은 앞서 개시된 원격 마이크로파 플라즈마 시스템(55)으로부터 NF3와 같은 반응 가스에 의해 형성된 플라즈마를 사용하거나 또는 열적 NF3 증기를 사용함으로써 존재할 수 있는 임의의 게이트 산화물 또는 자연 산화물을 세척할 수 있다. 세척 절차 동안, 게이트 밸브(280)는 NF3 플라즈마로부터의 불소 라디컬이 소스/드레인 영역(1010, 1012)의 표면상에 존재할 수 있는 산화물을 세척하도록 챔버(15)에 진입할 수 있게 개방될 것이다. 이들 산화물의 세척은 소스/드레인 영역(1010, 1012) 상에 형성되는 도핑된 유전체층(1008)으로부터 도펀트가 보다 일정하게 드라이브-인되게 한다. 원격 플라즈마로부터의 불소 라디컬은 챔버(15)내의 웨이퍼로부터 자연 산화물을 세척하는데 사용될 수 있다. 세척 과정에서, 히터(25)는 불소 라디컬이 웨이퍼 상의 소자를 손상시키지 않고 상기 산화물을 최적으로 세척할 수 있는 위치로 조절될 수 있다. 바람직하게, 이런 자연 산화물의 제거/세척 단계와 도핑된 유전체층(1008)의 증착은 동일 챔버에서 인-시튜 방식으로 수행된다. 이미 개시된 CVD 장치(10)의 사용은 챔버(15)의 진공이 파괴되지 않고 웨이퍼가 대기에 노출되지 않기 때문에 웨이퍼에 의한 수분 흡수를 방지한다. 선택적으로, 인슈트로 NF3 증기를 열적으로 진압함으로써 원치 않는 산화물을 웨이퍼로부터 세척될 수 있다. 이런 대안으로, 불소 라디컬은 분당 약 200-1500 표준 입방 센티미터(sccm) 사이, 바람직하게 약 500 sccm로 NF3, 및 선택적으로 챔버(15)내로 약 0-1000 sccm의 O2를 도입함으로써 챔버(15)에서 인-시튜로 생성된다. 동시에, 챔버(15)는 약 500-650℃ 사이, 바람직하게 600℃로 가열되고, 약 60-760 torr, 바람직하게 400 torr의 압력으로 유지되는 반면, 히터(25)는 플레이트(20)로부터 약 150-900 mil 사이, 바람직하게 약 600 mil 만큼 일정 간격 배치된다. 그러므로 소스/드레인 영역(1010, 1012)의 표면은 임의의 자연 산화물 장벽을 세척할 수 있다.
세척 단계 이후에, 일부 실시예에서 게이트 밸브(280)는 도핑된 유전체층 증착 처리에서 사용되는 임의의 반응 가스가 도관(47)에 진입하여 표면상에 증착되는 것을 차단하도록 폐쇄될 수 있다. 또한 히터(25)는 적당한 처리 위치로 이동하여 원하는 처리 방안에 따라 챔버(15)의 진공에서 특정 온도로 가열된다. 이하 개시되는 바와 같이, 도핑된 유전체층(1008)은 CVD 장치(10)내에서 고온(약 500-600℃)으로 형성된다. 자연 산화물 장벽 없이, 웨이퍼상에 형성되는 도핑된 유전체층(1008)으로부터의 도펀트는 극도로 얕은 소스/드레인 영역(1010, 1012)을 형성하기 위해 기판속으로 더욱 쉽고 균일하게 드라이브-인 될 수 있다.
도핑된 유전체층(1008)의 증착 이후에, 웨이퍼는 챔버(15)내에 잔류한다. 다음 내열성 가열 히터(25) 및 그 위의 웨이퍼는 특정 시간동안 보다 높은 온도(약 800℃)로 가열된다. 이러한 가열 단계는 도핑된 유전체층으로부터의 도펀트가 N형 재료(1004)속으로 드라이브-인되게 한다. 도 19B에 도시된 바와 같이, 도핑된 유전체층(1008)은 얻어지는 극도로 얕은 접합부(1020)를 위한 P형 도펀트 확산 소스로서 사용된다. 물론, 게이트 밸브(280)는 이러한 드라이브-인 단계 동안 폐쇄된다. 인-시튜 가열의 대안으로서, 웨이퍼는 도펀트 확산 소스로서 기능하는 도핑된 유전체층(1800)으로부터 도펀트를 드라이브-인하기 위하여 어닐링 퍼니스 또는 급속 열처리 반응기(바람직하게 다중 챔버 시스템내로)로 전달될 수 있다. 어닐링 또는 급속 열처리에 의해 확산이 수행된다. 바람직하게, 확산은 이런 다른 실시예에서 약 950-1100℃ 사이의 온도에서 약 1-3분 동안, 바람직하게 약 1000℃에서 1분 동안 급속 열처리(양호한 처리량에 기인하는)를 사용하여 수행된다.
확산 이후에, 도핑된 유전체층(1008)은 건식 또는 습식 에칭 기술 또는 다른 제거 기술에 의해 N형 재료(1004)로부터 제거된다. CVD 장치(10)는 또한 적당한 에칭 화학 작용으로 원격 마이크로파 플라즈마 시스템(55)에 의해 도핑된 유전체층(1008)을 제거하는데 사용될 수 있다. 이런 유전체 제거 단계를 위하여, 게이트 밸브(280)는 제거가 완료될 때까지 원격 플라즈마가 층(1008)을 에칭하도록 개방될 것이고, 그 후에 게이트 밸브(280)는 폐쇄된다. 제거 단계는 챔버(15)내의 히터(25)로부터 웨이퍼를 이송하지 않고 인-시튜로 수행된다. 선택적으로, 제거 단계는 CVD 장치(10)와 같은 동일한 다중챔버 시스템내에서 유전체 제거에 전용되고, 또한 다중 챔버 시스템의 진공 외부 환경에 대한 웨이퍼의 노출을 방지하는 다른 챔버에서 수행될 수 있다. 도 19C는 도핑된 유전체층(1008)의 제거 후 부분적으로 완료된 PMOS 트랜지스터(1000)를 도시한다. PMOS 트랜지스터(1000)는 게이트 전극(1002)과 극도로 얕은 P형 도핑 접합부인 인접한 소스/드레인 영역(1020)을 포함한다. 이후에, 소자의 완성을 위한 나머지 처리 단계가 웨이퍼 상에서 수행될 수 있다.
모든 웨이퍼(또는 수개의 웨이퍼)를 CVD 장치(10)의 챔버내에서 처리한 후, 챔버 세척이 수행될 것이다. 웨이퍼의 처리가 챔버(15)내에서 완료된 후, 웨이퍼는 진공 록킹되는 슬롯(243)을 통해 전달된다. 다음에 게이트 밸브(280)가 개방되어 챔버가 엔드포인트 검출기 시스템에 표시된 것처럼 세척될 때까지 명세서대로 원격 마이크로파 플라즈마 시스템(55)을 사용하여 챔버 세척 처리가 수행될 수 있다.
다른 실시예에서, 도 19A에 대해 개시된 단계 후에 도 19D-19E에 도시된 단계가 수행된다. 도 19A에 도시된 바와 같이, 도핑된 유전체층(1008)이 소스/드레인 영역(1010, 1012)과 게이트 전극(1002)상에 형성된 후, USG와 같은 캡핑 층(1030)이 도핑된 유전체층(1008)상에 바람직하게 챔버(15)내에서 인-시튜 처리로 형성된다. 층(1008)을 증착하는 동안, 게이트 밸브(280)는 폐쇄되어 유지될 것이다. 도 19B에 도시된 바와 같이 기판은 도핑된 유전체층(1008)으로부터 반도체 재료(1004)속으로 도펀트 확산을 위해 가열될 것이다. 선택적으로, 웨이퍼는 도 19B에 대해 상기 개시된 바와 같이 도핑된 유전체층(1008)로부터의 도펀트 확산을 위해 어닐링 퍼니스 또는 급속 열처리 반응기로의 전달을 위해 챔버(15)로부터 제거될 것이다. 바람직하게, 기판은 챔버(도핑된 유전체층(1008)과 캡핑층(1030)이 증착되는)가 일부가 되는 동일한 다중 챔버 시스템내에서 급속 열처리 된다. 도 19E에 도시된 바와 같이, 상부에 캡핑층(1030)을 갖는 도핑된 유전체층(1008)으로부터의 도펀트는 극도로 얕은 소스와 드레인 접합부(1020)를 형성하도록 반도체 재료(1004)속으로 확산된다. 다음 상기 캡층(1030)과 도핑된 유전체층(1008)은 도 19C에 도시된 바와 같이, 부분적으로 완성된 PMOS 트랜지스터(1000)를 제공하기 위해 앞서 개시된 바와 같이 에칭되고, 나머지 처리 단계를 준비한다. 약 0.25㎛ 소자 기하학적 형태를 위해, 붕소 원자의 확산은 약 100-200 Å의 USG 막으로 캡핑된 약 100-200 Å 두께의 BSG 막을 형성하여, PMOS 트랜지스터를 위한 바람직한 실시예에 따라 약 0.05 내지 0.1 ㎛ 사이의 접합 깊이가 초래된다.
물론, NMOS 트랜지스터(1000)는 게이트 전극(1002)과 소스/드레인 영역(1010, 1012)을 포함하며, N형의 극도로 얕은 접합부가 본 발명의 또 다른 실시예에 따라 도펀트 소스로서 PSG 막 또는 비소 도핑 실리케이트 글래스와 같은 도핑된 유전체막(1008)을 사용하여 P형 반도체 재료(1004)내에 형성될 수 있다. P형 재료(1004)는 P형 기판 또는 기판에 형성된 P 웰일 수 있다. 약 0.25㎛의 소자 기하학적 형태에 대해, 약 100-200Å 두께의 PSG막과 약 100-200 Å 두께의 USG막은 바람직한 실시예에 따라, 반도체 재료 속으로 약 0.05㎛ 내지 약 0.1㎛ 범위로 드라이브되는 인의 깊이를 형성한다. 물론, 또 다른 도핑 실리케이트 글래스막이 적용 분양에 따라 N 또는 P형의 극도로 얕은 접합부를 제공하는데 사용될 수 있다.
본 발명을 사용하는 다른 예는 소자 사이의 얕은 트렌치 절연 구조내의 채널-스톱과 같은 극도로 얕은 도핑 영역을 형성하는 것이다. 극도로 얕은 채널 스톱 영역을 형성하기 위한 본 방법의 응용은 도 20A-20G를 참조하여 개시된다.
도 20A는 반도체 재료(1100)에 형성된 부분적으로 완성된 얕은 트렌치 절연 구조의 개략적 단면도이다. 도 20A에 도시된 바와 같이, 트렌치(1102)는 반응성 이온 에칭, 플라즈마 에칭을 포함하는 이방성 에칭, 또는 다른 기술을 사용하여 다반도체 재료(1100)내에 형성된다. 본 실시예에서, 반도체 재료(1100)는 P형 기판 또는 기판에 형성된 P 웰이다. 마스크(1104)는 얕은 트렌치 절연부의 채널 스톱 영역을 형성하는데 사용될 수 있다. 아래에 상세히 개시된 처리 방안을 사용하여, 도핑된 유전체층(1106)은 마스크(1104)를 사용하여 트렌치(1102)상에 형성된다. 도핑된 유전체층(1106)은 확산하는 도펀트 원자의 소스를 제공하고 반도체 재료(1100)의 소자들 사이에 도전 경로가 형성되는 것을 방지하는데 사용되는 채널 스톱 도핑 영역을 형성한다. P형 재료(1100)를 위하여, 도핑된 유전체층(1106)은 P형 도펀트 소스로서 BSG 막이 될 수 있다.
트렌치(1102) 위에 도핑된 유전체층(1106)을 형성하기 이전에, 트렌치(1102)표면은 이하 개시된 바와 같이 NF3와 같은 반응 가스를 사용하여 형성된 원격 플라즈마의 불소 라디컬을 제공하도록 원격 마이크로파 플라즈마 시스템(55)을 사용함으로써, 존재할 수 있는 임의의 게이트 산화물 또는 자연 산화물을 제거할 수 있다. 세척 단계 동안, 게이트 밸브(280)는 개방되어 불소 라디컬이 도관(47)으로부터 외부 환형 통로(297)를 통하는 도관(47)으로부터 분배 플레이트(20)를 경유하여 챔버(15)속으로 흐른다. 상부에 웨이퍼를 보유한 히터(25)는 세척을 위한 위치로 하강되어 불소 라디컬이 기판을 손상시키지 않고 트렌치(1102)의 표면상에 존재할 수 있는 원치 않는 산화물의 웨이퍼를 세척할 수 있다. 이런 산화물의 세척은 트렌치(1102)상에 형성되는 도핑된 유전체층(1106)으로부터의 도펀트가 보다 일관되게 드라이브-인되게 허용한다. 세척 단계와 도핑된 유전체층(1106)의 증착은 챔버(15)에서 인-시튜로 수행된다. 또 다른 실시예에서, 세척 단계는 이미 개시된 바와 같이 인슈트로 NF3의 열적 진압에 의해 수행될 수 있다. 다음 NF3 플라즈마 또는 증기로부터의 불소 라디컬은 트렌치(1102) 표면상에 존재할 수 있는 산화물을 제거한다. 또 다른 실시예에서, 이미 개시된 다중 챔버 시스템의 개별 챔버가 이런 세척 단계를 위해 사용될 수 있다. 웨이퍼에 의한 수분 흡수가 챔버(15)(또는 선택적으로 다중 챔버 시스템의)의 진공을 파괴하지 않고 방지되기 때문에, 트렌치(1102) 표면은 자연 산화물 장벽이 없다. 세척 단계가 수행된 후, 게이트 밸브(280)는 폐쇄된다. 자연 산화물 장벽없이, 도핑된 유전체층(1106)으로부터의 도펀트는 얕은 트렌치 절연부를 제공하는 채널 스톱 영역으로 사용되는 극도로 얕은 접합부를 형성하기 위하여 더욱 쉽고 균일하게 기판내로 드라이브인된다. 상부에 웨이퍼를 갖는 히터(25)는 처리 위치로 이동되어 층(1106)의 증착을 위해 고온(약 500-700℃)으로 가열된다.
도핑된 유전체층(1106)의 증착 후에, 웨이퍼는 드라이브-인 단계를 위해 챔버(15)에 남아있게 된다. 게이트 밸브(280)는 폐쇄된 채 유지되고, 히터(25)는 고온(약 800℃)으로 가열된다. 가열은 확산을 위해 요구되는 원하는 접합 깊이에 따라 특정 시간 동안 발생한다. 선택적으로, 웨이퍼는 도핑된 유전체층으로부터의 도펀트가 P형 재료(1100)속으로 드라이브인되도록 어닐링 퍼니스 또는 급속 열처리 반응기(바람직하게 다중 챔버 시스템내로)로 전달될 수 있다. 도 20B에 도시된 바와 같이, 도핑된 유전체층(1106)은 형성되는 극도로 얕은 채널 스톱 영역(1108)을 위한 P형 도펀트 확산 소스로서 사용된다. 극도로 얕은 채널 스톱 영역(1108)은 P형 재료(1100)로 형성된 P+ 타입 영역이다.
확산 이후에, 도핑된 유전체층(1106)은 습식 에칭 기술 또는 다른 제거 기술에 의해 P형 재료(1100)로부터 제거된다. 바람직하게, 게이트 밸브(280)가 개방되어 원격 마이크로파 플라즈마 시스템(55)으로부터의 라디컬이 층(1106)을 에칭하도록 웨이퍼는 챔버(15)내에 잔류한다. 물론, 플라즈마 시스템(55)에 도입되는 반응 가스는 도핑된 유전체층(1106)의 형태에 따라 좌우된다. 도 20C는 도핑된 유전체층(1106)의 제거 후 부분적으로 완성된 얕은 트렌치 절연 구조를 도시한다. 도 20D에 도시된 바와 같이, 트렌치(1102)는 얕은 트렌치 절연 구조를 형성하도록 산화물(1110)로 채워진다. 바람직한 실시예에서, 고온에서 증착된 고품질 USG 막이 고종횡비 트렌치를 채우는 산화물(1110)로서 사용될 수 있다. 또한 산화물(1110)은 다른 증착 기술을 사용하여 형성될 수 있다.
극도로 얕은 채널 스톱 영역(1108)을 가지는 얕은 트렌치 절연 구조를 완성한 후, 도 20E에 도시된 바와 같이 얕은 트렌치 절연 구조에 의해 분리된 소자(1112, 1114)가 형성될 수 있다. 소자(1112, 1114)는 각각 게이트 전극(1116)과 인접한 소스/드레인 영역(1118, 1120)을 포함한다. 이후에, 소자의 완성을 위한 나머지 처리 단계는 웨이퍼를 다른 챔버로 전달함으로써 바람직하게 다중 챔버 시스템에서 수행될 수 있다. 웨이퍼가 챔버(15)로부터 전달된 후, 도 19A-E와 관련하여 개시된 바와 같이 세척 위치로 조절되고, 개방되어 있는 게이트 밸브(280) 및 내열성 가열 히터(25)를 갖는 원격 마이크로파 플라즈마 시스템(55)을 사용하여 챔버 세척이 수행될 수 있다.
다른 실시예에서, 도 20A에 도시된 단계 이후에 도 20F-20G에 도시된 단계가 수행된다. 도핑된 유전체층(1106)이 도 20A에 도시된 바와 같이 트렌치(1102)와 마스크(1104)상에 형성된 후, USG와 같은 캡핑층(1110)이 인-시튜 처리로 챔버(15)에서 도핑된 유전체층(1106) 상에 형성된다. 캡핑층(1110)의 증착동안, 게이트 밸브(280)는 밀폐된다. 다음 도 20B를 위해 이미 개시된 바와 같이 기판은 캡핑되는 도핑된 유전체층(1106)으로부터의 도펀트가 반도체 재료(1110)속으로 드라이브-인 되도록 챔버(15)에서 인-시튜로 가열된다. 선택적으로, 도 20B에 대해 이미 개시된 바와 같이, 캡핑되고 도핑된 유전체층(1106)으로부터의 도펀트가 반도체 재료(1110)속으로 드라이브인 되도록 어닐링 퍼니스 또는 급속 열처리 반응기(바람직하게 다중 챔버 시스템내로)로의 전달을 위해 웨이퍼가 챔버(15)로부터 제거될 수 있다. 도 20G에 도시된 바와 같이, 상부에 캡핑층(1110)을 가지는 도핑된 유전체층(1106)으로부터 도펀트는 극도로 얕은 채널 스톱 영역(1108)을 형성하기 위해 반도체 재료(1100)속으로 확산된다. 캡핑층(1110)은 도핑된 유전체층(1106)으로부터 상향 도펀트 방출을 최소화시켜 보다 많은 도펀트가 기판 재료(1100)속으로 하향 확산되게 한다. 확산 단계가 수행된 후, 게이트 밸브(280)는 개방되고 캡핑층(1110)과 도핑된 유전체층(1106) 둘다는 도 20c에 도시된 바와 같이 부분적으로 완성된 얕은 트렌치 절연부를 제공하도록 적당한 에천트 화학작용으로 원격 마이크로파 플라즈마 시스템(55)을 사용하여 에칭될 수 있고, 도 20D-20E의 나머지 처리 단계를 준비한다. 웨이퍼가 챔버(15)로부터 전달될 때, 게이트 밸브(280)는 폐쇄 위치에 있게 된다. 다음 챔버(15)의 챔버 세척은 개방된 게이트 밸브(280)를 갖는 플라즈마 시스템(55)을 동작시킴으로써 수행될 수 있다.
P형 기판내의 NMOS 트랜지스터 사이의 얕은 트렌치 절연부를 위해, 도핑된 유전체막(1106)은 BPSG막일 수 있다. N형 기판(또는 CMOS 회로의 N웰)의 PMOS 트랜지스터 사이의 얕은 트렌치 절연부를 위해, 도핑된 유전체막(1106)은 PSG막 또는 비소 도핑 실리케이트 글래스막일 수 있다. 약 0.5㎛의 깊이의 트렌치(1102)를 갖는 0.35㎛ 이하의 작은 소자의 기하학적 형태에 대해, 약 0.1㎛ 접합 깊이의 채널 스톱 영역(1108)은 본 발명의 바람직한 실시예에 따라 약 200Å 두께의 BSG막(1106)과 약 200Å 두께의 USG 캡핑을 사용하여 형성될 수 있다.
간략화된 집적 회로(900)는 예시적인 목적만을 위한 것으로 이해하여야 한다. 종래의 기술에 종사하는 당업자는 다른 집적 회로, 이를테면 마이크로 처리기, 주문제작 집적 회로 분야(ASICS), 메모리 소자 등의 제조를 위해 본 방법을 이행할 수 있다. 더욱이, 본 발명은 PMOS, NMOS, CMOS 바이폴라 또는 BiCMOS 소자에 적용될 수 있다. 극도로 얕은 소스/드레인 접합부와 극도로 얕은 트렌치 절연부 분야가 이미 개시되었더라도, 본 발명은 또한 극도로 얕은 도핑 영역이 요구되는 다른 응용에도 사용될 수 있다. 또한 본 발명은 PMD, IMD, 패시베이션 및 다마신층을 포함하여 집적 회로 소자내의 다양한 층으로서 사용하기 위한 비도핑 산화물 뿐만 아니라 도핑 산화물을 위해 사용될 수 있다.
챔버(15)내에서의 인-시튜 또는 개별 동작을 위한 바람직한 웨이퍼 세척, 증착 및 챔버 세척 방법은 본 발명의 다양한 실시예에 따라 아래에 추가로 개시된다.
B. 증착 이전의 자연 산화물 세척
본 발명의 특정 실시예에 따르면, 극도로 얕은 도핑 접합부가 요구되는 실리콘 기판 또는 영역상에 존재할 수 있는 자연 산화물은 도펀트 확산 소스 또는 PMD 층으로서 사용될 수 있는 도핑된 유전체층의 증착 이전에 세척될 수 있다. 이런 실시예에서, 자연 산화물은 원격 마이크로파 플라즈마 시스템(55)에 의해 NF3와 같은 반응성 세척 가스로 형성된 플라즈마로부터의 불소 라디컬을 사용함으로써 세척될 수 있다. 하나의 챔버에서 또는 선택적으로 동일한 다중 챔버 시스템의 챔버에서의 인슈트 처리의 사용은 이런 특정 실시예에 따라 형성되는 극도로 얕은 접합부의 품질 개선 뿐만 아니라 낮은 수분 함량과 낮은 수축을 가지는 유전체층을 제공하게 한다.
특정 실시예에서, 챔버(15)는 전체 세척 처리 동안, 약 300-650℃ 범위의 온도, 바람직하게 약 550-600℃의 증착 온도로 유지된다. 챔버(15)는 온도를 유지하는 동안 약 1-2torr, 바람직하게 약 1.5torr 범위의 압력에서 유지된다. 히터(25)는 게이트 밸브(280)가 개방되고 세척 가스(NF3)가 약 600 sccm의 속도로 어플리케이터 튜브(292)내로 도입되는 동안, 가스 분배 플레이트(20)로부터 약 600 mil의 위치로 이동된다. 세척 가스가 어플리케이터 튜브(292)내로 도입되고 압력은 마이크로파 에너지가 어플리케이터 튜브(292)내의 NF3에 인가되기 이전에 약 3초 동안 안정화되는 것이 허용된다. 다음에 CW 모드에서 동작하는 마그네트론(711)으로부터 약 500-2500와트, 바람직하게 약 1000-1500와트 사이의 마이크로파 전력이 약 5-10초 동안 인가된다. 마이크로파는 이미 개시된 바와 같이 윈도우를 통해 어플리케이터 튜브(292)에 진입하도록 도파관과 최적화 시스템을 통해 마그네트톤(711)으로부터 전송된다. UV 램프(731)는 윈도우에서 어플리케이터 튜브(292)에 진입하는 마이크로파 에너지에 의해 유지된 이온화로 플라즈마를 형성하기 위하여 어플리케이터 튜브(292)에서 반응 가스를 점화시킨다. 어플리케이터 튜브(292)에 형성된 업스트림 플라즈마로부터의 라디컬은 입구(290)로 흐르도록 출력된다. 라디컬은 개방된 게이트 밸브(280)를 통해 세척 매니폴드(270)의 도관(47)으로 엔클로저 어셈블리(200)내의 라이닝된 통로를 흘러 챔버(15)에 진입하여 웨이퍼로부터 자연 산화물을 세척하도록 외부 환형 통로(297)내로 흐른다. 다음 사용된 세척 가스 반응물과 산화물 찌꺼기는 개방된 트로틀 밸브를 통해 챔버(15) 밖으로 배출된다. 설명은 약 6 리터의 전체 체적을 가지는 챔버(15)에 대한 것이다. 흐름 값은 다른 실시예에 사용된 챔버의 크기와 형태에 따라 다를 수 있다.
특정 실시예에서, 약 1-2torr 이하의 압력으로 유지된 챔버(15)를 사용하여, 불소 종의 신속한 제거가 이루어져, 세척 결과를 나빠진다. 약 1-2torr 이상의 챔버 압력에서, 재결합이 충돌 손실로 인하여 발생할 수 있을 뿐만 아니라 과열을 일으켜 어플리케이터 튜브(292)를 손상시킬 수 있다. 챔버(15)는 불소 종이 급속히 제거되지 않고, 재결합이 발생되지 않으며, 어플리케이터 튜브(292)가 손상되지않는 압력 레벨로 유지되어야 한다. 일부 실시예에서, 마이크로파 전력이 인가되고 있을 때, 챔버 압력은 어플리케이터 튜브(292)의 물리적 치수 및 재료에 의해 제한될 수 있다. 특정 실시예에서, 마이크로파 전력이 인가될 때, 어플리케이터 튜브(292)의 압력은 최적 챔버 압력이 약 3배가 될 수 있다. 상이한 어플리케이터 튜브가 상이한 흐름 비율로 사용될 때, 최적의 챔버 압력은 변화할 것이다. 물론, 어플리케이터 튜브(292)가 인가되는 마이크로파없이 사용될 때 임의의 압력이 사용될 수 있다.
플라즈마가 웨이퍼의 업스트림에 형성되기 때문에, 플라즈마내의 반응성 불소 라디컬만이 웨이퍼로부터 자연 산화물을 세척하기 위해 웨이퍼에 도달할 수 있다. 이미 언급된 바와 같이, 바람직하게 세척 단계는 약 90Å 두께의 전형적 자연 산화물에 대해 약 5-10초 동안 수행된다. 이러한 세척 단계는 약 2㎛/분의 속도로 자연 산화물을 에칭한다. 물론, 세척 단계의 전체 시간은 웨이퍼로부터 세척되는 특정 산화물의 두께에 따라 좌우된다. 본 발명의 원격 마이크로파 플라즈마 시스템(55)을 사용하여, 자연 산화물 또는 다른 산화물이 에칭될 수 있고 웨이퍼에 손상을 주는 플라즈마가 방지된다.
웨이퍼 세척 처리 조건은 본 실시예에 대해 예시적인 것으로, 다른 조건이 사용될 수도 있다. 설명은 단지 예로서 아래의 여러 가지 증착 설명이 그렇듯이 200㎜ 웨이퍼에 적합한 어플라이드 머티어리얼스로부터 입수가능한 Giga FILLTM Centura 시스템에서 NF3에 대해 논의하였다. 그러나, 다른 불소 함유 또는 염소 함유 가스, 이를테면 NF3 및 N2, NF3 및 아르곤, NF3 및 O2, 희석된 F2, CF4, C2F6, C3F8, SF6 또는 Cl2 등이 물론 사용될 수도 있다.
C. 예시적인 실리케이트 글래스 증착
본 발명의 방법에 따르면, 도펀트 소스로서 사용되는 유전체층, PMD 층, IMD 층, 산화물 충전층, 캡핑층, 또는 다른층은 임의의 몇 가지 상이한 방법을 사용하여 형성될 수 있다. BSG막, PSG막, BPSG막 및 USG막의 처리 방안은 본 발명에 사용된 도핑된 및 도핑되지 않은 유전체층을 예로서 아래에서 설명된다. 물론, 아래에 개시된 다양한 유전체막을 증착하는 동안, 게이트 밸브(280)는 폐쇄된 체 유지된다(본 발명에 따라 원격 플라즈마 시스템(55)이 증착을 위해 사용되지 않는다면). 예시적인 처리는 바람직한 실시예에서 폐쇄된 단일 웨이퍼 SACVD 시스템인 CVD 장치(10)에서 수행될 수 있다.
또한 CVD 장치(10)는 BPSG, 비소 도핑 실리케이트 글래스(AsSG), 또는 다른 유전체층을 증착하기 위해 상이한 및/또는 부가적인 입력 가스 공급 소스와 함께 사용될 수 있다. 물론, 당업자는 상이한 처리 방안과 플라즈마 강화 CVD(PECVD)와 같은 다른 반응 시스템이 유전체막을 증착하는데 사용될 수 있다는 것을 인식할 것이다. 붕소 소스의 예로는 TEB, 트리메틸보레이트(TMB), 디보란(B2H6), 및 다른 유사한 화합물을 포함한다. 인 소스의 예로는 트리에틸포스페이트(TEPO), 트리에틸포스파이트(TEPi), 트리메틸포스페이트(TMOP), 트리메틸포스파이트(TMPi) , 및 다른 유사한 화합물을 포함한다. BSG 또는 PSG 막 이외에, 비소 도핑 산화물 또는 비소 실리케이트 글라스(AsSG)는 예를 들어 비소 화합물을 갖는 액체 소스 또는 예를 들어 아르곤에서 희석된 비소 가스를 사용하여 증착될 수 있다. 실리콘 소스의 예는 실란(SiH4), TEOS, 또는 유사한 실리콘 소스를 포함하며, 산소 소스로는 O2, O3, 마이크로파 발생 원자 산소(O)등을 포함한다. 아래 설명에서, 액체 소스에 대한 흐름 비율은 밀리그램으로 제공되는 반면 가스 흐름 비율은 분당 표준 입방 센티미터(sccm)로 제공된다. 본 설명에서, 액체 소스는 Precision Liquid Injection System을 사용하여 기화되고, mgm의 액체 흐름 비율은 액체 흐름 비율에 약 1.923의 계수를 곱함으로써 sccm의 가스 흐름 비율로 변환되어 흐름 비율이 계산된다. 바람직하게, 안정한 도핑된 유전체막은 소정의 실시예에서 손상이 없는 균일하게 도핑된 극도로 얕은 접합부를 형성하기 위하여, SACVD 처리로 TEOS/O3 화학 작용을 사용하여 형성될 수 있다. 다른 실시예에서, 수분이 적은 도핑된 유전체막은 고종횡비 갭 충전, 낮은 수축, 낮은 금속 오염, 및 낮은 불소 혼합을 갖는 평탄화된 절연층을 제공하기 위하여 CVD 장치(10)에서 수행되는 SACVD 처리로 TEOS/O3 화학 작용을 사용하여 형성될 수 있다.
1. BSG막 증착예
예로서, 아래에서 개시된 BSG막 증착 방안은 도펀트 확산 소스로서 사용되는 도핑된 유전체층으로서 유용하게 될 수 있는 BSG막을 형성할 수 있다. 물론, 상기 방안은 BSG층에 대한 특별한 사용과 요구된 특성에 따라 변경될 수 있다. 도펀트 확산 소스로서 사용되는 도핑된 유전체층으로서 형성되는 PSG층은 아래 방안을 사용하여 사용되는 도펀트 소스를 적당히 대체함으로써 유사하게 형성될 수 있다.
예시적인 BSG 벌크막은 웨이퍼와 히터(25)를 약 200-650℃ 사이의 온도, 바람직하게 약 400-650℃ 범위 이내의 온도, 및 가장 바람직하게 약 500℃의 온도로 가열하고, 상기 온도 범위를 증착 내내 유지함으로써 증착된다. 게이트 밸브(280)가 폐쇄되고, 챔버(15)는 약 10-760torr 범위내의 압력으로 유지된다. 바람직하게, 상기 압력은 약 400-600torr 범위로 유지되고, 가장 바람직하게 약 600torr로 유지된다. 히터(25)는 가스 분배 플레이트(20)로부터 약 150-300 mil에 배치되고, 바람직하게 플레이트(20)로부터 약 250 mil에 배치된다.
붕소의 소스로서 TEB, 실리콘 소스로서 TEOS, 및 산소의 가스 소스로서 O3을 포함하는 처리 가스가 형성된다. 액체인 TEB와 TEOS 소스가 기화되어 헬륨과 같은 불활성 캐리어 가스와 조합된다. 액체는 도입된 반응물 액체의 부피에 대한 상당한 제어를 제공하는 가스 혼합 시스템(93)내의 액체 도입 시스템에 의해 기화된다. TEB의 흐름 비율은 원하는 도펀트 농도에 따라 약 50-550mgm 사이인 반면, TEOS 흐름 비율은 약 300-1000mgm, 바람직하게 약 500mgm이다. 다음 기화된 TEOS와 TEB 가스는 3000-6000sccm 사이의 속도, 바람직하게 약 4000sccm의 흐름 비율로 흐르는 헬륨 캐리어 가스와 혼합된다. O3 형태의 산소는 약 3000-6000 sccm의 흐름 비율, 바람직하게 약 5000 sccm의 흐름 비율로 도입된다. 오존 혼합물은 약 5-16 중량 퍼센트(wt %) 산소를 포함한다. 가스 혼합물은 열-유도 화학 반응이 원하는 막을 형성하도록 이루어지는 기판 표면에 반응 가스를 공급하기 위해 분배 플레이트(20)로부터 챔버(15)내로 도입된다.
상기 조건은 500-1000 Å/분의 속도로 증착된 BSG 막을 초래할 수 있다. 증착 시간을 제어함으로써, 약 50-500Å 및 바람직하게 약 100-300Å의 두께를 가지는 BSG막은 약 10-60초에서 이미 개시된 공정 조건으로 형성될 수 있다. 따라서 증착되는 BSG막의 두께가 쉽게 제어될 수 있다. 바람직하게, 형성되는 BSG막내의 붕소 wt %는 약 2-8 wt %, 바람직하게 약 6 wt % 범위에 있다.
2. PSG막 증착예
단지 예로서, 아래에 개시되는 PSG막 증착 방안은 PMD층으로서 유용하게 될 수 있는 PSG막을 형성할 수 있다. 물론, 상기 방안은 PSG층의 특별한 사용과 요구되는 품질에 따라 변경될 수 있다. PSG막 이외의 다른 도핑된 유전체층이 아래의 방안과 유사한 방안을 이용하여 사용되는 도펀트(들) 소스를 적당히 대체함으로써 PMD층으로서 사용될 수 있다.
예시적인 PSG 벌크막은 웨이퍼와 히터(25)를 약 200-650 ℃ 사이의 온도, 바람직하게 약 400-650℃ 범위의 온도, 및 가장 바람직하게 약 600℃로 가열하고, 상기 온도 범위를 증착 내내 유지함으로써 증착된다. 게이트 밸브(280)가 폐쇄되어, 챔버(15)는 약 10-760torr 범위내의 압력으로 유지된다. 바람직하게, 상기 압력은 약 400-600torr 범위로 유지되고, 가장 바람직하게 약 450torr로 유지된다. 히터(25)는 가스 분배 플레이트(20)로부터 약 250-350 mil에 배치되고, 바람직하게 플레이트(20)로부터 약 330 mil에 배치된다.
인의 소스로서 TEPO, 실리콘 소스로서 TEOS, 및 산소의 가스 소스로서 O3를 포함하는 처리 가스가 형성된다. 액체인 TEPO와 TEOS 소스는 액체 도입 시스템에 의해 기화된 다음 헬륨과 같은 불활성 캐리어 가스와 조합된다. TEPO의 흐름 비율은 원하는 도펀트 농도에 따라 약 10-100mgm 사이, 바람직하게 약 5-30mgm, 가장 바람직하게 약 24 mgm인 반면, TEOS 흐름 비율은 약 500-1500mgm, 바람직하게 약 1000mgm이다. 다음에 기화된 TEOS와 TEPO 가스는 2000-6000 sccm 사이의 속도, 바람직하게 약 4000 sccm의 흐름 비율로 흐르는 헬륨 캐리어 가스와 혼합된다. O3 형태의 산소(약 5-16 wt %, 산소를 가지는)는 약 2500-6000sccm의 흐름 비율, 바람직하게 약 4000sccm의 흐름 비율로 도입된다. 가스 혼합물은 열-유도 화학 반응이 원하는 막을 형성하도록 발생하는 기판 표면에 반응 가스를 공급하기 위해 가스 분배 플레이트(20)로부터 챔버(15)내로 도입된다.
이러한 조건은 약 1780Å/분의 속도로 증착되는 PSG막을 초래할 수 있다. 증착 시간을 제어함으로써, 증착되는 PSG막의 두께는 쉽게 제어될 수 있다. 바람직하게, 상기 형성되는 PSG 막내의 인 wt%는 약 2-8 wt%, 바람직하게 약 4 wt% 범위에 있다.
3. BPSG막 증착예
단지 예로서, 아래에 개시된 BPSG 막 증착 방안은 PMD 층으로서 유용하게 될 수 있는 BPSG 막을 형성할 수 있다. 물론, 상기 방안은 상기 BPSG 층의 특별한 사용과 요구된 품질에 따라 변경될 수 있다.
바람직한 BPSG 벌크막은 웨이퍼와 히터(25)를 약 100-800℃ 사이의 온도, 바람직하게 약 400-650℃ 범위내의 온도, 및 가장 바람직하게 약 480℃로 가열하고, 상기 온도 범위를 증착 내내 유지함으로써 증착된다. 게이트 밸브(280)가 폐쇄되어, 챔버(15)는 약 10-760torr 범위내의 압력으로 유지된다. 바람직하게, 상기 압력은 약 150-600torr 범위로 유지되고, 가장 바람직하게 약 200torr로 유지된다. 히터(25)는 가스 분배 플레이트(20)로부터 약 150-400 mil에 배치되고, 바람직하게 플레이트(20)로부터 약 300 mil에 배치된다.
붕소의 소스로서 TEB, 인의 소스로서 TEPO, 실리콘의 소스로서 TEOS, 및 산소의 가스 소스로서 O3을 포함하는 처리 가스가 형성된다. 액체인 상기 TEB, TEPO와 TEOS 소스는 액체 도입 시스템에 의해 기화된 다음에 헬륨과 같은 불활성 캐리어 가스와 조합된다. 물론, 또한 붕소, 인, 실리콘, 및 산소의 다른 소스가 사용될 수 있다고 인식된다. TEB의 흐름 비율은 바람직하게 약 150-200 mgm이다. TEPO의 흐름 비율은 원하는 도펀트 농도에 따라 약 10-100 mgm이고, 바람직하게 약 35-75 mgm이고, 가장 바람직하게 약 24 mgm인 반면, TEOS 흐름 비율은 약 300-700mgm이다. 다음 기화된 TEOS, TEB와 TEPO 가스는 2000-8000 sccm 사이의 속도, 바람직하게 약 6000 sccm의 흐름 비율로 흐르는 헬륨 캐리어 가스와 혼합된다. O3 형태의 산소는 약 2000-5000 sccm의 흐름 비율, 바람직하게 약 4000 sccm의 흐름 비율로 도입된다. 오존 혼합물은 약 5-16 wt% 산소를 포함한다. 가스 혼합물은 열-유도 화학 반응이 원하는 막을 형성하도록 이루어지는 기판 표면에 반응 가스를 공급하기 위해 상기 가스 분배 플레이트(20)로부터 챔버(15)내로 도입된다.
이러한 조건은 약 3500-5500Å/분의 속도로 증착되는 BPSG막을 초래할 수 있다. 증착 시간을 제어함으로써, 증착되는 BPSG막의 두께는 쉽게 제어될 수 있다. 상기 형성되는 BPSG막은 2-6 wt%의 붕소 농도 레벨과 약 2-9 wt%의 인 농도 레벨을 가진다.
상기 BSG, PSG, BPSG 처리와 아래의 USG 처리에서의 파라미터는 청구항에 제한되는 것으로 고려되지 않아야 한다. 예를 들면, 본 발명은 또한 예를 들어 비소를 포함하는 다른 도펀트로 도핑되는 실리콘 산화막에 응용가능하다. 다른 예로서, 개시된 흐름 값은 200㎜ 웨이퍼에 대해 마련된 챔버에 적용되지만, 사용되는 챔버의 형태와 크기에 따라 다를 수 있다. 또한 당업자는 유사한 막을 형성하기 위해 다른 화학 제품, 챔버 파라미터 및 조건을 사용할 수 있다는 것을 인식할 것이다.
막 안정성은 반도체 재료속으로의 확산을 위해 도핑된 유전체막의 도펀트 원자의 능력에 대한 요인이 될 수 있다고 믿어진다. 막 안정성을 개선하고 이런 도핑된 유전체막으로부터 반도체 재료속으로의 도펀트 확산을 제어하는 능력을 개선하는 몇가지 방법이 연구되었다. 각각 개시된 방법은 개시된 바람직한 증착 방안 중 하나의 방안을 사용하여 층을 증착한 후 도핑된 유전체층상에서 수행될 수 있다. 또한, 아래에 개시된 방법은 BSG(또는 PSG) 막의 처리에 관한 것이지만, 상기 방법은 균일하게 임의의 도핑된 실리콘 산화막에 등가적으로 응용가능하다.
4. USG막 증착예
a. 산화물 충전 재료 또는 절연층
본 발명의 일실시예에 따르면, 얕은 트렌치 절연부를 위해 사용되는 얕은 트렌치를 채우기 위한 산화물 충전 재료로서 비도핑 실리케이트 글래스(USG)층을 CVD 챔버(10)에서 증착할 수 있다. 물론, USG막은 또한 IMD층, 절연층 또는 다른 층으로서 사용될 수 있다. 아래에 개시된 바람직한 USG 방안은 최소 수축으로 800℃ 이상의 온도에서의 어닐링을 견디는 매우 조밀하고 균일한 막을 제공한다. 또한 고종횡비 스텝 커버리지를 위한 뛰어난 갭 충전을 제공하는 USG막은 USG내에 임의의 보이드를 형성하지 않고 매우 균일한 에칭 속도로 에칭 처리를 보장할 수 있다. 또한 USG막은 임의의 보이드를 형성하거나 USG에 주름을 형성하지 않고 화학 기계적 연마(CMP) 평탄화를 견딜 수 있다.
웨이퍼와 히터(25)는 약 200-650℃의 범위, 바람직하게 약 550-650℃ 사이의 온도로 가열되고, 증착 내내 상기 온도를 유지한다. 히터(25)는 가스 분배 플레이트(20)로부터 약 250-400 mil, 바람직하게 약 350mil에 배치된다. 게이트 밸브(280)가 폐쇄되고, 챔버(15) 압력은 약 10-760torr, 바람직하게 약 600torr의 레벨로 유지된다.
산소와 실리콘 소스를 포함하는 처리 가스가 증착 챔버내로 도입된다. 바람직한 실시예에서, 실리콘 소스는 TEOS이고 산소 소스는 O3이지만, 당업자는 SiH4, TMCT와 같은 다른 실리콘 소스 또는 유사한 소스 및, O2, H2O, N2O, 마이크로파 발생 원자 산소와 같은 다른 산소 소스, 및 유사한 소스 및 동일한 종류의 혼합물이 사용될 수 있다는 것을 인식할 것이다. TEOS가 실리콘 소스로서 사용될 때, 캐리어 가스, 이를 테면 헬륨 또는 질소가 사용된다. O3 대 TEOS의 비율은 약 2-17:1 범위일 수 있지만, 바람직하게 약 2-6:1 사이의 범위이다.
가스 반응물의 최적의 전체 흐름은 상기 증착 챔버의 기하학적 형태와 디자인에 따라 변화할 것이다. 또한 가스 흐름은 증착 속도를 제어하기 위해 변경될 수 있다. 전형적으로, TEOS는 약 500-2500 mgm 사이의 흐름 비율로 도입되고 바람직하게 2000 mgm 사이의 흐름 비율로 도입된다. O3(약 5-16 wt % 산소 사이의)은 약 2000-10000 sccm 사이, 바람직하게 약 5000 sccm의 흐름 비율로 도입된다. 헬륨 또는 질소는 2000-10000 sccm 사이, 바람직하게 약 7000 sccm의 흐름 비율로 도입되는 캐리어 가스로서 사용될 수 있다. 통상적으로, 증착 챔버내로의 전체 가스 흐름 비율은 약 5000-20000 sccm 사이, 바람직하게 약 15000 sccm에서 변화할 것이다. 이러한 조건하에서, 약 1450Å/분 이상의 증착 속도가 달성된다. 흐름 값은 200㎜ 웨이퍼 챔버에 대한 것으로 사용되는 챔버의 크기와 웨이퍼의 크기에 따라 변화할 것이다.
b. 캡핑 층
본 발명의 다른 실시예에 따르면, 증착된 BSG(또는 PSG)는 얇은 개별 USG 층으로 캡핑될 수 있다. USG 캡핑층은 쉽게 습기를 흡수하지 않는 안정된 막이다. 그러므로, USG 캡핑층은 대기에 존재하는 수분이 BSG(또는 PSG)내로 흡수되는 것을 방지하는 BSG(또는 PSG)의 상부에 소수성 표면을 제공한다. 더욱이, USG 캡핑층은 붕소(또는 인) 방출을 방해하는 상당히 조밀한 막이다. 그 결과 USG 캡핑층은 반도체 재료속으로 도핑된 유전체층의 더 많은 도펀트 원자의 제어된 하향 확산을 촉진한다. USG 캡핑층이 없다면, 도펀트 원자의 일부는 순차적 어닐링 또는 급속 열처리 동안 반도체 재료로부터 상향으로 확산될 수 있다. 그러므로, 캡핑층의 사용은 확산과 접합 깊이 제어에 기여한다. USG층은 BSG(또는 PSG)로부터 개별 처리 챔버에서 증착될 수 있지만, 바람직하게 챔버(15)에서 BSG(또는 PSG)의 증착은 또한 인-시튜 처리로 수행된다. 물론, 캡핑층을 형성하기 위한 많은 공정이 가능하다.
USG층을 형성하기 위한 다음의 방법은 예로서 개시된다. 또한 비도핑 실리케이트층은 아래에 개시된 바와 같이 캡핑층 뿐만 아니라 하부에 놓이는 도핑된 유전체층의 사용없이 절연 유전체층으로서 사용될 수 있다.
웨이퍼와 히터(25)는 약 200-600℃, 바람직하게 약 500℃ 범위내의 온도로 가열되고, 증착 내내 이러한 온도로 유지된다. 히터(25)는 가스 분배 플레이트(20)로부터 약 250-350 mil, 바람직하게 약 300 mil에 배치된다. 게이트 밸브(280)는 폐쇄되고, 챔버(15) 압력은 약 50-760torr, 바람직하게 약 200-600torr, 가장 바람직하게 약 400 torr의 레벨로 유지된다.
산소와 실리콘 소스를 포함하는 처리 가스가 증착 챔버내로 도입된다. 바람직한 실시예에서, 실리콘 소스는 TEOS이고 산소 소스는 O3이지만, 당업자는 실란, TMCT 또는 유사한 소스와 같은 또 다른 실리콘 소스, 및 O2, H20, N2O와 유사한 소스와 동일 종류의 혼합물과 같은 또 다른 산소 소스가 사용될 수 있다는 것을 인식할 것이다. TEOS가 실리콘 소스로서 사용될 때, 헬륨 또는 질소와 같은 캐리어 가스가 사용된다. O3 대 TEOS의 비율은 약 2-17:1이지만, 바람직하게 약 2-6:1 사이의 범위일 것이다.
가스 반응물의 최적의 전체 흐름은 증착 챔버의 기하학적 형태와 디자인에 따라 변화할 것이다. 또한 가스 흐름은 증착 속도를 제어하기 위해 변경될 수 있다. 전형적으로, TEOS는 약 500-1500mgm 사이의 흐름 비율로 도입되고 바람직하게 약 1000-1250mgm 사이의 흐름 비율로 도입된다. O3(약 5-16 wt % 산소)은 약 2000-10000sccm 사이, 바람직하게 약 7000sccm의 흐름 비율로 도입된다. 헬륨 또는 질소는 2000-6000sccm 사이, 바람직하게 약 4000sccm의 흐름 비율로 도입되는 캐리어 가스로서 사용될 수 있다. 통상, 증착 챔버내로의 가스 전체 흐름 비율은 약 5000-20000 sccm 사이, 바람직하게 약 15000 sccm에서 변화할 것이다. 상기 조건하에서, 약 500 및 1500 Å/분 사이의 증착 속도가 달성될 수 있다. 이런 증착 속도에서, 약 100-200Å의 USG층이 대략 20초에 증착될 수 있다. 흐름값은 200 ㎜ 웨이퍼 챔버에 대한 것으로 사용되는 챔버의 크기와 웨이퍼의 크기에 따라 변할 수 있다.
USG 캡핑층을 형성하기 위한 다음의 방법은 예로서 개시된다. 바람직한 응용은 약 50-500Å, 바람직하게 약 100 내지 300Å 사이의 USG 캡층을 가질 것이다. 그러나, 당업자는 상이한 두께의 캡핑층이 특정 응용과 소자 기하학적 형태 크기에 따라 사용될 수 있다는 것을 인식할 것이다. 필수적인 것은 아니더라도, 증착된 막이 사용되는 적용분야와 갭의 크기에 따라, 캡핑층과 도핑된 유전체층은 상대적으로 얇은 것이 바람직하다. 얇은층에 대하여, 증착 시간과 에칭 시간은 더 두꺼운 층과 비교할 때 감소된다. USG 캡핑층이 증착되고, USG층 및 도핑된 유전체층 둘다 에칭백 된다. 또한 서로 다른 캐핑층, 이를테면 다른 유사한 안정된 산화막이 도핑된 유전체층을 캡핑하는데 사용될 수 있다. 더욱이, USG 캡층은 SACVD 대신에 APCVD, PECVD, 또는 LPCVD에 의해 도핑된 유전체막 상에 형성될 수 있다. 이미 개시된 바와 같이, 다단계 처리 단계의 인슈트 수행을 위한 챔버(15) 사용이 가장 바람직하며, 다중 챔버 시스템의 사용은 다중 챔버 시스템내의 다양한 챔버들 사이에서 기판을 전달하는 동안 진공을 깨뜨리지 않는 것이 바람직하다.
본 발명의 다른 실시예에 따르면, 인-시튜 USG 또는 유사한 캡층은 도핑 층의 증착의 완료 직전에 붕소 소스 또는 인 소스를 차단함으로써 도핑된 유전체막 상에 형성된다. 본 실시예에서, 초기 도핑된 유전체층, 이를테면 BSG(또는 PSG)는 이미 개시된 바와 같이 형성된다. 열반응이 1-30초 사이의 부가적 주기 동안 지속되는 반면, 다은 챔버(15)속으로의 도펀트 소스의 흐름은 정지된다. 바람직하게, 상기 열반응은 약 3-10초 동안 지속한다. 이런 실시예에서, 도펀트 소스는 열반응이 적어도 5초 동안 도펀트 없이 유지되도록 소스의 공급 라인 상의 밸브를 밀폐시킴으로써 정지된다.
물론, 도펀트 가스 소스의 정지는 가스가 밸브의 위치로부터 가스 혼합 시스템(93)까지 플레이트(20)의 페이스플레이트를 통해 이동하는데 걸리는 시간으로 조정된다. 대부분의 CVD 장치에서 가스가 도입 밸브로부터 증착 챔버로 흐르는데 수초가 요구되어, 밸브는 이런 지연을 허용하도록 미리 충분히 폐쇄되어야 한다. 그러므로, TEB가 붕소 소스라면, BSG 층의 증착 완료 이전에 TEB 공급 라인상의 밸브의 수초 동안의 폐쇄는 이전에 개시된 수분 흡수와 배출 현상의 발생을 방지하는 얇은 USG 캡을 형성한다.
도핑된 유전체층에 형성된 USG 캡의 인-시튜 증착은 개선된 안정성과 수분 흡수를 면제시켜, 극도로 얕은 도핑 영역을 형성하는 확산 제어 개선에 기여한다.
USG 캡핑층 사용 대신 또는 부가적으로, 또한 도핑된 유전체층의 플라즈마 처리는 수분 흡수를 감소시키고 도핑된 유전체층의 안정성을 개선하는데 사용될 수 있다. RF 플라즈마 시스템을 갖춘 이런 실시예에서, 플라즈마 조밀화 처리가 사용될 수 있는데, 소자에 손상을 주는 플라즈마는 중요한 관계가 없다. 일부 실시예에서, 약 500℃ 이상의 온도에서의 증착이 조밀한 유전체막을 제공하는데 충분할 수 있다. 플라즈마 처리되는 도핑된 유전체층의 개선된 안정성은 극도로 얕은 도핑 영역을 형성하는 확산 제어를 개선시킨다. 챔버(15)는 플라즈마 조밀화 처리 동안 약 1-5 torr 사이로 유지된다. 게이트 밸브(280)가 폐쇄되고, 질소(N2), 암모니아(NH3), 또는 아르곤 같은 반응 가스를 사용하여 형성된 플라즈마가 챔버(15)내로 도입된다. 사용될 수 있는 플라즈마 처리의 단순한 예로서, N2와 같은 반응 가스가 약 1000 sccm의 속도로 가스 혼합 시스템(93)내로 도입되어 1000 sccm의 속도로 도입되는 헬륨과 혼합된다. RF 플라즈마 시스템은 챔버(15)내에 플라즈마를 형성하기 위하여 예를 들어 약 350 메가헤르츠(㎒)의 RF 주파수에서 약 450 와트의 전력 레벨에서 동작된다. 플라즈마는 표면의 일부가 질화처리될 수 있는 도핑된 유전체층의 표면을 패시베이션하는데 사용된다. 그러므로 플라즈마 처리는 도핑된 유전체막을 조밀화시킨다. 수분 흡수에 저항하는 치밀화된 도핑된 유전체층내의 많은 도펀트는 극도로 얕은 접합부을 형성하는데 유용하다.
D. 인-시튜 증착 및/또는 리플로우를 위한 가열 처리
CVD 장치(10)는 2단계 증착/리플로우 처리를 위해 동일한 웨이퍼상에서 증착 처리를 수반하는 인-시튜 가열 단계, 또는 1단계 증착/리플로우 처리를 위해 동일한 웨이퍼상의 증착 처리와 동시발생하는 인-시튜 가열 단계를 허용하는 고온 능력을 가진다. 고종횡비 트랜지스터 또는 절연 트렌치 상에 형성된 PMD층으로서 사용하기 위하여 비도핑 또는 도핑된 유전체막, 이를테면 PSG는 종종 집적 회로 소자를 형성하는데 중요한 평탄화를 요구한다. 도핑된 유전체층의 평탄화는 고온에서 상기 층을 리플로우시킴으로써 수행될 수 있다. 또한 리플로우 수행은 증착된 막, 특히 웨이퍼 상의 고종횡비 형태의 갭 충전을 개선하는데 기여한다. 물론, 다른 목적과 응용을 위한 가열 단계는 또한 CVD 장치(10)에서 수행될 수 있다. 아래에 개시된 다음의 가열 절차는 단순히 리플로우를 위해 사용될 수 있는 바람직한 가열 단계로서 사용되지만, 또한 일부 응용에서의 드라이브-인 또는 다른 목적을 위한 다른 가열 단계가 수행될 수 있다.
특정 실시예에 따라, 2단계 증착/리플로우 처리가 아래에 개시된다. 게이트 밸브(28)가 폐쇄되어, 챔버(15)는 약 200-760 torr의 압력으로 유지될 수 있다. 분배 플레이트(20)로부터 약 200-400 mil 사이, 바람직하게 약 330-350 mil의 처리 위치에 있는 웨이퍼와 히터(25)로, 웨이퍼와 히터(25)는 증착 처리 동안 챔버(15)내에서 약 500-800℃ 사이, 바람직하게 약 550-650℃ 사이의 고온으로 가열된다. 반응 가스 흐름이 정지되고, 특정 실시예에 따라 유전체층을 리플로우시키기 위해 웨이퍼가 약 5-30분, 바람직하게 약 15-20분 동안 약 750-950 ℃ 사이, 바람직하게 750-850℃ 사이로 가열된다. 리플로우 온도는 2단계 처리에서의 증착 온도와 동일하거나 더 높을 수 있다. 더욱이, 다단계 증착/리플로우 처리를 위하여, 온도는 리플로우 온도까지 상승하기 전에 증착 온도로부터 중간 온도로 상승될 수 있다. 물론, 가열 단계를 위한 시간과 온도는 수행되는 특별한 응용과 형성되는 특정 층에 따라 다를 수 있다.
다른 특정 실시예에 따라, 1단계 증착/리플로우 처리가 개시된다. 게이트 밸브(28)가 폐쇄되어, 챔버(15)는 약 200-760torr의 압력으로 유지될 수 있다. 분배 플레이트(20)로부터 약 200-400mil 사이, 바람직하게 약 330-350mil의 처리 위치에 있는 웨이퍼와 히터(25)로, 웨이퍼와 히터(25)는 증착과 리플로우 처리가 동시적으로 이루어지도록 챔버(15)에서의 약 750-950℃ 사이, 바람직하게 약 750-850℃ 사이의 충분히 높은 온도까지 가열된다. 물론, 상기 증착/리플로우 단계를 위한 시간과 온도는 형성되는 특정 층에 따라 다를 수 있다.
이미 개시된 바와 같이, 다중 인-시튜 처리동안 동일한 챔버(15)내에 웨이퍼를 유지하는 것은 수분이 흡수되고 불순물에 의해 오염되는 대기에 웨이퍼가 노출되는 것을 방지한다. 더욱이, 약 550℃ 이상의 온도에서의 유전체막의 증착은 가열될 때 보이드가 형성하지 않는 조밀하고 고품질 막을 제공할 수 있다. 또한 이런 온도에서 증착되는 막의 증착은 수축을 감소시킨다.
E. 챔버 세척/건조(seasoning)/게터링 공정
이미 개시된 예시적 단계와 같은 공정 단계 또는 다중 공정 단계가 챔버(15)내의 웨이퍼 상에서 수행된 후, 웨이퍼는 필요한 순차적 처리 단계를 위해 챔버(15)의 외부로 이송된다. 진공 록 도어가 폐쇄될 때, 히터(25) 상에 웨이퍼 없이 챔버(15)를 밀봉하여, 챔버(15)는 증착 처리 찌꺼기, 이를테면 챔버(15)의 하부, 히터(25)의 하부, 뿐만 아니라 다른 챔버 부품내의 라이닝되지 않은 챔버벽을 포함하는 챔버(15) 부분으로부터의 원치않는 산화물 및/또는 질화물을 제거하기 위하여 챔버 세척 공정을 실시한다. 신뢰성있는 웨이퍼 대 웨이퍼 재현성을 보장하기 위하여, 챔버 세척은 증착 공정 동안 축적되는 임의의 찌꺼기를 제거한다. 이런 찌꺼기는 원격 마이크로파 플라즈마 시스템(55)에 의해 반응성 세척 가스로 형성된 플라즈마로부터의 불소 라디컬을 사용함으로써 챔버 부품으로부터 세척될 수 있다. F 원자의 높은 반응성 때문에, 찌꺼기 실리콘 산화물은 챔버(15)의 외부로 펌핑되는 SiF4 가스 부산물의 형성에 의해 제거될 수 있다. 아래에 개시된 상기 챔버 세척 절차는 모든 웨이퍼 또는 모든 수개의 웨이퍼의 처리 후에 수행될 수 있다.
본 발명의 바람직한 실시예에서, NF3는 불소 라디컬을 제공하는 세척 가스로서 사용된다. 본 발명은 바람직하게 NF3 가스를 분해하여 챔버(15)속으로 F 원자의 흐름을 발생하기 위해 원격 마이크로파 플라즈마 시스템(55)을 사용한다. 본 발명의 원격 마이크로파 플라즈마 시스템(55)을 사용한 불소 화학 작용의 이용으로, 인-슈트 플라즈마 공정에 비해, 운동 에너지가 낮고 차후 증착되는 막에서 물리적 스퍼터링 효과 또는 대전되는 종의 형성이 이루어지지 않는다. 더욱이, 지구 온난화 효과를 감소시키기 위한 환경적 요구조건과 관련하여, NF3의 사용은 임의의 수명이 긴 퍼플로로-카본(PFC : perflouro-crbon) 부산물을 발생시키지 않는다.
보다 나은 세척 효과를 보장하기 위하여, F 플럭스와 그의 세척 균일도는 최적화되어야 한다. 특정 NF3 가스 흐름에서, 임계 마이크로파 전력이 설정되어지고, 전력상에서 F 원자의 발생은 이들의 재결합에 의해 보상된다. 도 21은 본 발명의 특정 실시예에 따라 임의의 과도한 하드웨어 비용없이 최고 세척 속도를 제공하는 NF3 흐름과 마이크로파 포화 전력 사이의 관계를 도시한다. 도 21에 도시된 바와 같이, 마이크로파 포화 전력은 특정 실시예에 따라 약 500-950sccm 사이의 대응 NF3 흐름에 대해 약 1300-2100 와트 사이의 범위이다. 아래에 개시된 바람직한 실시예를 위하여, 마이크로파 포화 전력은 약 950sccm의 NF3 흐름에 대해 약 2100 와트이다. 세척 균일도는 가스 종과 펌핑 프로파일의 평균 자유 경로를 조절할 수 있는 챔버 압력과 히터 간격에 의해 제어될 수 있다. 이전에 개시된 바와 같이, 이미 개시된 실시예의 어플리케이터 튜브(292)에 의해 허용될 수 있는 최고 압력은 약 2 torr이고, 간격은 세척 균일도를 최적화하는데 사용된다. 다른 실시예에서 다른 실시예의 어플리케이터 튜브(292)를 사용하여, 압력과 간격이 세척 균일도 최적화에 사용될 수 있다.
예시적 챔버 세척 공정에서, 챔버(15)는 세척 공정 내내 약 300-650℃, 바람직한 실시예에서 보다 바람직하게는 약 550-600℃ 범위의 온도로 유지된다. 가장 바람직하게, 챔버(15)는 특별 공정이 챔버(15)에서 수행되는 동일한 온도로 유지된다. 예를 들면, 챔버 세척 공정은 이미 개시된 예와 같이 600℃에서 PSG 막을 증착하는 동안 사용된 이런 챔버에서 600℃로 수행될 것이다. 트로틀 밸브가 개방되고 게이트 밸브(280)가 폐쇄되고, 히터(25)는 가스 분배 플레이트(20)가 가열되도록 가스 분배 플레이트(20)로부터 약 100-250mil, 바람직하게 약 150mil에 배치된다. 가스 분배 플레이트(20)의 가열은 발생하는 더 빠른 세척을 허용한다. 이런 가열 단계는 약 3-10초, 바람직하게 약 5초 동안 수행될 수 있다.
압력 및 세척 가스 흐름은 세척이 수행되기 이전에 최적으로 안정화된다. 예비 세척 안정화 단계 동안, 챔버(15)는 불소 종이 신속히 제거되지 않고 재결합이 발생하지 않고 또한 세척 단계 동안 사용되는 압력 레벨에서 최적으로 유지되어야 한다. 예비세척 안정화 단계에서, 게이트 밸브(28)가 개방되어 챔버(15)는 약 1-2 torr, 바람직하게 약 1.5 torr 사이의 압력에 도달한다. 약 1-2 torr 미만의 압력으로 유지된 챔버(15)를 사용하여, 불소 종의 빠른 제거가 발생되고, 빈약한 챔버 세척 결과를 초래한다. 약 1-2 torr 이상의 챔버 압력에서, 충돌 손실로 인한 재결합이 발생할 것이고, 뿐만 아니라 과열을 초래하여 어플리케이터 튜브(292)에 손상을 준다. 히터(25)는 가스 분배 플레이트(20)로부터 약 450-700mil, 바람직하게 약 600mil 사이의 거리로 이동된다. 세척 가스(NF3)는 약 600-1100 sccm, 바람직하게 약 950 sccm 사이의 속도로 어플리케이터 튜브(292)내에 도입된다. 이런 예비세척 안정화 단계는 마이크로파 전력이 챔버 세척 단계 동안 인가되기 이전에 약 2-6초, 바람직하게 약 3초 동안 지속된다.
챔버 세척 단계에서, 챔버(15)의 예비세척 안정화 조건은 약 1-2 torr, 바람직하게 약 2torr 범위의 압력으로 유지된다. 세척 과정이 수행될 때, 약 500-2500 와트 사이의 마이크로파 전력이 어플리케이터 튜브(292)에 인가된다. 바람직하게, 마그네트론(711)은 약 2.45 ㎓ 마이크로파를 제공하고 약 950 sccm의 바람직한 세척 가스 흐름을 위해 약 2100 와트의 CW 모드로 동작된다. 마이크로파는 마그네트론(711)으로부터 도파관을 통해 전송되어 앞서 개시된 바와 같이 윈도우를 통해 어플리케이터 튜브(292)에 진입하여 시스템을 최적화한다. 윈도우에서 어플리케이터 튜브(292)에 진입하는 마이크로파 에너지에 의해 유지된 이온화를 이용하여, UV 램프(731)는 플라즈마를 형성하기 위하여 어플리케이터 튜브(292)내의 반응 가스를 점화시킨다.
챔버 세척 단계 동안, 마이크로파가 인가되는 어플리케이터 튜브(292)에 형성된 플라즈마로부터의 불소 라디컬은 개방된 게이트 밸브(280)를 통해 원치않는 산화물 찌꺼기의 표면을 세척하기 위해 챔버(15)속으로 흐를 수 있다. 플라즈마가 챔버(15)의 업스트림에 형성되기 때문에, 플라즈마내의 반응성 불소 라디컬만이 챔버(15)에 도달하여 축적물을 제거할 수 있다. 따라서, 챔버(15)의 여러 부분들의 증착 처리 찌꺼기는 챔버(15)에 대한 직접적인 플라즈마 손상을 최소화시키면서 세척된다. 챔버 세척은 약 30초 내지 10분, 바람직하게 약 60-200초, 더욱 바람직하게 약 160초 동안 지속한다. 물론, 챔버 세척 시간은 챔버(15)내의 산화물 찌꺼기의 두께와 형태에 따라 변할 수 있다. 이미 언급된 바와 같이, 흐름 값은 챔버의 크기와 타입, 다른 실시예에 사용된 어플리케이터 튜브의 치수와 재료에 따라 다를 수 있다. 또한 상술된 세척 공정은 블로커 및 가스 분배 플레이트 뒤 후면에 원치않는 찌꺼기 증착을 감소시킨다.
챔버 세척 이후에, 부가적 포스트(post)-세척 단계가 수행된다. 포스트-세척 단계 동안, 챔버(15)는 바람직하게 증착과 세척 공정을 위해 개시된 온도로 유지된다. 챔버 세척 단계의 마지막에, 세척 가스 흐름은 정지되고 마이크로파 전력은 더 이상 공급되지 않는다. 챔버(15)는 대부분의 F 찌꺼기 원자를 제거하도록 펌핑된다. 이런 후 세척 펌핑 단계 동안, 히터(25)는 트로틀 밸브가 개방되고 게이트 밸브(280)가 개방된 채로 유지되는 동안 가스 분배 플레이트(20)로부터 약 1500-2200 mil, 바람직하게 약 2000 mil사이의 위치로 이동된다. 펌핑 단계는 챔버(15)의 외부로 배출되는 세척 가스 반응물과 찌꺼기의 양에 따라 약 5-20초, 바람직하게 약 10초 동안 지속한다. 또한 세척 엔드포인트 검출 시스템이 실질적으로 모든 증착 처리 찌꺼기가 챔버(15)로부터 제거될 때까지 포스트-세척 펌핑에 대한 정지 시간 결정을 보조하는데 사용될 수 있다.
불소에 기초한 챔버 세척 절차 이후에, 다음 증착 공정이 수행될 때 웨이퍼가 위치되는 곳에 인접한 챔버벽의 표면 상에 활성 불소 종이 약간 흡수될 수 있다. 다음 증착 공정에서, 불소는 상호 작용하거나 증착된 막에 결합할 것이고, 표면의 막 민감도를 초래한다. 이런 막 민감도는 고집적 소자에 의해 요구되는 공차로 문제가 될 수 있는 거친 표면처럼 나타나고, 소자 고장을 초래한다. 본 발명은 아래에 개시된 다수의 방법에 의해 챔버 벽의 표면으로부터 흡수된 불소를 게터링하는 능력을 제공한다.
포스트-세척 펌핑 단계 이후에, 건조가 화학 반응 또는 실리콘 산화물(SiO2) 증착을 통한 챔버 벽에 대한 F의 포획에 의해 모든 자유 F 종을 재결합하기 위해 수행될 것이다. 포스트-세척 펌핑과 건조 단계는 순차적으로 증착되는 막 내부의 미립자 형성과 F 함량 모두를 감소하기 위해 수행된다.
최적으로, 포스트-세척 펌핑 단계와 건조 단계 사이에는 챔버 압력과 가스 흐름을 안정화시키고 건조 단계를 위한 위치로 히터(25)를 이동시키기 위한 또 다른 안정화 단계가 있다. 이런 안정화 단계에서, 게이트 밸브(280)는 밀폐되고 챔버(15)는 약 20-70 torr, 바람직하게 50 torr의 압력으로 유지된다. 또한 히터(25)는 가스 분배 플레이트(20)로부터 약 300-550 mil, 바람직하게 약 500 mil의 위치로 이동된다. 특정 실시예에서, 현재 개시되는 건조 단계는 순차적 실리콘 산화물 증착을 위한 챔버(15)를 건조시키기 위해 캐리어 가스로서 헬륨과 함께 오존과 TEOS를 사용한다. 물론, 다른 가스가 요구된 실리콘 산화물 증착의 형태에 따라 건조 및 예비건조 안정화 단계에 사용될 수 있다. 예비건조 안정화 단계에서, 약 200-400 mgm, 바람직하게 약 300 mgm의 흐름 비율의 액체 TEOS가 기화되어 챔버(15)내로 약 4000-8000 sccm, 바람직하게 약 6000 sccm의 속도로 흐르는 헬륨 캐리어 가스와 함께 전달된다. 가스 흐름은 증착을 위해 사용된 일반적인 입구 또는 어플리케이터 튜브(292)를 통해 마이크로파의 인가없이 챔버(15)내로 도입될 수 있다. 이런 안정화 단계는 건조 단계가 챔버(15)상의 건조 산화물의 증착을 시작하는 산소 소스의 도입으로 시작하기 전에 약 5-25초, 바람직하게 약 15초 동안 지속된다. 열적 건조 단계에서, 오존은 챔버(15)의 표면상에 실리콘 산화물의 얇은층(예를 들면, 약 2. 5 wt % 산소를 가지는 실험적 USG 증착 공정, 또는 약 8 wt % 산소를 가지는 실험적 PSG 증착 공정)을 증착하기 위해 약 10-20초, 바람직하게 약 15초 동안 사용되는 특정 증착 공정을 위해 사용되는 흐름 속도(예를 들면, 이미 개시된 550℃에서의 실험적 USG 증착 처리에 대해 약 5000 sccm, 또는 이미 개시된 600℃에서의 실험적 PSG 증착 처리에 대해 약 4000 sccm)로 도입된다. 건조 단계 동안, 오존 흐름은 오존 흐름과 농도의 임의의 변동을 감소시키기 위해 증착 공정과 최적으로 일치한다. 건조 챔버(15)는 챔버(15)의 표면상에서 흡수될 수 있는 불소 원자를 포획할 수 있다.
상술된 열적 건조 단계에 수반하여, 최종 안정화 및 펌핑 단계가 수행될 것이다. 최적으로, 이런 최종 단계는 또한 상술된 증착 온도로 수행된다. 최종 안정화 단계에서, 트로틀 밸브는 챔버 압력이 대기압으로 조절되도록 주기적으로 개방되는 반면, 게이트 밸브(280)는 폐쇄된 체 유지된다. 히터(25)는 가스 분배 플레이트(20)로부터 약 800-1000 mil 사이, 바람직하게 약 999 mil의 위치로 이동된다. TEOS 흐름은 정지되는 반면, 헬륨과 오존 흐름은 건조 단계에서와 같이 유지된다. 최종 안정화 단계는 최종 펌핑 단계가 시작되기 이전에 약 5-20초 사이, 바람직하게 약 10초의 시간 주기 동안 수행된다. 최종 펌핑 단계에서, 게이트 밸브(280)는 폐쇄된 채 있고 히터(25)는 이동되지 않는다, 모든 가스 흐름이 정지되고 트로틀 밸브가 개방된다. 최종 펌핑 단계는 다른 웨이퍼가 다음 증착 공정, 가열, 또는 웨이퍼 세척 단계를 준비하는 챔버(15)에 진입하기 전에 약 5-20초 사이, 바람직하게 약 10초 동안 지속한다. 최종 안정화와 펌핑 단계는 또한 변형될 수 있고 특별한 건조 단계 또는 선택된 다른 게터링 단계(아래에 개시된 예)와 유사하게 사용될 수 있다.
상술된 실시예에 대한 다른 실시예에서, 상술된 예비세척 안정화 단계는 압력과 마이크로파 플라즈마 발생의 예비세척 안정화를 허용하는 낮은 마이크로파로부터 마이크로파 전력의 최종 세척 동작 레벨까지의 마이크로파 전력을 상승시키는 단계는 더 포함할 수 있다. 바람직한 다른 실시예에서, 상술된 예비세척 안정화 단계는 다음의 예비세척 안정화로 대체될 수 있다.
압력과 마이크로파 전력의 동시적인 안정화를 허용하여, N2(또는 사용된 가스에 따라 다른 불활성 가스) 플라즈마를 발생시키기 위한 마이크로파 전력 상승 단계는 특정 실시예에 따라, NF3 플라즈마 발생시 어플리케이터 튜브(292)의 더 낮은 압력 쇼크 프로파일을 제공한다. 마이크로파 전원장치(110)로부터 마그네트론(711)에 인가된 마이크로파 전력 레벨은 처리기(50)의 제어하에 조절될 수 있다. 예를 들면, 마이크로파 전력은 안정화 단계 동안(0으로부터 약 300 와트의 레벨(또는 0과 상기 최종 세척 동작 전력 레벨 사이의 다소 다른 전력 레벨)로, 게다가 더욱 점진적인 최적의 안정화 처리를 제공하기 위해, 세척 단계에서 2100 와트까지 상승될 수 있다. 특히, 가열 단계후, 히터(25)는 트로틀 밸브가 개방된채 유지되고 게이트 밸브(280)가 폐쇄된채 유지되는 동안 가스 분배 플레이트(20)로부터 약 600 mil위치로 이동되고 약 100-400 sccm, 바람직하게 약 300 sccm의 흐름 비율로 어플리케이터 튜브(292)에 도입된다. 약 5초 후, 트로틀 밸브는 폐쇄되고 게이트 밸브(280)는 개방되어 챔버(15)가 특정 실시예에서 약 1.5 torr의 세척 공정 압력에 도달할 때 다음 5초 동안 압력을 안정화시킨다. 다음에, 약 200-400 와트 사이, 바람직하게 약 300 와트의 마이크로파 전력의 중간 레벨은 다음 5초 동안 N2 플라즈마를 형성하도록 어플리케이터 튜브(292)에 인가된다. 다음 5초 동안, 또한 NF3는 마이크로파 전력 레벨이 세척 레벨까지 상승되는 동안 어플리케이터 튜브(292)내로 도입된다. 특히, NF3은 마이크로파 전력 레벨이 약 2100 와트의 최종 마이크로파 전력 세척 동작 레벨까지 상승하는 동안 약 600-1100 sccm 사이, 바람직하게 약 950sccm의 속도로 어플리케이터 튜브(292)내로 도입될 수 있다. 다음에, N2 흐름이 정지되고 플라즈마가 NF3만을 사용하여 발생되어, 약 5초 동안 NF3 플라즈마 발생 안정화의 안정화를 허용한다. 이때, 세척이 이미 개시된 바와 같이 처리될 것이다. 상술된 다른 실시예에서, 압력과 플라즈마 발생은 둘다 NF3 세척 플라즈마로 세척 단계를 수행하기 이전에 안정화시킨다. 이런 다른 예비세척 압력/플라즈마 안정화는 바람직하게 시간 주기의 적당한 슬라이스에 할당된 각각의 전력 레벨 상승으로 약 20-30초 사이의 전체 시간 주기 동안 지속한다. 따라서, 어플리케이터 튜브(292)상의 높은 마이크로파 전력(예를 들면, 0 내지 2100 와트)에 직접적인 1단계 응용으로부터 압력 쇼크는 최소화되고 어플리케이터 튜브(292)의 수명은 증가될 것이다.
상술된 실시예는 2 단계 전력 레벨 램프업에 관한 것으로, 다른 실시예로는 다단계 램프업(예를 들면, 0으로부터 각각 300, 1200, 2100와트로)이 있을 수 있다. 더욱이, 선택적인 마이크로파 전력 램프다운 단계는 임의로 상술된 세척 단계와 포스트-세척 펌핑 단계 사이에 수행될 수 있다. 2 단계 또는 다단계 램프다운이 다른 실시예에 대해서도 가능하다. 물론, 램핑은 연속적, 일련의 개별 단계, 또는 이들의 조합이 될 수 있다. RF 플라즈마 시스템을 가지는 CVD 챔버에 대해, RF 전력 레벨의 램프업 및/또는 램프다운은 추가 실시예에 따라 인-시튜 플라즈마 챔버 세척이 사용되는 예비세척 안정화 단계를 위해 수행될 수 있다. 다른 실시예에서 특정 시간이 안정화의 각 부분에 대해 이미 개시되었더라도, 특정 시간은 변화될 수 있고 안정화의 일부는 시간을 감소하기 위하여 조합 또는 제거될 수 있다.
이미 개시된 열적 챔버 건조에 대한 다른 방안으로서, TEOS 와 O2를 사용하는 챔버 건조가 사용될 수 있다. 기화된 TEOS는 입구(143)와 가스 혼합 박스(273)를 통해 또는 리드의 바이패스 통로를 사용하여 챔버(15)내로 도입될 것이다. O2는 산소 원자를 생성하기 위해 마이크로파 플라즈마 시스템(55)의 마그네트론(711)으로부터의 마이크로파에 의한 방사(예를 들면, 약 500-2100 와트 사이, 바람직하게 2100 와트)를 위해 어플리케이터 튜브(292)를 통해 보내진다. O2는 게이트 벨브(280)가 개방되고 챔버(15)가 약 1-2 torr, 바람직하게 1.5 torr, 및 약 300-650℃, 바람직하게 약 550-600℃ 사이의 온도로 유지되는 동안 약 50-200 sccm 사이, 바람직하게 약 100 sccm의 흐름 비율로 어플리케이터 튜브(292)내로 도입된다. 산소 원자는 마이크로파 강화 챔버 건조를 제공하기 위하여 챔버(15)내의 TEOS와 반응할 수 있다. 선택적으로, 인-시튜 플라즈마를 제공할 수 있는 RF 플라즈마 시스템을 가지는 실시예에 대해, 기화된 TEOS는 RF 플라즈마 시스템이 산소 원자가 RF 강화 챔버 건조를 위해 반응할 수 있는 플라즈마를 형성할 수 있는 챔버(15)내로 도입된다.
챔버 표면으로부터 불소 원자의 게터링을 제공하는 챔버 건조에 대한 또 다른 다른 방법으로서, 챔버(15)를 정화시키기 위해 SiH4가 챔버(15)내로 약 50-200 sccm 사이, 바람직하게 약 100 sccm의 속도로 흐르게 될 것이다. 실란은 다른 공급 소스(90)(도 1C)중 하나로부터, 폐쇄된 게이트 밸브(280)를 갖는 챔버(15)로의 다른 정화 입구를 통해 또는 마이크로파가 인가 또는 인가되지 않는 개방된 게이트 밸브(280)를 갖는 어플리케이터 튜브(292)를 통해, 폐쇄된 게이트 밸브(280) 또는 개방된 게이트 밸브(280)를 갖는 가스 혼합 시스템(93)으로 챔버(15)속으로 라인(85)을 경유하여 챔버(15) 속으로 흐를 수 있다. 실란 정화 과정 동안, 게이트 밸브(280)는 폐쇄되고 챔버(15)는 약 1-5 torr의 압력과 약 300-650 ℃ 사이의 온도, 바람직하게 약 550-600℃ 사이의 온도로 유지된다. 챔버(15) 정화는 F 원자를 흡수하여 SiF4 가스를 형성하여 배기 시스템을 통해 챔버(15)의 외부로 펌핑된다. 엔드포인트 검출 시스템은 이미 상세히 개시된 바와 같이 시스템이 챔버 세척 공정이 완전히 수행될 때를 결정하도록 한다.
실란을 사용한 챔버(15)의 건조 또는 정화를 위한 다른 방법으로서, 이미 개시된 바와 같이, 활성 수소를 챔버(15)속에 제공함으로써 게터링이 실시될 수 있다. 수소(예를 들면, H2 또는 다른 수소 소스)가 약 50-200 sccm, 바람직하게 약 100 sccm의 흐름 비율로 "세척 가스" 공급 소스로서 사용되고 스위칭 밸브(105)를 통해 입구(57)(도 1C)를 경유하는 어플리케이터 튜브(292)내로 보내진다. 마그네트론(711)은 어플리케이터 튜브(292)에 마이크로파 에너지를 제공하여 그안에 플라즈마를 생성하기 위하여, 약 500-2500 와트 사이, 바람직하게 약 1000 와트의 전력 레벨로 CW 모드에서 동작된다. 어플리케이터(292)내의 플라즈마로부터의 활성 수소는 엔클로저 어셈블리(200)의 라인 통로를 통해 챔버(15)에서의 사용을 위해 도관(47)속으로 흐를 것이다. 물론, RF 플라즈마 시스템을 포함하는 시스템에 대해, 수소가 챔버(15)내에 도입될 수 있고 RF 에너지가 활성 수소를 제공하도록 챔버(15)에 인가될 수 있다. 게터링 과정 동안, 챔버(15)는 약 1-2 torr의 압력으로, 바람직하게 게이트 밸브(280)가 개방되고 약 300-650℃ 사이이 온도, 보다 바람직하게는 약 550-600℃ 사이의 증착 온도로 유지된다. 활성 수소는 챔버(15)의 외부로 펌핑될 수 있는 불화 수소(HF) 증기를 생성하도록 흡수된 불소와 반응한다. 또한 이미 개시된 엔드포인트 시스템과 유사한 원리로 동작하지만 HF에 의한 흡수에 따른 광세기의 변화를 검출하는 엔드포인트 검출 시스템이 사용될 수 있다.
실란, 또는 활성 수소를 사용한 챔버(15)의 건조, 정화에 대한 또 다른 방법은 챔버(15)내로 암모니아를 제공하는 것이다. 암모니아(NH3)는 약 50-200 sccm, 바람직하게 약 100 sccm의 흐름 비율로 가스 패널(80)내의 세척 가스" 공급 소스로서 사용되며, 입구(57)(도 1C)를 경유하여 어플리케이터 튜브(292)내로 스위칭 밸브(105)를 통해 보내진다. 마그네트론(711)은 어플리케이터 튜브(292)에 마이크로파 에너지를 제공하여 그안에 플라즈마를 생성하기 위하여 약 500-2500 와트 사이, 바람직하게 약 1000 와트의 전력 레벨로 CW 모드에서 동작된다. 다음 어플리케이터(292)내의 플라즈마로부터의 암모니아가 엔클로저 어셈블리(200)내의 라인 통로를 통해 챔버(15)에서의 사용을 위해 도관(47)속으로 흐를 것이다. 게터링 공정 동안, 챔버(15)는 약 1-2torr의 압력으로, 최적으로 게이트 밸브(280)가 개방되어 약 300-650℃ 사이, 바람직하게 약 550-600℃ 사이의 증착 온도로 유지된다. 암모니아는 챔버(15)의 외부로 펌핑될 수 있는 암모니아 불소 화합물과 HF 증기를 생성하도록 흡수된 불소와 반응한다. 물론, RF 플라즈마 시스템을 포함하는 시스템을 위해, 암모니아가 챔버(15)내에 도입될 수 있고 RF 에너지가 암모니아 불소 화합물과 HF를 제공하도록 챔버(15)에 인가될 수 있다. 또한 상기 설명된 엔드포인트 시스템과 유사한 원리로 동작하지만 암모니아 불소와 HF에 의한 흡광도로 인한 광세기의 변화를 검출하는 엔드포인트 검출 시스템이 사용될 수 있다.
상기 세척 공정 조건이 본 실시예를 위해 바람직하지만, 다른 조건이 사용될 수도 있다. 이하 설명은 단순히 예로서 아래의 여러 가지 증착 설명이 그렇듯이 200 ㎜ 웨이퍼에 알맞은 어플라이드 머티어리얼스로부터 입수가능한 Giga FILLTM Centura 시스템에서 NF3에 대해 논의한다. 그러나, 다른 불소 함유 또는 염소 함유 가스, 이를테면 NF3 및 아르곤, NF3 및 N2, NF3 및 O2, NF3 및 마이크로파 플라즈마 시스템(55)에 의해 발생된 산소 원자, 희석된 F2, CF4, C3F8, SF6, C2F6, Cl2 등이 사용될 수도 있다. 상기 개시된 가스 외에 다른 가스가 게터링 공정을 위해 사용될 수 있다. 또한, 예비건조 안정화 단계는 이미 개시된 열적 건조에 대한 여러 대안으로부터 선택된 특정 형태의 건조/게터링에 따라 변화할 것이다. 세척, 게터링 및 건조에 대한 설명은 바람직한 온도(예를 들면, 약 550-600 ℃)에서 발생하는 것으로 진술되지만, 가장 바람직하게 챔버(15)는 특정 공정이 챔버(15)에서 실시되는 동일한 온도로 유지된다. 물론, 서로 다른 온도가 다른 실시예에서 사용될 수 있다. 더욱이, 일부 실시예는 상기 세척, 게터링 및 건조 단계의 일부분을 조합, 부가, 또는 제거할 수 있다.
Ⅲ. 테스트 결과와 측정
A. 극도로 얕은 도핑 접합부
본 발명의 실시예에 따른 장치와 방법의 동작을 증명하기 위하여, 실험은 에로서 USG 캡핑층이 없이 제조된 BSG 막 및 USG 캡핑층을 갖는 BSG 막을 사용하여 형성된 극도로 얕은 접합부의 시트 저항 및 접합 깊이를 측정하여 수행되었다. 캡핑층이 없는 BSG막은 두께가 약 150Å인 반면, 캡핑층이 있는 BSG막은 약 200Å USG캡과 가지며 약 150Å 두께였다. 캡핑층이 있고 BSG막 및 캡핑층이 없는 BSG막은 모두 저저항성 N-형 실리콘 웨이퍼 상에 증착된다. 캡핑층이 없는 BSG 막 및 캡핑층이 있는 BSG 막을 사용하여 형성된 극도로 얕은 접합부의 시트저항과 접합 깊이가 측정된다. 앞서 상세히 개시된 바와 같이 CVD 장치(10)의 챔버(15)에서 증착된 막에 대해, 게이트 밸브(280)는 특정 실시예에 따라 막 증착 단계 동안 폐쇄된다. 실험에 사용된 실제 처리 조건은 다음과 같다. 특히, BSG 막은 약 500℃의 온도 및 약 600 torr의 압력에서 증착된다. 서셉터와 매니폴드 사이의 간격은 약 300mil이다. 실험에서의 가스 흐름은 약 200mgm의 속도로 챔버내로 도입하는 TEB, 약 500mgm의 속도로 도입하는 TEOS, 약 5000mgm의 속도로 도입하는 오존(O3), 및 약 8000mgm의 속도로 도입하는 헬륨 캐리어 가스가 포함된다.
상기 조건은 700Å/분의 속도로 증착된 BSG 막을 초래하였다. 증착된 BSG 막은 약 15초의 처리 시간에 대해 약 150Å의 두께를 갖는다.
USG 캡이 사용된 실험에서, USG 캡핑층은 벌크 BSG층이 증착된 후 즉시 인-시튜 공정으로 형성된다. 바람직한 실시예는 USG 캡핑막의 증착 이전에 BSG 막과 반응할 수 있는 수분을 최소화시키는 폐쇄된 시스템의 챔버를 사용한다. 서셉터는 약 500℃의 온도로 가열되고, 챔버는 약 600 torr의 압력으로 유지되며, 서셉터는 가스 분배 매니폴드로부터 약 300 mi에 배치된다. TEOS, 오존 및 헬륨은 각각 약 500 mgm, 5000 및 5000 sccm의 흐름 비율로 증착 챔버내로 도입되었다. 상기 조건은 약 700Å/분의 속도로 증착된 USG막을 초래하였다. USG막은 약 15초의 공정 시간에 대해 약 200Å의 두께를 갖는다.
캡핑층이 없고 캡핑층이 있는 BSG 막으로부터의 도펀트의 확산은 어닐링 또는 급속 열처리를 사용하여 막을 가열함으로써 달성된다. 예를 들면, 질소(N2) 분위기에서 60초 동안의 급속 열처리는 온도, 시간 및 도펀트 농도에 따라 약 500-1000Å의 접합 깊이를 초래한다.
BSG 막을 사용하는 실험에 사용된 파라미터는 여기에 개시된 바와 같은 청구범위에 제한되지 않는다. 당업자는 다른 화학 제품, 챔버 파라미터, 도펀트 및 PSG, AsSG 등과 같은 BSG막을 형성하는 조건을 사용할 수 있다.
실험은 약 6wt% 붕소를 가지는 약 200 Å 두께의 캡핑층이 없는 BSG 막을 사용하여 수행되었다. 이런 실험은 순차적 확산 단계 동안 도펀트 소스로서 BSG 막을 사용하여 극도로 얕은 접합을 형성할 수 있는 능력을 설명한다.
6 wt% 붕소를 초과하는 붕소 농도에서, 약 500℃ 이하의 온도에서 증착된 캡핑층이 없는 BSG 막은 불안정하여 시간내에 결정화되려는 경향이 있다. 이미 언급된 바와 같이, 결정화는 실리콘 기판내로의 확산을 위해 이용할 수 있는 붕소 원자의 양을 감소시킨다. 약 550℃ 이상의 온도에서의 BSG 증착은 6wt% 이상의 붕소 농도를 갖는 안정된 캡핑층이 없는 BSG 막을 제공하는 것으로 여겨진다. 6wt% 붕소 이상의 붕소 농도가 요구되는 적용 분야에 대해, BSG 막은 결정화를 방지하기 위하여 USG 막으로 바람직하게 캡핑될 수 있다. 가스 방출을 방지함으로써, 또한 USG 캡은 실리콘 기판속으로의 도펀트 원자의 확산 방향을 제어하는 능력을 제공할 수 있다. 따라서, USG 캡은 많은 붕소 원자가 손실되는 것을 방지하여 보다 많은 붕소 원자가 실리콘 기판내로 보다 쉽게 향해 확산이 유용해진다.
일부 적용 분야에 대한 도핑된 유전체층 상의 캡핑층 사용으로 인한 또 다른 장점을 설명하기 위하여, BSG 막 상에 증착된 약 200Å USG 캡과 함께 약 150Å 두께 및 6.131 wt % 붕소를 가지는 BSG 막을 사용하는 또 다른 실험이 수행되었다. 이런 추가 실험은 순차적 확산 단계에 대한 도펀트 소스로서 캡핑된 BSG 막을 사용하여 극도로 얕은 접합부를 형성하는 능력을 설명한다. 캡핑층이 없고 캡핑층이 있는 BSG 막을 사용하여 형성된 극도로 얕은 접합부의 시트 저항과 접합 깊이가 측정된다. 이런 실험은 약 1050℃에서 1분 급속 열처리되는 BSG 막이 BSG 단독 또는 USG 캡과 함께 BSG 막을 사용하여 형성된 극도로 얕은 접합부의 시트 저항과 접합 깊이를 제어하는 능력을 제공한다는 것을 설명한다.
도 22A-22C는 확산 영역의 접합 깊이와 도펀트 균일성에 따른 USG 캡의 효과에 관련한 정보를 제공한다. 도 22A와 22C에 대한 측정은 종래 기술에 종사하는 당업자에게 잘 알려진 바와 같이 분포되는 저항 프로파일을 위한 고체 상태 측정 장비를 사용하여 수행되었다. 캐리어 농도는 깊이의 함수로서 도시된다. "P" 는 실리콘 기판 표면으로부터 측정된 깊이에서 측정된 붕소의 농도를 나타내고, "N" 은 실리콘 기판 표면으로부터 측정된 깊이에서 측정된 N형 실리콘 기판의 측정된 농도를 나타낸다. 접합 깊이는 도판트 농도가 기판 농도와 같아지는 지점으로 정의된다. 본 실시예에서, 사용되는 실리콘 기판은 약 1.6 ×1014 캐리어/㎤의 기판 농도를 가진다. 도 22A와 22C에 도시된 확산된 영역의 시트 저항은 당업자에게 잘 알려진 바와 같이 4-포인트 프로브(4pp)를 사용하여 측정된다. 도 22B는 당업자에게 잘 알려진 바와 같이 고농도 또는 얕은 접합 확산부에서의 정밀한 프로파일 측정을 제공하기에 유용한 2차 이온 질량 분광기(SIMS)의 더욱 정교한 방법에 의해 측정된 바와 같은 도 22A의 웨이퍼의 전체 불순물 프로파일을 도시한다.
특히, 도 22A는 USG 캡핑층을 가지는 6.131 wt% BSG 층을 사용하여 가열 단계 이후에 형성된 극도로 얕은 접합부의 도펀트 프로파일을 도시하는 그래프이다. BSG막은 두께가 약 150 Å이고 BSG막 위에 증착된 USG 캡핑층의 두께는 약 200Å 이다. 가열 단계는 약 1050℃에서 약 60초동안 급속 열처리에 의해 수행된다. 다음에 BSG와 USG막이 에칭에 의해 제거된다. 도 22A에 도시된 바와 같이, 형성되는 접합부는 실리콘 기판에서 약 0.06㎛의 깊이를 가지고, 도펀트 프로파일은 상당히 균일하게 나타난다. 붕소의 최대 농도는 약 6 ×1019 캐리어/㎤이다. 형성되는 접합부의 시트 저항은 약 685 Ω/㎠가 되는 것으로 측정되었다. 4pp 시트저항은 약 1.6 ×1014 캐리어/㎠로 측정된 P형 층내의 도우즈 이온의 합계(Σp)로 약 222 Ω/㎠가 되는 것으로 측정되었다.
도 22B는 도 22A에 개시된 극도로 얕은 접합부에 대해 SIMS에 의해 측정된 바와 같은 도펀트 깊이 프로파일을 도시한다. 실리콘 기판의 표면으로부터 상기 표면으로부터 약 100Å의 깊이까지, 붕소의 농도는 약 2 ×1018 캐리어/㎤ 내지 약 1 ×1021 캐리어/㎤ 범위에 있다. 실리콘 기판의 표면으로부터 약 100Å 내지 약 300Å 사이의 붕소 농도 범위는 약 1 ×1021 캐리어/㎤ 내지 3 ×1021 캐리어/㎤ 범위에 있다. 실리콘 기판의 표면으로부터 약 300 Å 아래에서, 붕소, 실리콘 및 산소의 농도는 상기 벌크 기판을 나타내며 급속히 감소한다. 도 22B에 도시된 가파른 얕은 접합부는 본 발명의 실시예에 따라 가능한 상기 도펀트 혼합을 증명한다.
도 22C는 USG 캡핑층을 가지는 6.131 wt% BSG 층을 사용하여 가열 단계없이 형성된 극도로 얕은 접합부의 도펀트 프로파일을 도시하는 그래프이다. BSG 막의 두께는 약 150Å이고 BSG 막 위에 증착된 USG 캡핑층의 두께는 약 200Å이다. 가열 단계는 수행되지 않았다. BSG와 USG막은 에칭 기술에 의해 제거되었다. 도 22C에 도시된 바와 같이, 가열 단계가 없음에도 불구하고 약 0.025 ㎛의 깊이를 갖는 접합부가 형성된다. 분명히, 접합부는 가열 드라이브-인 단계 없이도 실리콘 기판속으로 확산되는 BSG 막내의 고농도의 도펀트 붕소로 형성되었다. 붕소의 최대 농도는 약 7 ×1017 캐리어/㎤ 이다. 형성되는 접합부의 시트 저항은 약 55 Ω/㎠로 측정되며, P형의 층에서의 도즈 이온의 합(Σp)은 4.9 ×1011 캐리어/㎠ 이다.
도 23A는 USG 캡핑층을 가지는 8.084 wt% BSG층을 사용하는 가열 단계로 형성된 접합부의 도펀트 프로파일을 도시하는 그래프이다. 도 23B는 접합 깊이의 도펀트 농도의 효과를 설명하기 위하여, USG 캡핑층을 가지는 6.131 wt% BSG 층과 USG 캡핑층을 가지는 8.084 wt% BSG 층을 사용하여 동일한 가열 단계로 형성된 접합부의 도펀트 프로파일을 비교하는 그래프이다. 도 23C와 23D는 접합 깊이와 시트 저항 각각에 대한 가열 단계의 온도 효과를 도시한다. 도 23E와 23F는 접합 깊이와 시트 저항 각각에 대한 가열 단계의 시간 효과를 도시한다. 도 23A-23F의 분포된 저항 프로파일과 시트 저항은 고체 상태 측정 장비와 4-포인트 프로브 측정을 사용하여 수행되었다.
도 23A는 USG 캡핑층을 갖는 8.084 wt% BSG층 사용하는 가열단계로 형성된 접합부의 도펀트 프로파일을 도시하는 그래프이다. BSG 막 두께는 약 150Å이고 BSG막 상부에 증착된 USG 캡핑층 두께는 약 200Å이다. 가열 단계는 약 1000℃에서 약 60초 동안 급속 열처리를 사용하여 수행된다. BSG 및 USG막은 에칭 기술에 의해 제거된다. 도 23A에 도시된 것처럼, 약 0.12㎛의 깊이를 갖는 극도로 얕은 접합부는 양호한 도펀트 균일성을 갖게 형성된다. 붕소의 최대 농도는 약 1 ×1020 캐리어/㎤ 이다. 형성되는 접합부의 시트 저항은 약 145 Ω/㎠로 측정되며, 도즈 이온의 합(Σp)은 7.9 ×1014 캐리어/㎤ 이다. 4pp 시트 저항은 약 96 Ω/㎠이다.
도 23B는 USG 캡핑층을 가지는 다른 붕소 wt% BSG층(특히 6.131wt%와 8.084 wt%)을 사용하여 가열 단계로 형성된 접합부의 도펀트 프로파일을 도시한다. BSG 막의 두께는 약 150Å 이고 BSG막 위에 증착된 USG 캡핑층은 두께는 약 200Å 이다. 수행되는 가열 단계는 약 1000℃에서 약 60초 동안의 급속 열처리 단계이다. 도 23B에서 알 수 있는 바와 같이, 8.084wt % BSG막에 대해 형성되는 접합 깊이는 6.131wt % BSG 막에 대해 형성되는 접합 깊이의 거의 2배이다.
도 23C와 23D는 USG 캡핑층을 가지는 6.131wt % BSG 막에 대한 도펀트 프로 파일과 시트저항 각각에 대한 가열 단계 온도 효과를 도시하는 그래프이다. BSG 막의 두께는 약 150Å이고 BSG 막 위에 층착된 USG 캡핑층의 두께는 약 200Å이다. 약 900℃, 950℃, 975℃ 및 1000℃의 온도에서 약 60초 동안 급속 열처리를 사용하는 가열 단계가 수행된다. 도 23C에 도시된 바와 같이, 1000℃에서의 가열 단계후 형성된 접합부는 보다 낮은 975℃ 온도에서 가열 단계 후 형성된 약 0.06 ㎛ 접합부에 비해, 약 0.1㎛이다. 6.131wt % BSG 막의 시트 저항은 도 23D에서 알수 있는 바와 같이 1000℃의 가열 단계에 대해 약 180 Ω/㎠이고, 975℃의 가열 단계에 대해 약 600Ω/㎠이다. 보다 높은 온도(950℃ 이상)에서의 가열 단계는 형성된 얕은 접합부에 대해 보다 깊은 확산 깊이를 초래한다.
도 23E와 23F는 USG 캡핑층을 가지는 6.131 wt% BSG 막에 대한 도펀트 프로파일과 시트 저항 각각에 대한 가열 단계 시간 효과를 도시하는 그래프이다. BSG 막의 두께는 약 150Å이고 BSG 막 위에 증착된 USG 캡핑층의 두께는 약 200Å이다. 약 1000℃의 온도에서 약 40초 및 약 60초 동안 급속 열처리를 사용하는 가열 단계가 수행된다. 도 23E에 도시된 바와 같이, 약 40초의 가열 단계후에 형성된 접합부는 약 0.06 ㎛인 반면, 약 60초 후에 형성된 접합부는 약 0.1㎛이다. 6.131 wt% BSG 막의 시트 저항은 도 23D에서 알 수 있는 바와 같이 약 40초 후에 약 230 Ω/㎠, 약 60초 후에 약 150Ω/㎠이다. 따라서, 상기 가열 단계의 시간 길이는 극도로 얕은 접합부의 형성에서 확산 깊이를 결정할 것이다.
극도로 얕은 도핑 접합부 형성을 위해 사용된 BSG 실험은 단순히 본 발명의 특징을 설명하는 예로서 제시되는 것이며, 본 발명의 범위를 한정하는 것으로 생각해서는 안된다.
B. PMD 층을 위한 PSG
본 발명의 실시예에 따른 장치와 방법의 동작을 설명하기 위하여, 실험은 예를 들어 PMD층과 같은 PSG막을 증착하도록 수행된다. PMD층으로서 PSG막을 증착하기 이전에, 웨이퍼는 전형적으로 게이트 전극, 산화물 측벽, 절연 트렌치 등을 형성하기 위한 다단계 처리를 겪게 된다. 실험에서, PSG 막은 어플라이드 머티어리얼스, 인코포레이티드에 의해 제조된 저항성 가열되는 Giga FILLTM Centura 챔버(약 6리터의 전체 체적과 200㎜ 웨이퍼를 위해 준비된 폐쇄 시스템)에서 증착된다.
실험에서, 예비증착 단계는 웨이퍼 상에 PMD층으로서 PSG막을 증착하기 이전에 챔버의 요구된 증착 압력에 도달하고 가스/액체 흐름이 안정하도록 수행된다. 물론, 예비증착 단계는 다른 증착 방법에 최적인 것과 같은 아래의 설명(단순히 바람직한 특정 실시예인)으로부터 변경될 수 있다고 인식된다. 예비증착 단계는 챔버 벽상의 불필요한 증착을 감소시켜 증착된 막에 대한 균일한 깊이의 프로파일을 산출하는 것으로 이어진다. 임의의 예비증착 단계가 발생하기 전에, 웨이퍼는 다음에 폐쇄되는 진공 록 도어를 통해 히터(25)상의 진공 챔버(15)내에 배치된다. 히터(25)는 약 600℃의 처리 온도까지 가열되고, 이는 예비증착 단계, 증착 단계 및 포스트(post)-증착 단계 내내 유지된다.
제 1 예비증착 단계에서, 히터(25)는 가스 분배 플레이트(20)로부터 약 600 mil 위치에 있다. 약 5초 동안 트로틀 밸브가 개방되어, 약 4000 sccm 흐름 비율의 헬륨, 약 2900 sccm 흐름 비율의 O2가 챔버(15)내로 도입된다. 중성 가스, 헬륨 및 O2는 먼저 이들의 흐름 비율이 안정되도록 챔버(15)내로 도입된다. 헬륨과 O2의 흐름 비율은 예비증착 단계 내내 유지된다.
제 2 예비증착 단계에서, 트로틀 밸브는 폐쇄되고 챔버(15)내의 압력은 증착 압력까지 증가된다. 제 2 예비증착 단계는 약 30초 동안 지속하고 원하는 증착 압력 근처로 초기에 변동될 수 있는 압력을 허용하여 챔버(15)를 안정시킨다. 히터(25)는 제 2 예비증착 단계에서 가스 분배 플레이트(20)로부터 약 330mil의 처리 위치로 이동된다.
제 3 예비증착 단계에서, 챔버(15)내의 압력이 약 450 torr의 증착 압력에서 안정될 때, 액체 TEOS가 TEOS와 헬륨 흐름의 안정화를 위해 도입된다. 약 1000 mgm의 TEOS 흐름 비율로, 기화된 TEOS 가스는 증착 단계 이전에 제 3 예비증착 단계 동안 약 3초 동안 헬륨 캐리어 가스와 혼합된다.
챔버 압력, 온도, 및 TEOS/헬륨 가스 흐름이 안정되고, 히터(25)의 위치가 조절되면, 증착 처리는 시작할 수 있다. 증착 단계의 개시에서, O2 흐름은 종결된다. 액체 TEOS는 약 24 mgm의 속도로 도입되고, O3(약 8 wt% 산소)은 약 4000 sccm의 속도로 도입된다. 액체인, TEPO와 TEOS 소스는 액체 도입 시스템에 의해 기화되어 불활성 캐리어 가스 헬륨과 혼합된다. 이런 혼합물은 가열 유도 화학반응이 원하는 PSG 막을 형성하도록 발생하는 웨이퍼 표면에 반응 가스를 공급하도록 가스 분배 플레이트(20)로부터 챔버(15)내로 도입된다. 상기 조건은 약 1780Å/분의 속도로 증착된 PSG 막을 초래한다. 증착 시간을 조절함으로써, 약 5300Å의 두께를 가지는 PSG 막은 약 404초에서 이미 개시된 처리 조건으로 형성된다. 형성되는 PSG 막내의 인의 wt%는 약 4 wt%이다.
증착후, 종결 단계가 수행되어 수분과 결정화 내성을 제공하기 위해 증착된 PSG 막을 안정화시킨다. 약 3초 동안 지속되는 종결 단계에서, 증착 조건은 TEPO 흐름이 종결되는 동안 유지된다. 따라서, 종결 단계는 이미 개시된 가스 종결 방법에 의해 챔버(15)에서 인-시튜 방식으로 USG 캡핑층을 증착한다. USG 층은 벌크 PSG 막의 두께에 비해 매우 얇다.
PSG 증착 및 USG 증착 단계 이후, 포스트-증착 단계는 챔버 압력의 램핑 다운 감소 및 가스 차단을 제어하는데 사용된다. 압력과 가스 차단을 조절함으로써, 포스트-증착 단계는 마찬가지로 웨이퍼 오염과 손상을 일으킬 수 있는 미립자 형성 감소를 보조한다.
특정 실시예에서, 3가지 포스트-증착 단계가 사용된다. 종결 단계에 바로 이어지는 제 1 포스트-증착 단계에서, TEOS 흐름은 히터(25)가 가스 분배 플레이트(20)로부터 약 600 mil 위치로 이동되는 동안 종결된다. 또한 트로틀 밸브는 챔버 압력이 약 15초 지속하는 제 1 포스트-증착 단계 동안 점차적으로 램프다운되도록 주기적으로 개방된다. 제 2 포스트-증착 단계에서, 트로틀 밸브는 챔버(15)내로의 헬륨 흐름이 바이패스 밸브를 통한 펌핑에 의해 종결됨에 따라 제 3 포스트-증착 단계(펌핑 단계) 동안 챔버 압력을 램프다운시키기 위해 주기적으로 개방된다. 또한 히터(25)는 약 15초 동안 지속하는 제 2 포스트-증착 단계 동안 플레이트(20)로부터 약 999 mil 위치로 더 낮게 이동된다. 약 3초 지속하는 제 3 포스트-증착 단계에서, 트로틀 밸브가 개방되고 챔버(15)로의 O3 흐름은 최종 밸브를 통한 펌핑에 의해 종결된다.
PMD 층으로서 사용하기에 적당한 PSG를 증착하는 동안의 상기 실험 조건은 높은 산출량으로 보다 나은 막 품질을 제공하도록 최적화된다. 증가된 표면 온도에서의 표면 확산을 증진시킴으로써, 약 600 ℃의 온도에서 TEOS/O3 화학 반응을 사용하여 증착된 열적 PSG 막은 뛰어난 스텝 커버리지, 더많은 교차 결합 구조, 및 P와 Si에 대해 뛰어난 막 품질을 산출하는 더 안정한 산화 구조를 나타낸다. 증착된 PSG 막은 흐름 형태의 스텝 커버리지, 높은 수분 저항, 높은 항복 전압, 평탄한 표면, 표면 비손상(예를 들면, 플라즈마 손상), 및 고정 전하 없음에 관하여 고품질이다. 증착된 PSG 막은 양호한 막두께 균일성을 나타낸다. 특히, 증착된 PSG 막의 약 1.2 ㎛에서의 막 두께 균일도(49pt.,1σ)는 약 1.5 미만이 되는 것으로 측정된다.
도 24A는 본 발명의 특정 실시예에 따라 600℃에서 증착된 PSG 막의 에즈-증착(as-deposited) 갭 충전 능력을 나타내는 현미경 사진이다. 특히, 600 ℃에서 증착된 PSG 막은 도 24 A에서 알 수 있는 바와 같이 보이드 형성 없이 높이(h)와 간격(w)을 가지는 고종횡비 갭을 채울 수 있는 것으로 보여진다. 도 24B는 도 24A에 도시된 집적 회로 구조의 섹션에 대한 개략도이다. 도 24B에서 도시된 것처럼, 기판(1200)은 적층 게이트 구조로, 특히 그위에 텅스텐 실리사이드(WSi) 캡(1240)을 갖는 전극(1220)을 가진다. 산화물층(1260)은 도 24A-24B에 도시된 것처럼, 점선으로 도시된 약 0.35㎛의 h와 약 0.08㎛의 w를 갖는 고종횡비 갭을 형성하는 적층 게이트 구조물상에 증착된다. 따라서, 도 24A는 PMD층으로서 사용되는 PSG 막(1280)에 의해 채워지는 고종횡비(약 4.3:1) 갭을 갖는 바람직한 구조를 설명한다. 이미 개시된 바람직한 방법을 사용하는 약 600℃에서 증착된 PSG막(1280)은 점점 타이트한 열적 예산과 일치하지 않는 약 750-800℃에서 전형적으로 수행되는 리플로우의 필요 없이 뛰어난 고종횡비 갭 충전 능력을 나타낸다.
고종횡비에 대한 뛰어난 갭 충전 능력 이외에, 약 600℃에서 증착된 PSG막은 바람직하게 수분 흡수에 높은 저항성을 나타내는 조밀한 막이다. 증착된 PSG 막의 수분 흡수는 당업자에게 잘 알려진 일반적 퓨리에 변형 적외선 분광기(FTIR : Fourier Transform Infrared spectroscopy)를 사용하여 측정되었다. 도 25는 다음의 예시적 공정 조건하에서 약 600℃에서 증착된 PSG 막의 FTIR 스펙트럼을 도시한다. 특정 실시예에 따르면, 예시적 공정 조건은 약 400torr의 압력 및 히터(25)와 가스 분배 플레이트(20) 사이의 약 330mil의 간격에서 약 1000 mgm의 TEOS 흐름, 약 24 mgm의 TEPO 흐름, 약 6000 sccm의 헬륨 흐름, 및 약 4000 sccm의 오존(DIR 12 wt % 산소) 흐름을 포함한다. PSG 증착 시간은 약 600 초이다. 도 25에서 알수 있는 바와 같이, 약 600℃에서 증착된 PSG막의 FITR 스펙트럼은 수분 흡수를 표시하는 워터 스파이크가 없고, 수분 흡수의 측정가능한 변화가 증착후 약 155 시간에 걸쳐 관찰되지 않는다는 것을 나타내며, 연장된 주기에 걸쳐 PSG막 안정성을 예증한다.
도 25에 의해 설명된 바와 같이, 증착된 PSG 막은 조밀하고 수분 흡수에 저항성이 있다. 고온, 예를 들어 약 600℃에서의 PSG 막의 증착은 막내로 흡수될 수 있는 임의의 수분을 배출하려는 경향이 있어 조밀한 막을 초래한다. 조밀한 막으로서, 고온에서 증착된 PSG 막은 막의 추가 조밀화를 위한 부가적 단계를 요구하지 않는다는 장점을 가진다. 증착된 PSG 막의 조밀 특성은 약 1000 ℃ 이상의 온도에서의 순차적 어닐링, 또는 바람직하게 CMP 단계에 의해 평탄화될 수 있는 PMD 층으로서의 사용에 대해 호환성있게 한다. 수분 흡수 저항성에 부가적으로, 고온에서 증착된 PSG 막은 양호한 막 두께 균일도를 제공할 수 있을 뿐만 아니라 차후 소자 문제를 일으킬 수 있는 보이드 또는 약한 균열의 형성없는 양호한 갭 충전을 제공할 수 있다. 고온 PSG막은 특히 소자내에서 이동하여 단락을 초래할 수 있는 나트륨(Na+) 이온과 같은 모빌 이온을 게터링 또는 포획하는데 있어 중요한 양호한 인 혼합(약 2-8 wt % 인 사이의)을 제공할 때 PMD 층으로서 유용하다.
증착 및 증착된 PSG 막의 특성 측정 실험에 대한 상기 설명은 예를 들어 PMD 층으로서 사용하는데 적합함을 증명한다. 그러나 상기 설명은 본 발명의 범위를 제한하는 것은 아니다.
C. 얕은 트렌치 절연에서의 산화물 충전 층을 위한 USG
본 발명의 실시예에 따른 장치와 방법의 동작을 증명하기 위하여, 실험은 얕은 트렌치 절연을 위한 고품질 산화물 충전층으로서 USG 막을 증착하기 위해 수행된다. 고품질 산화물 충전 층으로서의 USG 막의 증착하기 이전에, 웨이퍼는 전형적으로 게이트 전극, 산화물 측벽, 절연 트렌치 등을 형성하는 다중 처리 단계를 거치게 된다. 상기 실험에서, USG 막은 어플라이드 머티어리얼스, 인코포레이티드에 의해 제조된 저항성 가열되는 Giga FILLTM Centura 챔버(약 6 리터의 전체 체적을 가지고 200 ㎜ 웨이퍼를 위해 준비된 밀폐 시스템)에서 증착된다.
상기 실험에서, 예비증착 단계는 웨이퍼상의 충전층으로서 USG 막을 증착하기 이전에 챔버(15)가 원하는 증착 압력에 도달하고 가스/액체 흐름이 안정되도록 수행된다. 물론, 예비증착 단계는 다른 증착 방안에 대해 최적인 아래 설명(단순히 바람직한 특정 실시예인)으로부터 변화될 수 있다고 인식된다. 예비증착 단계는 챔버 벽상의 불필요한 증착을 감소시키고 또한 증착된 막에 대해 균일한 깊이 프로파일을 산출하는데 기여한다. 임의의 예비증착 단계가 발생하기 이전에, 웨이퍼는 다음에 폐쇄되는 진공 록 도어를 통과하여 진공 챔버(15)내의 히터(25)상에 장착된다. 히터(25)는 예비증착 단계, 증착 단계 및 포스트-증착 단계 내내 유지되는 약 550℃의 처리 온도까지 가열된다.
제 1 예비증착 단계에서, 히터(25)는 가스 분배 플레이트(20)로부터 약 600 mil의 위치에 배치된다. 트로틀 밸브가 약 5초 동안 개방되어, 약 7000 sccm 흐름 비율의 헬륨, 및 약 2900 sccm 흐름 비율의 O2가 챔버(15)내로 도입된다. 중성 가스, 헬륨과 O2는 이들의 흐름 비율이 안정하도록 챔버(15)내로 우선 도입된다. 헬륨과 O2의 흐름 비율은 예비증착 단계 내내 유지된다.
제 2 예비증착 단계에서, 트로틀 밸브는 폐쇄되고 챔버(15)내의 압력은 증착 압력으로 증가된다. 제 2 예비증착 단계는 약 40초 이하로 지속되어 원하는 증착 압력 근처에서 초기에 변동될 수 있는 압력이 챔버(15)에서 안정화되도록 한다. 히터(25)는 상기 제 2 예비증착 단계 동안 가스 분배 플레이트(20)로부터 약 300 mil의 처리 위치로 이동된다.
제 3 예비증착 단계에서, 챔버(15)내의 압력이 약 600 torr의 증착 압력에서 안정화되었을 때, 액체 TEOS가 TEOS와 헬륨(또는 질소) 흐름의 안정화를 위해 도입된다. 약 2000 sccm의 TEOS 흐름으로, 기화된 TEOS 가스는 증착 단계 이전에 제 3 예비증착 단계 동안 약 5초 동안 헬륨(또는 질소) 캐리어 가스와 혼합한다.
안정화된 챔버 압력, 온도 및 TEOS/헬륨 캐리어 가스 흐름, 및 조절된 히터(25)의 위치를 가지고, 증착 공정이 개시된다. 증착 단계의 개시에서, O2 흐름은 O3(약 12.5 wt % 산소)이 약 5000 sccm의 흐름 비율로 도입되는 동안 종결된다. 액체인 TEOS 소스는 액체 주입 시스템에 의해 기화되어 불활성 캐리어 가스 헬륨과 조합된다. 이런 혼합물은 가열 유도 회학 반응이 요구된 USG 막을 형성하기 위해 발생하는 반응 가스를 웨이퍼 표면에 공급하기 위해 가스 분배 플레이트(20)로부터 챔버(15)내로 도입된다. 상기 조건은 약 1450Å/분의 속도로 증착되는 USG 막을 초래한다. 증착 시간을 조절함으로써, 약 10000Å의 두께를 가지는 USG 막이 약 414초의 이미 개시된 처리 조건으로 형성된다.
USG 증착 후, 수분 저항성을 제공하기 위해 증착된 USG 막의 안정성을 최적화시키는 정화 단계가 수행된다. 약 3초 동안 지속하는 정화 단계에서, 증착 조건은 유지되는 반면 TEOS 흐름은 종결된다.
USG 증착 단계와 정화 단계 후, 포스트-증착 단계가 챔버 압력의 감소를 제어하고 가스 차단을 제어하기 위해 사용된다. 압력과 가스 차단을 조절함으로써, 포스트-증착 단계는 웨이퍼 오염과 손상을 초래할 수 있는 미립자 형성을 감소시킨다.
특정 실시예에서, 3단계의 포스트-증착 단계가 사용된다. 종결 단계에 바로 이어지는 제 1 포스트-증착 단계에서, 챔버(15)속으로의 캐리어 가스 흐름은 바이패스 밸브를 통한 펌핑에 의해 종결된다. 약 5초 지속되는 제 1 포스트-증착 단계 동안 챔버 압력을 점차 감소시키기 위해 주기적으로 트로틀 밸브가 개방될 때, 히터(25)는 가스 분배 플레이트(20)로부터 약 600 mil 위치로 이동된다. 제 2 포스트-증착 단계에서, 트로틀 밸브는 챔버 압력 감소를 지속하기 위해 주기적으로 개방되고, 플레이트(20)로부터의 O3 흐름은 지속된다. 또한 히터(25)는 약 15초 지속하는 제 2 포스트-증착 단계 동안 플레이트(20)로부터 약 600 mil 위치로 더 낮게 이동된다. 약 3초 지속되는 제 3 포스트-증착 단계에서, 트로틀 밸브는 개방되고 챔버(15)내로의 O3 흐름은 최종 밸브를 통한 펌핑에 의해 종결된다.
얕은 트렌치 절연을 위한 고품질 충전 층으로서 사용되기에 적합한 USG의 증착을 위한 상기 실험 조건은 높은 산출량으로 보다 나은 막 품질을 제공하는데 최적이다. 얕은 트렌치 절연 응용에서, 매우 조밀하고 균일한 막이 되는 것에 부가적으로, 증착된 USG 막은 보이드 없이 갭을(전형적으로 약 85°의 공칭 각도로) 채울 수 있어야 한다. 증착된 USG 막은 양호한 막 두께 균일도를 나타낸다. 특히, 증착된 USG 막의 약 5000 Å 두께에서 막 두께 균일도(49pt., 1σ)는 약 1.5 미만이 되는 것으로 측정된다.
도 26A와 26B는 본 발명의 특정 실시예에 따라, 약 400℃와 약 550℃에서 각각 증착된 TEOS/O3 USG 막의 상대적 갭 충전 능력을 나타내는 현미경 사진이다. 특히, 도 26A는 약 1050℃에서의 리플로우후 약 400℃에서 증착되는 USG 막인 충전 층을 갖는 약 0.35㎛ 폭과 약 0.70㎛ 깊이(약 2:1 종횡비 갭)를 가지는 트렌치 구조를 도시한다. 도 26A는 증착된 USG 막내에, 약 400℃에서 증착된 USG 막은 매우 조밀하지 않고 수축하기 쉽다는 것을 나타내는 큰 보이드를 도시한다. 일부 범위까지 USG 막을 조밀화할 수 있는 약 1000℃ 이상의 온도에서의 리플로우 후에도, 약 400℃의 온도에서 증착된 USG막은 매우 조밀하지 않고 보이드 개방 없이 고온 어닐링 또는 순차적 습식 에칭 처리를 견딜 수 없다. 대조적으로, 도 26B는 약 1050℃에서의 리플로우와 순차적 습식 에칭 처리후 약 550℃에서 증착된 USG 막인 보이드 없는 충전층을 갖는 약 0.18㎛ 폭과 약 0.45㎛ 깊이(약 2.5 : 1 종횡비 갭)를 가지는 트렌치 구조를 도시한다. 약 550℃에서 증착된 USG 막은 도 26A와 26B로부터 알 수 있는 바와 같이 400℃에서 증착된 USG 막과 달리 고종횡비 갭을 보이드 형성 없이 충전할 수 있다. 매우 균일한 에칭 속도에서의 에칭 처리 후, 약 550℃에서 증착된 USG 막은 보이드 개방이 없는 뛰어난 스텝 커버리지를 유지한다.
약 550 ℃에서 증착된 USG 막의 고종횡비의 갭 충전 능력의 또 다른 증거로서, 도 27은 본 발명의 특정 실시예에 따른 약 1000℃에서의 어닐링과 순차적 습식 에칭 처리 후 증착된 USG 막의 갭 충전 능력을 나타내는 현미경 사진이다. 도 27은 약 1000℃의 리플로우 후 약 550℃에서 증착된 USG 막의 충전층을 갖는 약 1.6㎛ 폭과 약 0.48㎛ 깊이(약 3:1 종횡비)를 가지는 트렌치 구조를 도시한다. 도 27의 트렌치 구조는 약 550℃에서 증착된 USG막의 뛰어난 갭 충전 능력을 표시하는 도 26A와 26B에 도시된 트렌치 구조보다 더 작은 간격과 더 높은 종횡비를 가진다.
고종횡비를 위해 뛰어난 갭 충전 능력을 가지는 것 이외에, 약 550 ℃에서 증착된 USG 막은 바람하게 수분 흡수에 높은 저항성을 나타내는 조밀한 막이다. 증착된 USG 막의 수분 흡수는 일반적 FTIR 기술을 사용하여 측정된다. 도 28은 본 발명의 특정 실시예에 따른 다음의 바람직한 처리 조건하에 약 550 ℃에서 증착되는 USG 막의 FTIR 스펙트럼을 도시한다. 특정 실시예에 따르면, 바람직한 공정 조건은 약 600 torr의 압력 및 히터(25)와 가스 분배 플레이트(20) 사이의 약 300 mil의 간격에서 약 2000 mgm의 TEOS 흐름, 약 7000 sccm의 헬륨 흐름, 및 약 5000 sccm의 오존(약 12.5 wt % 산소) 흐름을 포함한다. 도 28에서 알 수 있는 바와 같이, 약 550℃에서 증착된 USG막의 FTIR 스펙트럼은 낮은 수분 흡수(약 1 wt % 습기 미만)로 증명된다. 더욱이, 도 28은 USG 막내에서 약 0.5 wt % 미만의 수분 증기가 증착 후 약 160 시간에 걸쳐 관찰되는 것을 도시하며, 연장된 주기에 걸친 USG 막의 안정성을 표시한다.
따라서, 도 28에 의해 지지된 바와 같이, 증착된 USG 막은 조밀하고 수분 흡수에 저항한다. 고온, 예를 들어 약 550℃에서의 USG 막의 증착은 막에 흡수될 수 있는 대부분의 습기를 배출하려는 경향이 있고 조밀한 막을 초래한다. 조밀한 막으로서, 적어도 약 550℃의 고온에서 증착된 USG 막은 더 낮은 온도에서 증착된 USG 막과 비교할 때 어닐링 단계와 순차적 에칭 처리 후 보이드 형성을 초래할 수 있는 수축을 덜 발생시킨다는 장점을 가진다. 증착된 USG 막의 조밀 특성은 얕은 트렌치 절연 응용에 사용되는 트렌치를 충전하기 위한 고품질 산화층으로서의 사용이 가능하도록 호환성이 있다. 막의 고밀도 때문에, 고온에서 증착되고 산화물 충전층으로서 사용되는 USG막은 보이드의 개방 가능성을 최소화하면서 순차적 어닐링 또는 CMP 단계에 의해 평탄화될 수 있다. 수분 흡수 저항성과 양호한 막 두께 균일성에 부가하여, 고온에서 증착된 USG 막은 차후 소자 문제를 일으킬 수 있는 보이드 또는 약한 균열의 형성이 없는 뛰어난 고종횡비 갭 충전을 제공한다.
일반적으로, 고온 O3/TEOS 막은 패턴 또는 표면 민감도 효과를 나타낼 수 있어 바람직하지 않은 불균일 증착을 초래한다. 불균일 증착을 갖는다는 문제점은 높은 O3/TEOS 비로 악화된다는 것을 알 수 있다. 바람직하게, 고온, 예를 들어 약 550℃에서의 USG 막 증착은 적당한 증착 속도를 달성하기 위하여 더 많은 TEOS의 사용을 요구한다. 따라서 고온에서 증착된 USG막의 O3/TEOS 비율은 낮고(약 5:1 미만), 그 결과 임의의 패턴 또는 표면 민감도 효과를 제거한다. 더욱이, 막 품질(예를 들면, 밀도, 수축 등)은 고온에서 증착된 USG 막에 대해 높다. 이런 고온 증착 USG 막의 고밀도 때문에, 플라즈마 치밀화 공정 또는 플라즈마 산화물 캡이 요구되지 않고, 그 결과 웨이퍼에 대한 플라즈마 손상을 방지한다. 따라서, 챔버내의 이런 처리에서의 플라즈마 결핍은 금속 오염과 웨이퍼내에 있는 소자의 잠재적 단락을 감소시킨다. 플라즈마 치밀화 공정 또는 플라즈마 산화물 캡을 종종 요구하여 어닐링 후 보이드를 개방하여 수축할 수 있는 저온 열 USG 막에 비교할 때, 약 550℃의 온도에서 증착된 열 USG 막은 본 발명에 따라 플라즈마 손상 없이 뛰어난 갭 충전 능력, 최소 수축, 및 균일한 막 밀도, 낮은 금속 오염을 나타낸다.
상기 실험의 설명은 예로서 얕은 트렌치 절연을 위해 고종횡비 트렌치를 충전하는 고품질 산화물층으로서 증착된 USG 막이 사용하기에 적합하다는 것을 증명한다. 또한 동일한 CVD 장치는 IMD 응용을 위한 500 ℃ 보다 더 낮은 온도에서 USG 막을 증착하는데 사용될 것이다. 물론, 설명은 본 발명의 범위를 한정하는 것으로 고려해서는 안된다.
상기 설명은 예시적이며, 한정적이 아니라고 이해되어야 한다. 많은 실시예가 상기 설명을 검토할 때 종래 기술에 종사하는 당업자에게 나타날 것이다. 예로서, 본 발명은 여기에서 주로 USG, BSG, PSG 및 BPSG 처리 개념에 관련하여 설명되었지만, 이들은 제한되지 않는다. 예를 들면, 본 발명에 따라 형성된 유전체막은 비소 도핑된 실리콘 산화막, 또는 다른 도핑된 막일 수 있다. 다른 예로서, 유전체막의 증착은 헬륨과 같은 캐리어 가스를 사용하여 설명되지만, 아르곤 또는 질소와 같은 다른 캐리어 가스가 물론 사용될 것이다. 또 다른 예로서, 유전체층은 도핑된 접합부 형성, PMD층, IMD층, 산화물 충전층, 캡핑층 등을 포함하는 특별한 응용에 대해 기술된다. 물론, 이미 개시된 상기 동일한 CVD 장치는 약 400℃ 보다 더 낮은 온도와 500℃ 이상의 온도에서 유전체층을 증착하는데 사용될 수 있다.
또한 본 발명의 다양한 면들은 다른 분야에서 사용될 수 있다. 당업자는 본 발명의 청구항 범주내에서 유전체층을 증착하는 다른 등가의 또는 선택적 방법을 사용할 수 있다.
이상에서는 본 발명의 양호한 일 실시예에 따라 본 발명이 설명되었지만, 첨부된 청구 범위에 의해 한정되는 바와 같은 본 발명의 사상을 일탈하지 않는 범위 내에서 다양한 변형이 가능함은 본 발명이 속하는 기술 분야의 당업자에게는 명백하다.
본 발명의 실시에 의해, 고종횡비의 충전성이 우수하고 전기적 특성이 개선되며, 조밀하고 안정적인 유전체막을 얻을 수 있다.
도 1A는 본 발명에 따른 CVD 장치의 수직 단면도.
도 1B는 다중챔버 시스템에서 시스템 모니터 및 CVD 장치(10)의 간략화된 다이어그램.
도 1C는 클린룸에 배치된 가스 공급 패널(80)에 관련한 CVD 장치(1)의 일반적인 개요를 도시한 도.
도 1D는 특정 실시예에 따른 시스템 제어 소프트웨어, 컴퓨터 프로그램(150)의 계층적 제어 구조의 블록 다이어그램.
도 1E는 예시적인 히터 제어 서브루틴의 블록 다이어그램.
도 2는 본 발명에 따른 CVD 장치(10)의 바람직한 실시예의 분해도.
도 3은 도 2의 라인 3-3을 따라 얻어진 부분적으로 개략적인 수직 단면도.
도 4는 도 2 장치의 반도체 처리 챔버의 확대 단면도.
도 5는 도 2의 장치에 대한 가스 분배 시스템의 분해도.
도 6A는 가스 분배 시스템 부분을 도시하는 CVD 장치(10)의 리드 어셈블리의 상부 부분적 단면도.
도 6B 및 도 6C는 세척 가스에 대한 바이패스 도관에 통합되는 CVD 장치(10)에 대한 다른 리드 어셈블리의 정단면도 및 평면도.
도 7A 및 도 7B는 각각 본 발명의 실시예에 따른 챔버 라이너(liner)의 측면 단면도 및 하부도.
도 8은 도 2 CVD 장치(10)의 배기 시스템에서 펌핑 채널 및 가스 흐름 패턴을 도시하는 라인 8-8을 따라 취해진 도 3의 부분 개략, 단면도.
도 9는 본 발명의 실시예에 따른 히터/리프트 어셈블리의 부분적인 개략적 수직 단면도.
도 10은 도 9의 히터/리프트 어셈블리의 하부 부분 확대 단면도.
도 11은 본 발명의 실시예에 따라 도 9 어셈블리의 페데스탈/히터 측단면도.
도 12는 히터 코일을 도시하는 페데스탈/히터의 하부도.
도 13은 도 9의 히터/리프트 어셈블리의 분해도.
도 14는 도 10의 페데스탈/히터내의 전기적 접속부중 하나의 확대도.
도 15A 및 도 15B는 각각 열전쌍을 수용하기 위한 페데스탈/히터내의 홀을 도시한 도.
도 16은 본 발명의 실시예에 따라 웨이퍼 및/또는 처리 챔버를 세척하기 위한 원격 마이크로파 플라즈마 시스템의 간략화된 다이어그램.
도 17A-17D는 본 발명의 실시예에 따른 세척 엔드포인트 검출 시스템의 간략화된 단면도.
도 18은 본 발명의 실시예에 따라 제조된 반도체 장치의 간략화된 단면도.
도 19A-19E는 극도로 얇은 소스/드레인 접합부에 대한 본 발명의 방법 및 장치의 예시적 응용의 간략화된 단면도.
도 20A-20G는 극도로 얇은 트렌치 절연에 대한 본 발명의 방법 및 장치의 다른 예시적 응용의 간략화된 단면도.
도 21은 본 발명의 특정 실시예에 따라 원격 마이크로파 플라즈마 시스템(55)이 제공되어 최적의 세척 속도를 제공하는 NF3 흐름 및 마이크로파 포화 전력 사이의 관계를 도시한 도.
도 22A-22C는 본 발명의 실시예에 따라 형성된 캡핑 BSG 막을 사용하여 형성된 극도로 얕은 접합의 도펀트 프로파일을 도시하는 실험 결과를 도시한 그래프.
도 23A-23F는 본 발명의 다른 실시예에 따라 다른 캡핑된 BSG 막을 사용하여 형성된 극도로 얕은 접합부의 도펀트 프로파일 및 시트 저항을 도시하는 다른 실험적인 결과를 도시한 그래프.
도 24A는 본 발명의 특정 실시예에 따라 600℃에서 증착된 PSG 막의 증착직후(as-deposited) 충전 능력을 나타내는 현미경 사진.
도 24B는 도 24A에 도시된 구조의 간략화된 단면도.
도 25는 특정 실시예에 따라 예시적인 처리 조건하에서 약 600℃에서 증착된 PSG 막의 FTIP 스펙트럼을 나타내는 도면.
도 26A 및 도 26B는 각각 본 발명의 특정 실시예에 따라, 약 1050℃에서의 가열 및 순차적인 습식 에칭 처리후, 약 400℃ 및 약 550℃에서 증착된 TEOS/O3 USG 막의 상대적 갭 충전 능력을 도시한 현미경 사진.
도 27은 본 발명의 특정 실시예에 따라, 약 1000℃에서의 가열 및 순차적인 습식 에칭 처리후, 약 550℃에서 증착된 USG 막의 갭 충전 능력을 나타내는 현미경 사진.
도 28은 특정 실시예에 따라, 예시적인 처리 조건하에서 약 550℃에서 증착된 USG 막의 FTIP 스펙트럼을 도시한 도.
*도면의 주요 부분에 대한 부호의 설명*
10 : CVD 장치 15 : 진공 챔버
16 : 가스 반응 영역 20 : 가스 분배 플레이트
30 : 히터/리프트 어셈블리 35 : 챔버 라이너
43 : 가스 공급 라이너 47 : 세척 가스 도관
50 : 처리기 55 : 원격 마이크로파 플라즈마 시스템
70 : 메모리 93 : 가스 혼합 시스템
153 : 공정 선택기 루틴 155 : 공정 시퀀서 서브루틴

Claims (10)

  1. 챔버내 가열기상의 기판상에 절연층을 증착하는 방법으로서,
    상기 챔버에서 적어도 500℃의 온도로 상기 가열기를 가열하는 단계;
    상기 챔버에 10-760 토르 사이의 압력을 가하는 단계; 및
    상기 온도로 상기 가열기상의 상기 기판상에 포스포실리케이트 글래스(PSG) 막을 증착하기 위하여 상기 챔버에 실리콘, 산소, 및 인을 도입하는 단계를 포함하는 증착 방법.
  2. 제 1항에 있어서, 상기 온도는 적어도 600℃인 것을 특징으로 하는 증착 방법.
  3. 제 1항에 있어서, 상기 온도는 적어도 650℃인 것을 특징으로 하는 증착 방법.
  4. 제 1항에 있어서, 상기 온도는 적어도 750℃인 것을 특징으로 하는 증착 방법.
  5. 제 4항에 있어서, 상기 도입 단계는 1-단계 공정이며, 상기 PSG 막의 증착 및 리플로우가 실질적으로 동시에 발생하는 것을 특징으로 하는 증착 방법.
  6. 제 4 항에 있어서, 상기 도입 단계는 다단계 공정이며, 상기 증착 및 리플로우가 상기 챔버에서 다른 시간에 발생하는 것을 특징으로 하는 증착 방법.
  7. 제 1 항에 있어서, 상기 PSG 막은 금속전 유전체층을 형성하는데 사용된 것을 특징으로 하는 증착 방법.
  8. 제 7항에 있어서, 상기 PSG 막의 조밀화 처리를 더 포함하는 것을 특징으로 하는 증착 방법.
  9. 반도체 기판상에 형성된 집적 회로로서,
    상기 기판에 형성된 다수의 능동 소자;
    상기 기판상에 형성된 적어도 하나의 금속층; 및
    상기 금속층과 상기 기판 사이에 형성된 적어도 하나의 절연층을 포함하고, 상기 절연층은 상기 금속층의 선택된 부분을 상기 기판의 선택된 부분에 전기적으로 접속하기 위하여 전기적으로 전도성 재료로 충전된 다수의 패턴화된 홀을 가지며, 2-8 중량 퍼센트 인을 포함하는 PSG 막을 포함하고, 상기 PSG 막은 적어도 약 550℃의 온도에서 증착되는 집적회로.
  10. 제 9 항에 있어서, 상기 적어도 하나의 절연층은 금속전 유전체 층인 것을 특징으로 하는 집적회로.
KR1019970059590A 1996-11-13 1997-11-13 대기압 이하의 고온 조건에서 금속전 유전체층을 증착하기 위한 방법 및 장치 KR100538138B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/748,960 US5963840A (en) 1996-11-13 1996-11-13 Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US08/748,960 1996-11-13
US8/748,960 1996-11-13

Publications (2)

Publication Number Publication Date
KR19980042361A KR19980042361A (ko) 1998-08-17
KR100538138B1 true KR100538138B1 (ko) 2006-12-07

Family

ID=25011639

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970059590A KR100538138B1 (ko) 1996-11-13 1997-11-13 대기압 이하의 고온 조건에서 금속전 유전체층을 증착하기 위한 방법 및 장치

Country Status (4)

Country Link
US (2) US5963840A (ko)
JP (1) JPH10163184A (ko)
KR (1) KR100538138B1 (ko)
TW (1) TW438903B (ko)

Families Citing this family (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0904562A1 (en) * 1997-03-17 1999-03-31 Koninklijke Philips Electronics N.V. Optical switching device
KR100560049B1 (ko) * 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US6214698B1 (en) * 2000-01-11 2001-04-10 Taiwan Semiconductor Manufacturing Company Shallow trench isolation methods employing gap filling doped silicon oxide dielectric layer
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6802906B2 (en) * 2000-07-21 2004-10-12 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6258735B1 (en) 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
TW522292B (en) * 2001-02-06 2003-03-01 Asml Us Inc Inertial temperature control system and method
US6864466B2 (en) 2001-03-08 2005-03-08 Aviza Technology, Inc. System and method to control radial delta temperature
CN1459017A (zh) * 2001-03-08 2003-11-26 Asml美国公司 控制径向增量温度的系统和方法
WO2002079400A2 (en) * 2001-03-12 2002-10-10 Novozymes Biotech, Inc. Methods for isolating genes from microorganisms
JP4110504B2 (ja) * 2001-03-13 2008-07-02 株式会社安川電機 真空用モータ
US6472333B2 (en) * 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6600138B2 (en) 2001-04-17 2003-07-29 Mattson Technology, Inc. Rapid thermal processing system for integrated circuits
US6707011B2 (en) 2001-04-17 2004-03-16 Mattson Technology, Inc. Rapid thermal processing system for integrated circuits
US6516814B2 (en) * 2001-05-03 2003-02-11 Silicon Integrated Systems Corp. Method of rapid prevention of particle pollution in pre-clean chambers
JP2002343962A (ja) 2001-05-15 2002-11-29 Hitachi Ltd 半導体集積回路装置およびその製造方法
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
JP3857623B2 (ja) * 2001-08-07 2006-12-13 株式会社日立国際電気 温度制御方法及び半導体装置の製造方法
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6541399B1 (en) * 2001-10-01 2003-04-01 Taiwan Semiconductor Manufacturing Company SABPSG process real temperature monitor
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US7445382B2 (en) * 2001-12-26 2008-11-04 Mattson Technology Canada, Inc. Temperature measurement and heat-treating methods and system
US7556048B2 (en) * 2002-11-15 2009-07-07 Agere Systems Inc. In-situ removal of surface impurities prior to arsenic-doped polysilicon deposition in the fabrication of a heterojunction bipolar transistor
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US7062141B2 (en) * 2002-12-12 2006-06-13 Applied Materials, Inc. Deposition of thick BPSG layers as upper and lower cladding for optoelectronics applications
JP4988202B2 (ja) 2002-12-20 2012-08-01 マトソン テクノロジー カナダ インコーポレイテッド 工作物の支持及び熱処理の方法とシステム
JP2004214610A (ja) * 2002-12-20 2004-07-29 Renesas Technology Corp 半導体装置の製造方法
US7139641B2 (en) * 2003-03-27 2006-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer protection system
KR100583104B1 (ko) * 2003-04-11 2006-05-23 주식회사 하이닉스반도체 반도체소자의 콘택홀 형성방법
US20050011459A1 (en) * 2003-07-15 2005-01-20 Heng Liu Chemical vapor deposition reactor
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US7199064B2 (en) * 2003-09-08 2007-04-03 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
JP5630935B2 (ja) * 2003-12-19 2014-11-26 マトソン テクノロジー、インコーポレイテッド 工作物の熱誘起運動を抑制する機器及び装置
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US20060075970A1 (en) 2004-10-13 2006-04-13 Guenther Rolf A Heated substrate support and method of fabricating same
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
JP3984638B2 (ja) * 2005-03-30 2007-10-03 松下電器産業株式会社 伝送線路対及び伝送線路群
JPWO2007004258A1 (ja) * 2005-06-30 2009-01-22 スパンション エルエルシー 半導体装置、およびその製造方法
US20070224840A1 (en) * 2006-03-21 2007-09-27 Varian Semiconductor Equipment Associates, Inc. Method of Plasma Processing with In-Situ Monitoring and Process Parameter Tuning
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
JP2008060532A (ja) * 2006-08-04 2008-03-13 Seiko Epson Corp 半導体装置
JP5967859B2 (ja) * 2006-11-15 2016-08-10 マトソン テクノロジー、インコーポレイテッド 熱処理中の被加工物を支持するシステムおよび方法
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
JP2009054871A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
US8476742B2 (en) * 2008-02-28 2013-07-02 Hewlett-Packard Development Company, L.P. Fluid ejection device comprising substrate contact via
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US9070590B2 (en) 2008-05-16 2015-06-30 Mattson Technology, Inc. Workpiece breakage prevention method and apparatus
KR101006848B1 (ko) * 2008-05-28 2011-01-14 주식회사 코미코 기판 지지 장치 및 이를 포함하는 기판 처리 장치
EP2422359A4 (en) * 2009-04-20 2013-07-03 Applied Materials Inc REINFORCED ABSORPTION OF RESTFLUORRADIKALEN WITH THE HELP OF A SILICONE COATING ON PROCESS CHAMBER WALLS
EP2485565A4 (en) * 2009-09-29 2013-05-15 Panasonic Corp HIGH FREQUENCY HEATING DEVICE AND HIGH FREQUENCY HEATING METHOD
JP4995351B2 (ja) * 2009-12-09 2012-08-08 パナソニック株式会社 高周波加熱装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
US10276410B2 (en) * 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN105408046B (zh) * 2013-07-23 2017-07-21 千住金属工业株式会社 软钎焊装置和真空软钎焊方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
WO2016061281A1 (en) * 2014-10-14 2016-04-21 Sentinel Global Product Solutions, Inc. Co2 generator and controller
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11139196B2 (en) 2017-10-12 2021-10-05 Asml Netherlands B.V. Substrate holder for use in a lithographic apparatus
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
RU2680115C1 (ru) * 2017-11-13 2019-02-15 Публичное акционерное общество "Уфимское моторостроительное производственное объединение" (ПАО "ОДК-УМПО") Способ нанесения покрытия на лопатки газотурбинного двигателя
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10822699B2 (en) 2017-12-29 2020-11-03 Varian Semiconductor Equipment Associates, Inc. Techniques for controlling precursors in chemical deposition processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10896833B2 (en) 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
JP6913060B2 (ja) * 2018-07-24 2021-08-04 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11257753B2 (en) * 2020-01-21 2022-02-22 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and method for manufacturing the interconnect structure
CN115354292B (zh) * 2022-08-15 2023-10-31 埃克斯工业(广东)有限公司 基于ropn技术的薄膜沉积设备的控制方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4392298A (en) * 1981-07-27 1983-07-12 Bell Telephone Laboratories, Incorporated Integrated circuit device connection process
US4489481A (en) * 1982-09-20 1984-12-25 Texas Instruments Incorporated Insulator and metallization method for VLSI devices with anisotropically-etched contact holes
JPS6232629A (ja) * 1985-08-05 1987-02-12 Fujitsu Ltd 半導体装置の製造方法
JPS62154643A (ja) * 1985-12-26 1987-07-09 Matsushita Electronics Corp 半導体装置の製造方法
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5104482A (en) * 1989-02-21 1992-04-14 Lam Research Corporation Simultaneous glass deposition and viscoelastic flow process
JPH07249683A (ja) * 1993-10-12 1995-09-26 Texas Instr Inc <Ti> 低温リフロー用非均一複合ドープ膜およびその形成方法
US5484749A (en) * 1990-08-10 1996-01-16 Alcan-Tech Co., Inc. Manufacturing method of semiconductor device

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3935117A (en) 1970-08-25 1976-01-27 Fuji Photo Film Co., Ltd. Photosensitive etching composition
US4191603A (en) 1978-05-01 1980-03-04 International Business Machines Corporation Making semiconductor structure with improved phosphosilicate glass isolation
JPS6037129A (ja) * 1983-08-10 1985-02-26 Hitachi Ltd 半導体製造装置
US4582745A (en) 1984-01-17 1986-04-15 Rca Corporation Dielectric layers in multilayer refractory metallization structure
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4818335A (en) * 1988-05-13 1989-04-04 The United States Of America As Represented By The Director Of The National Security Agency Tapered wet etching of contacts using a trilayer silox structure
EP1465248A1 (en) * 1990-03-08 2004-10-06 Fujitsu Limited Layer structure having contact hole and method of producing the same
EP0447155B1 (en) * 1990-03-12 1995-07-26 Ngk Insulators, Ltd. Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters
US5525534A (en) * 1992-03-13 1996-06-11 Fujitsu Limited Method of producing a semiconductor device using a reticle having a polygonal shaped hole
JPH05326112A (ja) * 1992-05-21 1993-12-10 Shin Etsu Chem Co Ltd 複層セラミックスヒーター
JP2734961B2 (ja) 1993-05-24 1998-04-02 日本電気株式会社 電界効果型トランジスタとその製造方法
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP2699845B2 (ja) 1993-12-22 1998-01-19 日本電気株式会社 半導体装置の製造方法
US5660680A (en) * 1994-03-07 1997-08-26 The Regents Of The University Of California Method for fabrication of high vertical aspect ratio thin film structures
JP3007789B2 (ja) 1994-04-25 2000-02-07 松下電子工業株式会社 半導体装置およびその製造方法
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5494854A (en) 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5674773A (en) * 1996-03-15 1997-10-07 Vanguard International Semiconductor Corporation Method for planarizing high step-height integrated circuit structures
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5862057A (en) * 1996-09-06 1999-01-19 Applied Materials, Inc. Method and apparatus for tuning a process recipe to target dopant concentrations in a doped layer
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4392298A (en) * 1981-07-27 1983-07-12 Bell Telephone Laboratories, Incorporated Integrated circuit device connection process
US4489481A (en) * 1982-09-20 1984-12-25 Texas Instruments Incorporated Insulator and metallization method for VLSI devices with anisotropically-etched contact holes
JPS6232629A (ja) * 1985-08-05 1987-02-12 Fujitsu Ltd 半導体装置の製造方法
JPS62154643A (ja) * 1985-12-26 1987-07-09 Matsushita Electronics Corp 半導体装置の製造方法
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5104482A (en) * 1989-02-21 1992-04-14 Lam Research Corporation Simultaneous glass deposition and viscoelastic flow process
US5484749A (en) * 1990-08-10 1996-01-16 Alcan-Tech Co., Inc. Manufacturing method of semiconductor device
JPH07249683A (ja) * 1993-10-12 1995-09-26 Texas Instr Inc <Ti> 低温リフロー用非均一複合ドープ膜およびその形成方法

Also Published As

Publication number Publication date
KR19980042361A (ko) 1998-08-17
JPH10163184A (ja) 1998-06-19
US6348099B1 (en) 2002-02-19
US5963840A (en) 1999-10-05
TW438903B (en) 2001-06-07

Similar Documents

Publication Publication Date Title
KR100538138B1 (ko) 대기압 이하의 고온 조건에서 금속전 유전체층을 증착하기 위한 방법 및 장치
KR100550421B1 (ko) 얕은트렌치절연방법및장치
KR100550422B1 (ko) 도핑된실리콘산화물막을사용하여극도로얕은도핑영역을형성하는방법및장치
KR100470796B1 (ko) 하부장착된원격플라즈마시스템을갖는기판처리용장치
KR100538137B1 (ko) 고온처리챔버용덮개어셈블리
KR100472108B1 (ko) 기판처리시스템의표면세척을위한방법및그장치
US5935340A (en) Method and apparatus for gettering fluorine from chamber material surfaces
US5939831A (en) Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6444037B1 (en) Chamber liner for high temperature processing chamber
US6645303B2 (en) Heater/lift assembly for high temperature processing chamber
US5879574A (en) Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US5968587A (en) Systems and methods for controlling the temperature of a vapor deposition apparatus
US6347636B1 (en) Methods and apparatus for gettering fluorine from chamber material surfaces
KR100538136B1 (ko) 반도체웨이퍼의고온처리를위한시스템및그방법
KR100726517B1 (ko) 고밀도 플라즈마 반응기에서 실리콘 질화물의 인시튜 증착 및 집적화
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
US6436303B1 (en) Film removal employing a remote plasma source
KR20010098585A (ko) 구리 다마신 집적회로를 위한 고밀도플라즈마-플루오르화규산염 유리 공정

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20111129

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20121129

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee