KR100430465B1 - 플라즈마를발생하기위한방법및장치 - Google Patents

플라즈마를발생하기위한방법및장치 Download PDF

Info

Publication number
KR100430465B1
KR100430465B1 KR1019960053591A KR19960053591A KR100430465B1 KR 100430465 B1 KR100430465 B1 KR 100430465B1 KR 1019960053591 A KR1019960053591 A KR 1019960053591A KR 19960053591 A KR19960053591 A KR 19960053591A KR 100430465 B1 KR100430465 B1 KR 100430465B1
Authority
KR
South Korea
Prior art keywords
plasma
coil
chamber
shield
antenna
Prior art date
Application number
KR1019960053591A
Other languages
English (en)
Other versions
KR970030455A (ko
Inventor
라아이메이커스 아이보
오. 스팀슨 브레들리
포스터 존
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR970030455A publication Critical patent/KR970030455A/ko
Application granted granted Critical
Publication of KR100430465B1 publication Critical patent/KR100430465B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

본 발명은 플라즈마에 전자기 에너지를 유도적으로 결합함으로써 플라즈마를 발생하기 위한 방법 및 장치에 관한 것이다. 한 실시예에서, 제 1 및 제 2 안테나 코일은 플라즈마 오염 영역의 원주 주변에 배치된다. 제 1 및 제 2 안테나 코일은 플라즈마 오염 영역의 세로 축을 따라서 상대적으로 공간이 형성된다. 전류는 제 1 및 제 2 안테나 코일에서 발생된다. 위상 이동 조정 네트워크는 제 1 안테나의 전류 및 제 2 안테나의 전류의 위상 차이를 설정한다. 위상 차이는 플라즈마에서 나선형 파를 방출하기 위하여 요구된 위상 차이에 상응한다. 제 2 실시예에서, 챔버 차폐물은 도전 물질로 만들어지고 상기 차폐물이 RF 안테나로서의 기능을 하도록 RF 소스에 결합된다. 상기 차폐물은 결과적인 플럭스 밀도를 증가하기 위하여 상기 차폐물 주위를 둘러싸는 코일에 직렬로 결합될 수 있다.

Description

플라즈마를 발생하기 위한 방법 및 장치
본 발명은 플라즈마 발생기에 관한 것이고, 특히 반도체 장치 제조에서 플라즈마를 발생하기 위한 방법 및 장치에 관한 것이다.
저압 무선 주파수로 발생된 플라즈마는 표면 처리, 증착 및 에칭 처리를 포함하는 다양한 반도체 장치 제조 과정에서 이용될 수 있는 활성화된 이온 및 원자의 통상적인 소스가 되었다. 예를 들면 스퍼터 증착 과정을 사용하여 반도체 웨이퍼 상에 물질을 증착하기 위하여, 플라즈마는 음으로 바이어스된 스퍼터 물질의 부근에서 생성되어 진다. 플라즈마 내에 발생된 이온은 타겟으로부터 "스퍼터" 물질을 스퍼터하기 위하여 타겟 표면에 충격을 가한다. 상기 스퍼터된 물질은 반도체 웨이퍼의 표면에 전달되어 증착된다.
타겟으로부터 가판으로의 직선 통로로 이동하는 경향이 있는 스퍼터된 물질은 기판 표면에 경사진 각으로 증착된다. 결과적으로, 높은 종횡비를 갖는 반도체 장치의 에칭된 트랜치 및 홀에 층착된 물질은 증착 층에 바람직하지 못한 구멍을 형성하고 연결될 수 있다. 이러한 구멍을 방지하기 위하여, 스퍼트된 물질은 기판을 음으로 전하를 대전시킴으로써 타겟 및 기판 사이의 수직 통로로 "교정"될 수 있고, 만약 스퍼터된 물질이 플라즈마에 의해 실질적으로 이온화된다면 기판에 인접한 교정된 전기장을 적절히 수직적으로 발생함으로써 배치할 수 있다. 그러나,낮은 밀도 플라즈마에 의해 스퍼터된 물질은 다수의 구멍 형성을 피하기에 충분하지 않는 1%보다 적은 정도의 이온화를 갖는다. 따라서, 증착 층의 원하지 않는 구멍의 형성 정도를 줄이기 위하여, 스퍼트된 물질의 이온화율을 증가시키기 위한 플라즈마 밀도를 증가시키는 것이 바람직하다. 여기에 사용된 바와 같이, 용어 "밀도 플라즈마"는 높은 전자 및 이온 밀도를 갖는 플라즈마를 말한다.
용량성 결합, 유도성 결합, 파 가열(wave heating)을 포함하는 RF 필드에서 플라즈마를 여기시키기 위한 몇몇 공지된 기술이 있다. 표준적으로 유도성 결합 플라즈마(ICP) 발생기에서, 플라즈마 주위의 코일을 통하여 통과하는 RF 전류는 플라즈마에서 전자기적인 전류를 유도시킨다. 상기 전류는 전류가 안정된 상태로 유지하도록 저항 가열(ohmic heating)에 의해 전도성 플라즈마를 가열한다. 미합중국 특허 제 4,362,632 호에 기술된 바와 같이, 상기 코일이 변환기의 제 1 권선으로서의 역할을 하도록, 코일을 통과하는 전류는 임피던스 매칭 네트워크를 통하여 코일에 결합된 RF 발생기에 의해 결합된 RF 발생기에 의해 공급된다. 상기 플라즈마는 변환기의 단일 회선 제 2차 권선으로서의 역할을 한다.
플라즈마 방전을 형성하기 위한 상기 공지된 장치는 여러 가지 단점을 갖는다. 특히, 플라즈마의 내부가 플라즈마 외부 에지보다 적은 전원을 실질적으로 흡수하도록, 플라즈마의 전원 흡수는 플라즈마의 외부 에지로부터 약간의 표피 깊이(전형적으로 수 cm)의 영역에 일반적으로 제한된다. 그 결과, 플라즈마 여기는 비균일 에칭 또는 비균일 증착을 야기시키는 비균일성이다.
미합중국 특허 제 4,362,632 호에서 도시된 바와 같이, 나선형 코일을 사용하는 종래의 유도성 결합 플라즈마(ICP) 발생기에서, 코일 안테나의 각 권선으로부터 방출되는 전자기 에너지는 동일 위상이다. 또는 전자기장은 실질적으로 순수한 유도성 모드의 플라즈마로 결합된다. 밀도는 일반적으로 ≤1011-1012cm-3으로 제한된다.
이와 대조적으로, 파 가열(나선형 및 ECR 방전)을 사용하여 여기된 플라즈마는 수 1013cm-3만큼의 높은 밀도로 여기될 수 있고, 따라서 보다 높은 플라즈마 밀도를 요구하는 곳에서는 파 가열이 바람직하다. 이러한 나선형 파(helicon wave)는 방전영역 전체에서 더 균일하게 흡수된다. 나선형 파는 적절히 제조된 안테나에 의하여 약하게 자기화된(일반적으로 B<500가우스) 플라즈마에서 여기될 수 있다. 가장 단순한 형태에서, 상기 나선형 m=0 모드는 각 권선의 전류가 반대 방향인 2개의 코일 권선에 의해 여기될 수 있다.
고밀도 플라즈마를 발생하기 위하여 나선형 파를 이용하는 공지된 장치의 예는 캄벨 등의 미합중국 특허 제 4,990,229호에 공지되어 있다. 상기 미합중국 특허 제 4,990,229 호는 플라즈마의 효율적인 발생은 사용된 안테나 구성에 따라서 좌우되는 것을 기술한다. 다른 말로 하면, 나선형 파의 커플링 최대로 하기 위하여, 매우 특별하고 때때로 복잡하고 매우 큰 안테나 구성이 종종 필요하다 미합중국 특허 제 4,990,229 호의 제 2도는 m=0인 나선형 모드에 사용된 2개의 루프 안테나를 기술한다. 그것은 2개의 루프 사이의 거리가 m=0 나선형 분산 관계로 매칭하도록 아래의 공식으로 조정된다.
나선형 파의 효율적인 결합을 위한 안테나 루프 사이의 특정 상태(ω, n0, B0) 거리 L은 이러한 분산 관계에 의해 고정되어 있다. Kz<<3, 83/a의 근사치에서,식(1)은 아래와 같이 다시 기록할 수 있다.
전형적인 상태 하나(B0/n0=5x10-10, f=13. 6MHz, a=15cm)는 λz=75cm이다. 이것은 2개의 루프 사이의 거리가 m=0 나선형 모드에서의 충분한 커플링을 위해서 약 40cm로 제한된다는 것을 의미한다. 이것은 종횡비가 동일한 반응기를 나타낼 것이다. TFT 유리 또는 실리콘 웨이퍼와 같은 큰 크기의 기판에 대해, 이것은 보다 큰 반응기 부피로 나타낼 것이다. 또한, 웨이퍼 대 타겟의 공간은 웨이퍼 상의 일정한 박막을 만들기에 더 어렵게 만드는 챔버 직경과 동일하게 된다.
나선형 파를 방출하는데 필요한 전자기 장을 설정하기 위하여, 다른 기하학적으로 복잡한 안테나 구조의 예가 미합중국 특허 제 4,990,229 호의 제 3도 및 제 5도에 기술되어 있다. 나선형 파장 및 결합 효율성에 영향을 끼치는 대부분 다른 변수는 다른 것들에 의해 고정되었기 때문에 그러한 복잡하고 종종 큰 기하학적 구조는 그러한 선형기술 시스템에 필요한 것으로 믿어진다. 안테나 기하학적 구조는 적절한 전자기 장을 형성하기 위하여 다소 더 쉽게 변형될 수 있는 적은 변수 중의 하나이다. 전자 가스 및 가요성 기하학적 구조에 파 에너지의 효율적인 결합을 실행하기 위하여, 그것은 kz또는 λz에 대해 독립적인 제어를 갖는 것이 바람직할 것이다.
미합중국 특허 제 5,146,137호는 나선형 파를 사용하여 플라즈마의 발생에대한 다양한 장치를 기술한다. 상기 파장은 플라즈마를 포함하는 석영 챔버 주위에 4개 이상의 플레이트 모양의 전극을 사용한 하나의 장치에서 발생된다. 상기 전극은 90°의 위상 회전을 갖는 고 주파수 용량성 결합 전압을 만들기 위하여 위상 이동을 통하여 전압 소스에 결합된다. 다른 장치에서, 환성면체(toroid-shape)의 코일은 전자기 에너지를 챔버에 유도적으로 결합하기 위하여 전압 소스에 결합된다. 상기 챔버 벽의 도전성 때문에, 그것은 도전성 챔버 벽은 안테나로부터 방출되는 전자기 에너지를 차단하거나 실질적으로 감쇄되기 때문에 챔버 자체 내의 안테나 코일 및 전극을 배치하기 위하여 종종 필요하다. 그 결과, 상기 코일은 증착 플럭스 및 에너지 플라즈마 입자에 직접적으로 노출될 수 있다. 이것은 웨이퍼 상에 증착된 필름의 잠정적인 오염 소스이고 바람직하지 않다. 이러한 코일을 보호하기 위하여, 차폐물은 세라믹과 같은 비도전성 물질로부터 만들어질 수 있다. 그러나 많은 증착 과정은 제조된 전기적인 장치 상에 알루미늄과 같은 도전성 물질의 증착을 포함한다. 도전 물질이 세라믹 물질을 코팅할 것이기 때문에, 그것은 곧 도전성이 될 것이고, 그래서 플라즈마로 전자기 방사선의 침투가 감쇄된다.
본 발명의 목적은 상기 언급한 단점을 제거하는 챔버내에서 플라즈마를 발생하기 위한 개선된 방법 및 장치를 제공하는 것이다.
본 발명의 한 측면에 따른 다른 목적 및 장점은 플라즈마 발생 영역의 원주 주위의 제 1 안테나 코일로부터의 자기화된 플라즈마로 전자기 에너지를 유도적으로 결합하고, 플라즈마 발생 영역의 원주 주위의 제 2분리 안테나 코일로부터 플라즈마로 전자기 에너지를 유도적으로 결합하는 플라즈마 발생 장치를 포함하고, 상기 제 1 및 제 2 코일(에 결합된 전압 또는) 통과 전류는 1/4π에서 1 3/4π사이의 소정의 위상 차이를 갖는다. 적절한 세팅하에서, 2개의 안테나 코일에 의해 발생된 전자기장의 이러한 위상 차이는 자기화된 플라즈마의 나선형 파(helicon wave)를 방출할 수 있다. 그러한 장치는 다수의 장점을 갖고 있다. 예를 들면, 아래에 좀더 상세히 설명된 바와 같이 이러한 플라즈마 발생 장치는 플라즈마 발생기에 대하여 안테나 설계가 실질적으로 단순하고 낮은 종횡비를 갖도록 한다. 더 특히 챔버 차폐물 그 자체는 시스템 설계 그 자체를 단순화하기 위하여 플라즈마 발생기에 대해 안테나로서 사용될 수 있다.
본 발명의 다른 측면에서, 상기 제 1 및 제 2 코일 전류의 위상 차이는 위상 이동 네트워크에 의해 전기적으로 가변될 수 있다. 결과적으로, 상기 챔버는 증착 효율, 에칭율 및 증착율 균일성과 같은 요소를 최적화하기 위하여 좀더 나은 설계를 할 수 있다. 상기 챔버 설계는 안테나의 루프 사이의 공간이 특정 안테나 설계에 대해 거의 1/2λz의 (많은 선행 기술 설계의 경우와 같은) 요구에 의해 제한되지 않는다. 예를 들면, 챔버의 높이가 코일 사이의 공간에 영향을 끼칠지라도 챔버의 높이는 실질적으로 줄어들 수 있다. 상기 코일 사이의 위상 차이를 전기적으로 가변함으로써, 특정 파장의 나선형 파를 방출하기 위하여 필요한 위상 차이는 코일 공간의 변화에도 불구하고 쉽게 얻을 수 있다. 그래서, 위상 차이를 실질적으로 Ⅱ 이하로 줄임으로써 코일 거리보다 큰 1/2 파장으로 파를 방출할 수 있다.
본 발명의 다른 측면에서, 챔버 차폐물의 플라즈마 발생용 RF 안테나는 차폐물 주변의 코일에 직렬로 연결된 도전성 차폐물을 포함한다. 그러한 장치는 도전성 차폐물을 통하여 그리고 챔버 내부로 외부 코일로부터 결합된 RF 전원의 감쇄를 실질적으로 줄일 수 있는 것이 발견되었다.
도 1은 본 발명의 한 실시예에 따라서 플라즈마 발생 장치의 개략적인 도면이다.
도 2는 본 발명의 한 실시예에 따라서 2개의 코일 권선이 차폐물 내에 설치되는 PVD 챔버의 부분 단면도의 사시도이다.
도 3은 코일 차폐물을 권선으로서 이용하는 PVD 챔버의 확장도이다.
도 4는 진공 챔버에 설치된 도 3의 PVD 챔버의 부분 단면도이다.
도 5는 각 안테나가 다른 코일과 직렬로 결합된 코일 차폐물을 포함하는 본 발명의 다른 실시예에 다른 플라즈마 발생 장치의 개략도이다.
도 6은 도 5의 안테나의 개략적인 전기 회로 설명도이다.
도 7은 코일이 차폐물과 직렬로 연결된 본 발명의 다른 측면에 따라서 PVD 챔버의 부분 단면도의 사시도이다.
제 8도는 전기 회로의 접속을 개략적으로 도시한 도 7의 차폐물 슬랏의 단면도이다.
* 도면의 주요 부분에 대한 부호의 설명 *
104A,106A : 코일 차폐 114 : RF 발생기
140 : 진공 챔버 146 : 어댑터 링
150 : 타겟 184 : 절연 링
본 발명의 실시예는 도면을 참조로 설명될 것이다. 도 1 및 도 2를 참조로, 본 발명의 제 1 실시예에 따라서 플라즈마 발생기는 실질적으로 균일하고 죽 상에서 발생한 (자기력선(102)으로 표시된) 자기장이 안테나를 통하여 설정될 수 있는 진공 챔버(101)의 원통형 플라즈마 챔버(100)를 포함한다. 그러한 자기장은 챔버 축을 갖는 헬모트 코일(helmhotz coil, 도시되지 않았음) 동축에 의해 발생될 수 있다. 적어도 2개의 동축 안테나 코일(104,106)은 챔버(100)의 원주 주위에 일정한 공간을 가지고 정렬될 수 있다. 상기 2개의 안테나 코일(104, 106)은 챔버(100) 축을 따라서 측정된 거리"L"에 의해 부분적으로 공간이 형성될 수 있다. 각 안테나 코일은 적어도 하나의 실질적으로 완성된 회선을 포함하고, 각 안테나 코일은 전자기 에너지를 방출할 수 있다.
제 1 안테나 코일(104)은 제 1증폭기 및 매칭 네트워크(108)에 결합된다. 제 2 안테나 코일(106)은 제 2 증폭기 및 매칭 네트워크(112)에 결합된다. 제 1 및 제 2RF 증폭기(108) 및 (112)은 단일 RF 발생기(114)에 전기적으로 결합된다. 그러나, 제 1증폭기(108)는 제 1 안테나 코일(104)의 전류 및 제 2 안테나 코일(106)의 전류를 서로에 대해 상대적으로 위상 이동되도록 하는 위상 이동 조정 네트워크(116)를 통하여 발생기(114)에 결합된다.
진공 챔버(101)는 플라즈마 전구물질 가스가 챔버에 도입되기 전에 펌프(210)에 의해 배출된다. 나선형 파는 플라즈마를 자기화하고, 원하는 상태가 충족될 때까지 제 1 안테나 코일(104) 및 제 2 안테나 코일(106) 사이의 적절한 위상 차이를 설정함으로써 방출될 수 있다. 예를 들면 제 2도의 실시예에서, 제 1 안테나 코일(104) 및 제 2 안테나 코일(106) 사이의 거리(L)의 4배와 동일한 파장(λ)을 갖는 챔버(100) 내의 나선형 파장을 방출하는 것이 바람직하다. 그러한 나선형 파는 코일(에 인가된 전압 또는) 통과 전류 사이의 π/2 위상 차이를 설정함으로써 효율적으로 방출될 수 있다.
일반적으로 거리(L) 정도 떨어진 2개의 코일 및 바람직한 파장λz에 대해 위상 차이(△ ψ)는 바람직하게 아래와 같이 할당된다.
제 1 안테나 코일(104) 및 제 2 안테나 코일(106) 사이의 부분적인 공간(L)에 대해, 본 발명의 한 측면에 따른 플라즈마 발생기는 제 1 및 제 2 안테나 코일로부터 발생된 방사선이 나선형 파의 파장(λ)을 방출하기에 적절하도록 위상 차이를 조정할 수 있도록 한다. 즉, 플라즈마 발생기의 위상 이동 조정 네트워크(116)는 상기 파장을 어떤 특정 기하학적인 구성 또는 안테나 코일의 공간에 대한 위상 차이에 의해 적절히 조정되거나 또는 전기적으로 "동조"되게 할 수 있다. 그 결과로, 플라즈마 발생기는 플라즈마 챔버 하드웨어 설계에서, 특히 기하학적인 구조및 안테나 코일의 배치에서 보다 탄력성을 가질 수 있다. 본 발명의 이러한 측면에 따라서, 효율적인 나선형 파의 방출은 1차적으로 기하학적인 구조에 따라 좌우되는 것이 아니라 안테나 코일의 위치에 따라 좌우된다. 결과적으로, 상기 나선형 파장 및 플라즈마 챔버 하드웨어는 (주파수, 플라즈마 특성, 챔버 크기 및 자기장과 같은) 다른 요소에 적합할 수 있고, 위상 차이는 코일 거리와 관계없이 파장을 조정하기 위하여 전기적으로 동조될 수 있다.
제 2도에 도시된 바와 같이, 상기 챔버(100)는 타겟(150)에서 반도체 웨이퍼(128)로 스퍼트되는 물질로부터 챔버 벽을 보호하는 코일(104, 106) 및 챔버(100)의 벽(도시되지 않았음) 사이에 배치된 차폐물(118)을 포함한다. 그러나, 상기 코일이 증착 플럭스 및 플라즈마의 에너지 입자에 노출되어 있기 때문에, 상기 코일은 필름의 오염 소스가 웨이퍼(128) 상에 증착될 수 있다. 상기 코일 및 챔버 벽을 보호하기 위하여, 차폐물 구조는 코일 및 챔버 벽 사이 대신에 코일 및 플라즈마 사이에서 배치될 수 있다. 안테나 차폐물 구조는 플라즈마 챔버 내에 배치된 2개 이상의 원통형 금속 링을 가질 수 있다. 안테나에 인접한 차폐 부분은 안테나에 의해 방출된 전자기 에너지를 차폐물을 통하여 플라즈마에 에너지를 인가하기 위하여 챔버 내부로 통과될 수 있게 하기 위하여 하나 이상의 슬랏(slot)을 포함할 수 있다. 이러한 차폐물은 스퍼터링 또는 다른 증착 처리 동안에 금속 증착으로부터 안테나 및 플라즈마 챔버 벽을 보호하는 기능을 수행한다.
본 발명의 한 측면을 따른 플라즈마 발생기는 기하학적인 안테나 구조를 차폐물 그 차체가 안테나 코일로서 사용될 수 있고, 그래서 2가지 기능을 수행하는정도로 단순화되게 한다. 더욱이, 차폐물을 통한 에너지 전파에 요구되는 슬랏은 대부분 제거될 수 있고, 따라서 실질적으로 차폐물의 설계를 단순화한다. 예를 들면, 차폐물은 차폐 주위에서 단일 슬랏 또는 불연속성을 제공함으로써 본 발명에 따른 안테나 코일로 형성될 수 있고, 따라서 어느 정도 밀봉된 금속 링이 될 수 있는 갭을 설정할 수 있다. 원통형 차폐 주위에 슬랏을 갖는 원통형 차폐물은 2개의 분명한 단부를 갖는 오픈 루프를 형성한다. 리드는 증폭기 및 접지 스트랩으로부터 루프의 각각 단부에 부착되고, 따라서 안테나 코일이 형성된다.
도 3 내지 도 4에서, 본 발명의 다른 실시예에 따른 플라즈마 챔버(100A)가 기술된다. 여기에 기술된 바와 같이, 이러한 실시예의 상부 코일(104A)은 코일 차폐(104A)의 공간이 형성된 2개의 단부(121, 123) 사이에 형성된 단일 수직 슬랏(120)을 제외한 연속적으로 밀봉된 금속 차폐 링을 형성하는 일반적으로 원통형-벽(119)을 갖는다. 상기 RF 발생기(114)의 출력(도 1)은 위상 조정 네트워크(116) 및 매칭 회로(108)(도1)를 통하여 코일 차폐(104A)의 슬랏(120)의 단부(121) 상의 접속 포인트(A1)에 결합된다. 슬랏(120)의 다른 단부(123)에서 접속 포인트(BI)는 접지 스트랩(125)(도 3)에 의해 (캐패시터에 직접 또는 캐패시터를 통하여) 접지에 접속된다. 상기 코일 차폐(104A)는 RF 발생기로부터 RF 에너지를 플라즈마 챔버(100A)의 내부로 방출하기 위한 안테나 및 증착되는 물질로부터의 증착 물질의 내부를 보호하기 위한 차폐물로서의 역할을 한다.
제 2의 보다 낮은 코일-차폐(106A)는 일반적으로 술잔 모양이고 일반적으로 원통형으로 형성되고, 수직적으로 형성된 벽(122) 및 일반적으로 환형 모양의 바닥벽(124)(도 4)을 포함하고, 상기 벽은 웨이퍼(128)와 같은 것을 지지하는 척(126)을 둘러싼다. 상기 코일 차폐(106A)는 보다 낮은 코일-차폐(106)의 2개의 단부(132, 134)를 갖는다. 상기 코일 차폐(106A)는 2개의 단부(132, 134)에서 2개의 접속 포인트(A2, B2)를 갖고, 상응하는 매칭 회로(112)의 출력에 제1코일 형태(104A) 및 접지에 유사한 방법으로 결합된다.
도 4는 PVD(물리기상증착) 시스템의 진공 챔버(140)에 설치된 플라즈마 챔버(100A)를 나타낸다. 비록 본 발명의 플라즈마 발생기는 설명을 위해서 PVD 시스템과 관련하여 기술될지라도, 플라즈마 에칭, 화학 증기 증착(CVD) 및 다양한 표면 처리 공정을 포함하는 플라즈마를 이용하는 모든 다른 반도체 제조 공정을 사용하기에 적절하다.
진공 챔버(140)는 상부 환형 플랜지(144)를 갖는 챔버 벽(142)을 포함한다. 플라즈마 챔버(100A)는 진공 챔버 벽 플랜지(144)를 속박하는 어댑터 링(146)에 의해 지지된다. 상기 상부 코일-차폐 벽(119)은 플라즈마 챔버(100A)의 내부를 직면하는 표면(148)을 한정한다. 타겟(150)으로부터 스퍼트된 증착 물질은 의도적으로 웨이퍼(128) 상에 증착되고 그러나 코일-차폐(106A)의 내부 표면(148) 상에 증착된다. 코일-차폐(106A)의 수직 벽(122) 및 바닥 벽(148)은 타겟(150)으로부터 스퍼트된 증착 물질을 수용하는 내부 표면(152)을 갖는다. 클램프 링(154)은 상기 웨이퍼를 척에 클램프하고 코일-차폐(104A)의 내부 표면(148) 상에 또한 증착된다.
코일 차폐(106A)의 수직적인 벽(122) 및 바닥 벽(124)은 타겟(150)으로부터 스퍼트된 증착된 물질을 수용하는 내부 표면(152)을 유사하게 갖는다. 클램프링(154)은 척에 웨이퍼를 클램프하고 보다 낮은 코일 차폐(154) 및 척(126) 사이의 갭을 커버한다. 그래서, 클램프 링(154)과 함께 코일-차폐(104A, 106A)는 플라즈마 챔버(100A)의 웨이퍼(128) 상에 증착된 증착 물질로부터 진공 챔버(140)의 내부를 보호한다.
내부 코일 차폐(104A)는 어댑터 링(146) 상에 지지되는 세라믹 링(184)에 다수의 고정나사(162)에 의해 고정된 수직적으로 확장되는 외부 플랜지 부재(160)를 갖는다. 코일 차폐의 한 단부(119)에서 상기 코일 차폐는 짧은 스트립(161)을 통하여 어댑터 링(146)(도 4에 도시된 바와 같이)에 접지된다. 다른 단부(121, 도2)는 세라믹 공급 통로를 통하여 RF 증폭기 및 매칭 회로(108)에 결합된다.
도 4의 바람직한 실시예에서, 코일 차폐(104A, 106A)의 슬랏(120, 130)은 각각 거의 동일 방위각이다. 도 4의 단면도는 슬랏(130)의 한 측면을 한정하는 코일-차폐(106A)의 단부(132)를 또한 도시한다. 따라서, 도 4에 기술된 코일-차폐(106A)의 단부(132)는 접속 포인터(A2)를 코일-차폐(106A)에 제공한다. 접속 포인터(A2)는 어댑터 링(146)을 통하여 통과되는 RF 공급 통로(170)를 포함하고 도 4에 기술된 (172)에서 코일 -차폐(106A)의 단부(132)에 결합된다. RF 공급 통로(170)는 세락믹으로써 절연 물질의 절연 튜브(174)에 의해 어댑터 링(146)으로부터 전기적으로 절연된다. 제 1 코일-차폐(104A)에 대하여 (위상 이동 네트워크(116) 및 매칭 회로(108)(도 1))를 통하여 RF 발생기(114) 사이의 접속 포인터(A1)는 접속 포인터(A2)에 대하여 RF 공급 통로(170)와 유사한 RF 공급 통로로 마찬가지로 만들어진다.
보다 낮은 코일-차폐(106A)는 다수의 고정 나사(106A)에 의해 절연 링(184)에 고정되는 상부 플랜지(180)를 포함한다. 절연 링(184)은 상부 코일-차폐(104A) 및 어댑터 링(106A)로부터 보다 낮은 코일-차폐(106A)를 절연한다. 어댑터 링(146)은 절연 링(184)을 지지하는 선반(188)을 지지하고, 상기 절연 링(184)은 상부 코일-차폐(104A) 및 보다 낮은 코일-차폐(106A)를 지지한다.
진공 챔버(140)의 외부 주위의 방위각 코일(190)은 플라즈마 챔버(100A)를 통하여 자기 필드를 공급한다. 타겟(150)은 어댑터 링(146)의 선반(194) 및 상부 코일-차폐(104A)의 플랜지 부재의 상부 표면에 의해 형성된 홈 내에 수신된 절연 링(192)에 의해 지지된다. 절연 링(192)은 어댑터 링(146) 및 상부 코일-차폐(104A)로부터의 타겟(150)을 절연한다. 타겟, 어댑터 및 세라믹 링(192)은 챔버 플랜지(144) 타겟(150)으로부터 진공의 단단한 어셈블리를 제공하는 O-링 밀봉 표면을 구비한다.
도 4에 도시된 바와 같이, 상부 코일-차폐(104A) 및 하부 코일-차폐(106A)는 축방향에서 오브랩핑되고 플라즈마 전조 가스가 플라즈마 챔버(100A)의 내부로 수용되는 갭(198)을 한정하기 위하여 공간이 떨어져 있다. RF 발생기(114)로부터 RF 에너지(도 1)는 코일-차폐(104A, 106A)로부터 절연된다. 코일 차폐(104A)에 의해 플라즈마 챔버(100A)의 내부로 방출된 RF 에너지는 하부 코일-차폐(106A)에 의해 방출된 RF 에너지로부터 소정의 량에 의해 이동된 위상이고, 상기 하부 코일-차폐(106A)는 나선형 파가 플라즈마 챔버(100A)에 방출되게 하고 유지되게 한다. 상기 나선형 파 때문에, 플라즈마의 에너지 분포는 더 일정하고 플라즈마 밀도는 증가된다. 그 결과로, 타겟(150) 및 반도체 웨이퍼(128)에 충격을 가하는 플라즈마 이온 플럭스는 타겟(150)으로부터 방출된 타겟 물질이 웨이퍼 물질(128) 상에 보다 빠르게 보다 균일하게 증착되도록 보다 높고 더 균일하게 분포된다. 보다 높은 플라즈마 밀도는 타겟으로부터 스퍼트된 물질의 이온화에 이익이 될 것이다. 그 결과, 스퍼트된 물질은 웨이퍼(128)에 인접한 교정 전기장(도시되지 않았음)에 더 응답적으로 될 것이고, 상기 웨이퍼(128)는 웨이퍼(128)에 금속 플럭스의 수직성이 상당히 향상되게 한다. 결과적으로, 훌륭한 특성이 더 균일하게 코팅되고, 높은 종횡비 홀 및 트랜치는 공백 형성이 거의 발생하지 않는다. 교정 전기장은 매칭 네트워크를 통하여 HF RF 신호(즉, 13. 6Hz)를 받침대에 공급하기 위하여 RF 공급 장치(151)를 갖는 플라즈마에 대해 음으로 웨이퍼 밑 받침대에 전기적 바이어싱에 의해 유도될 수 있다. 이러한 기술은 당업자에 공지되어 있다.
도 3의 바람직한 실시예에서, 자기 구조(1001)는 타겟 뒤에 배치된다. 이러한 타겟은 균일한 필름 두께 및 웨이퍼(128)를 형성하는데 최적화하기 위하여 타겟 상에 부식 형태를 결정하는 역할을 한다. 각 경우에서, 상기 구조(1001)는 스퍼터링 동안에 타겟의 뒤쪽 측면을 교차하여 이동하는 하나 이상의 자석을 포함할 수 있다. 만약 RF 전원이 코일-차폐에 인가되지 않는다면 그리고 DC 음 바이어스가 타겟(150)에 인가된다면, 상기 챔버(100A)는 본 발명의 출원인인 어플라이드 머티어리얼스에 의해 제조된 엔두라 PVD 시스템에 설치된 바와 같이 통상적인 PVD 챔버와 거의 유사하다.
도 1에 도시된 실시예에서 오직 단일 위상 이동 조정 네트워크는 한 쌍의 안테나 및 단일 RF 발생기의 결합으로 도시되어 있다. 하나 이상의 이동기가 사용된 본 발명의 다른 실시예에서, 상응하는 2개 이상의 안테나 코일 및 관련된 증폭기가 사용될 수 있다.
바람직한 실시예 또는 다른 처리 챔버에 따른 플라즈마 발생기는 높은 밀도 플라즈마를 발생하는 증착 또는 다른 처리 챔버의 설계를 단순화한다. RF 발생기의 안테나 코일과 같은 챔버의 차폐를 이용함으로써, 분리 안테나 구조에 대한 필요성 및 관련된 절연 부재의 필요성이 제거된다.
안테나에 대한 특정 크기 및 형태에 대한 요구가 실질적으로 줄어들 수 있고, 상기 챔버는 아주 조밀한 형태가 될 수 있다. 도 4에 도시된 바와 같이, 상부 코일-차폐(104) 및 하부 코일 차폐(106)는 매우 가까운 공간으로 배치되고 축 방향에 오버랩한다. 이러한 가까운 거리에도 불구하고, 코일 차폐(104, 106)에서 발생된 전류 사이의 위상 차이를 적절히 선택함으로써, RF 발생기(114) 및 위상 차이에 의해 결정된 파장(λ )을 갖는 나선형 파가 방출될 수 있다.
바람직한 실시예에서, 상기 챔버 벽(142)은 (방출 방향에서 측정된) 16"의 폭을 갖고 훌륭한 결과는 6"-25"의 범위의 폭으로 얻어질 수 있다. 웨이퍼와 타겟 공간이 바람직하게 약 2"이나 1.5" 내지 8" 범위도 될 수 있다. 발생기(114)의 주파수는 바람직하게 13.6MHz이나, 상기 범위는 1MHz에서 100MHz로 가변될 수 있다. 다양한 전조 가스는 Ar, H2, O2또는 NF3, CF4와 같은 반응성 가스를 포함하는 플라즈마를 발생하는데 유용할 수 있다. 다양한 전조 가스 압력은 압력 0.1-50mT을 포함하는데 적절하다. 이온화된 PVD에 대해, 10-20mT 주위의 압력은 스퍼터된 물질의 최고의 이온화에 바람직하다. 유사하게 자지장의 강도는 10 내지 1000가우스까지 가변될 수 있고 약 200-500가우스의 자기장 강도가 바람직하다. 위상 이동은 나선형 파 결합을 최적화하기 위하여 조정될 수 있고, 그러나 일반적으로 최적 수행에 대해 1/4Ⅱ 에서 1 3/4Ⅱ 의 범위이다.
상기 코일 차폐는 알루미늄 및 스텐레스 강을 포함하는 다양한 도전선 물질로부터 제조될 수 있다. 슬랏(120, 130)은 도 3 및 도 6의 동일 방위각에서 정렬되지만, 상기 코일-차폐의 슬랏은 정렬될 필요성이 없고, 도 3에 표시된 바와 같이 서로에 대해 상대적인 어떤 각에서 정렬될 수 있다.
이러한 포인트에 기술된 바람직한 실시예의 상기 코일(104, 106) 및(104a, 106a)은 단일 회선 코일로서 기술된다. 그러나, 각 코일은 다중 회선 코일로 실행될 수 있다는 것을 알아야 한다. 코일에 의해 유도된 플럭스는 코일의 다수의 회선의 자승에 비례하기 때문에, 코일의 회선 수를 증가하기 위하여 유용할 수 있다. 본 발명의 다른 측면에 따라서, 코일-차폐는 다수의 회선을 갖는 RF 안테나 코일의 한 회선이 되도록 나선형 코일과 직렬로 결합될 수 있다.
도 5는 동축 안테나 코일(204, 206)은 관련된 코일-차폐를 둘러싸는 다중-회선 나선형 코일과 직렬로 전기적으로 연결된 한-코일 차폐를 포함하는 것을 제외하고 도 1의 플라즈마 발생기와 유사한 본 발명의 다른 실시예에 따른 플라즈마 발생기를 나타낸다. 상기 장치는 다중-회선 나선형 코일(204b)에 접속된 코일-차폐(204a)를 포함하는 코일(204)의 전기적인 접속의 개략적인 도면을 나타내는 도 6을 참조로 더 상세히 이해될 수 있다. 나선형 코일(204b)의 한 단부는 제 1증폭기의 출력과 같은 RF 소스 및 매칭 네트워크(108)에 결합되고, 그것의 입력은 위상 이동 조절 네트워크(116)를 통하여 RF 발생기(114)에 결합되다. 도 4의 코일-차폐(104)와 동일한 코일-차폐(204a)는 2개의 단부(221, 223)를 한정하는 슬랏(221)을 갖는다. 나선형 코일(204b)은 코일 차폐 코일(204a)의 단부(223)에서 코일-차폐(204a)에 접속된다. 코일-차폐(204a)의 다른 단부는 접지에 결합되고, 바람직하게 캐패시터를 통하여 결합된다. 도 5에 도시된 바와 같이, 나선형 코일(204b)이 설치될 때, 코일-차폐(204a) 주위에 배치된다. 상기 전류가 코일-차폐(204a)를 통하는 전류와 동일한 원형 방향으로 이동하는 나선형 코일(204b)을 통하여 순환하도록 나선형 코일(204b)의 회선은 코일-차폐(204a) 절연된 상태로 공간이 떨어져 주위에 감긴다. 결과적으로, 나선형 코일(204b)에 의해 유도된 자기장은 코일-차폐(204a)에 의해 유도된 자기장과 동상이다. 제 2 코일(206)은 코일-차폐(206b)를 둘러싸는 나선형 코일(206b)과 직렬로 결합된 코일-차폐(206a)로 유사하게 만들어진다. 나선형 코일(206b)의 회선은 코일-차폐(206a)의 회선과 동일하게 동상으로 감긴다.
그러한 장치는 다수의 장점을 갖는 것이 발견되었다. 예를 들면, 나선형 코일에 의해 방출되는 RF 전원이 챔버의 내부로 관련된 코일-차폐를 통하여 챔버에 효율적으로 결합된다. 코일-차폐에 의해 야기된 감쇄는 실질적으로 감쇄된다. 동시에, 코일-차폐는 나선형 코일을 효율적으로 보호하고, 스퍼터링을 포함하는 다양한 반도체 처리에 의해 코팅되고 손상입는 챔버의 내부 부분을 보호한다.
부가적으로, 각 코일은 다수의 회선을 갖기 때문에, 챔버 내부의 요구된 플럭스 레벨을 만들기 위하여 필요한 전원은 단일 회선 코일과 비교되는 바와 같이 실질적으로 유도될 수 있다. 높은 레벨은 전력은 보다 높은 전류 운반 수용 용량을 갖는 성분을 사용할 필요성이 있는 성분에 부가된 압력 때문에 어떤 응용에 대해 적절하지 않을 수 있다.
본 발명의 한 측면에 따른 직렬로 결합된 코일-차폐 및 코일을 포함하는 RF 안테나는 반도체 처리 장치에 사용될 수 있고 높은 밀도 플라즈마의 나선형 파의 방출을 요구하는 것이 아니다. 예를 들면, 도 7은 그러한 RF 안테나의 단지 하나를 이용하는 챔버(400)를 나타내고, 상기 RF 안테나는 나선형 파의 사용없이 만족스러운 고밀도 플라즈마를 발생하는 것이 발견되었다.
고밀도 플라즈마를 발생하기 위한 RF 안테나는 코일-차폐(304a)를 둘러싸는 나선형 코일(304a)과 직렬로 전기적으로 결합되는 코일-차폐(304a)를 포함한다. 코일-차폐(304a)는 이러한 실시예 때문에 웨이퍼 상부 아래의 위치로의 확장을 제외하고 코일-차폐(304a)는 도 4의 코일-차폐(104)와 유사하고, 상기 챔버는 하나의 코일-차폐, 즉 코일 차폐(304a)를 갖는다. 코일-차폐(340a)의 바닥에서 클램프 링(154)의 단축을 끝내는 수평 환형 립(410)이다. 나선형 파를 방출하기 위하여 앞선 실시예에서 발견되는 제 2 코일-차폐 대신에, 도 7의 실시예는 클램프 링(154) 및 코일-차폐(304a)의 환형 립(410) 사이의 챔버를 보호하는 일반적으로 환형 모양 접지된 보다 낮은 차폐(420)를 갖는다. 보다 낮은 차폐(420)는 코일-차폐(304a)와 공간이 떨어져 있고 챔버 접지에 접지된다.
바람직한 실시예에서, 나선형 코일(304b)은 코일-차폐(304a)를 둘러싸는 3가지 나선형 회선으로 감기는 리본 모양의 구리 와이어로 구성된다. 나선형 코일(304b)은 세라믹 어셈블리(434)의 내부 세라믹 부재(430) 및 외부 세라믹 부재 사이에 지지된다. 세라믹 어셈블리(434)는 챔버 및 코일-차폐(304a)로부터 나선형 코일(304b)을 절연한다. 보다 낮은 차폐(410)는 보다 낮은 차폐(420)를 지지하는 외부 세라믹 부재(432)에 의해 수용된 립(440)을 갖는다.
도 7의 실시예에서, 상기 슬랏(450)은 도 7에 보여진 바와 같이 절연 세라믹 스탠드오프(standoff)(454)에 의해 코일-차폐(304a)와 공간을 이루는 덮개 부재(452)에 의해 덮여진다. 덮개 부재(452)는 물질이 스퍼트되는 슬랏(450)을 차폐한다. 슬랏과 함께 2개의 단부를 단축할 수 있는 도전 통로를 형성하기 위하여 슬랏을 교차하는 슬랏 또는 브리지를 스퍼트된 물질이 통과하지 못하도록 하는 것이 중요하다. 앞서 언급한 바람직한 실시예의 차폐 슬랏은 관련된 슬랏 앞쪽 또는 뒤쪽 중 하나에 유사한 덮개 부재를 갖는다. 코일-차폐(304a)의 한 단부(461)는 캐패시터(464)에 의해 접지에 결합된다. 코일-차폐(304a)의 다른 단부(463)는 이전에 기술된 바와 같이 나선형 코일(304b)의 한 단부에 결합된다. 코일-차폐(304a) 및 나선형 코일(304b)의 자기장이 동상에 있도록 코일-차폐(304a)를 통과하는 전류는 나선형 코일(304b)을 통하여 이동하는 전류와 동일 방향으로 움직이도록 코일-차폐(304a)는 나선형 코일(304b)에 전기적으로 결합된다.
도 7의 실시예의 챔버(700)는 챔버 접지에 결합되는 소스 아답터 부재(470)를 포함한다. DC 복귀 링(472)은 접지에 결합되는 소스 아답터 링(470)과 인접한다. 코일-차폐(304a)는 세라믹 어셈블리(434)의 내부 세라믹 부재(432)에 의해 지지되고 절연 링(474)에 의해 DC 복귀 차폐(472) 및 소스 아답터(470)으로부터 절연된다. 도 7에 도시된 바와 같이, 코일 차폐(304a)는 코일 차폐가 높은 밀도 플라즈마를 발생하기 위하여 높은 RF 에너지를 방출하는 RF 안테나의 일부분이기 때문에 바람직하지 않는 아크(arcing)를 방지하기 위하여 모든 도전 소자로부터 분리된다.
플라즈마를 발생하기 위한 RF 안테나의 부분 또는 모드로서 코일-차폐를 이용하는 다른 장점에서, 코일-차폐에 인가된 RF 전원은 플라즈마 발생을 개시하는데 도움이 되는 전조 가스에 용량적으로 결합되는 것이 믿어진다. 그러나, RF 전위는 챔버의 타겟에 부가하여 코일-차폐 그 자체를 스퍼트되게 할 수 있는 것으로 인식된다. 따라서, 코일 차폐로부터 스퍼트되는 물질로부터 웨이퍼의 오염을 방지하기 위하여, 웨이퍼가 처리되기 위하여 챔버에 도입되기 전에 상기 챔버가 코일-차폐에 RF 에너지의 인가 없이 타겟의 스퍼터링을 개시함으로써 미리 대비하는 것이 바람직하다. 이러한 방법에서, 타겟 물질은 상기 웨이퍼가 챔버내에 있을 때, 코일 차폐의 아래 부분의 물질이 스퍼트되는 것을 막기 위하여 충분한 두께로 코일-차폐 상에 스퍼트될 수 있고 증착될 수 있다. 선택적으로, 만약 타겟 물질가 도전성 물질로 만들어지고 물질의 오직 한 형태가 스퍼트된다면, 코일-차폐는 스퍼트된 타겟과 동일한 물질로부터 제조될 수 있다.
본 발명의 다른 측면에서, 코일-차폐의 스퍼터링은 일련의 공명 포인트가 코일-차폐(304a)의 수직 벽의 중앙 라인에 또는 근처에서 만들어지도록 회로 성분을 선택함으로써 중일 수 있다는 것이 인식되어졌다. 이러한 공명 상태는코일-차폐(304a)의 한 단부(461)를 접지에 결합하는 캐패시턴스(464)( 도 8)의 용량을 조정함으로써 바람직하게 성취된다. 바람직한 실시예에서, 캐패시턴스(464)의 용량은 캐패시턴스(464)의 용량이 조정되는 동안에 코일-차폐(304a)의 벽의 상부 및 바닥에서 전압을 측정함으로써 경험적으로 결정된다. 코일-차폐(304a)의 상부 및 바닥에서의 전압은 크기에서 실질적으로 동일하고 위상이 다르고, 공명 포인터 즉, 최소 전압 전위의 포인터는 중앙(480)이 RF 접지에서 유지 가능하도록 코일 차폐(304a)의 벽의 중앙(180)에서 만들어질 것이다. 그러한 장치는 코일-차폐의 스퍼터링을 줄이는 것으로 믿어지는 코일-차폐(304a)에 인가된 전압의 크기를 최소화한다. 예를 들면, 안테나는 약 4MHz의 RF 주파수에서 약 4-5마이크로 헨리의 인덕턴스를 갖고, 0.025mF의 용량이 적절한 것으로 믿어진다. 이러한 값은 물론 다양한 소자의 특정 기하학에 따라서 가변한다.
코일-차폐는 상기 언급한 대로 스퍼트된 타겟 물질과 동일한 물질로서 만들어지지 않는다면 스텐레스 강과 같은 높은 도전성 물질로 바람직하게 만들어질 수 있다. 다른 물질이 또한 사용될 수 있다. 그러나 코일 차폐 물질은 높은 도전성 물질로 되어야 하고 물질의 열 확장 계수와 정합하는 열 확장의 계수를 갖는 한 물질은 코일-차폐로부터 스퍼트된 물질이 웨이퍼 상으로 스퍼트되는 플래킹을 줄이도록 스퍼트된다.
부가적으로, 단순함을 위하여, 상기 코일-차폐(304a)는 차폐 벽의 상부 및 하부 측면을 제외하고 일반적으로 환형 형태를 갖는 벽 부재로서 설명된다. 그러나, 코일-차폐(304a) 및 나선형 코일(304b)의 상대적으로 낮은 종횡비 때문에, 코일-차폐(304a)에 인접한 자기장은 곡률을 가질 수 있다는 것이 예견된다. 따라서, 코일-차폐에서 소용돌이 전류 발생 손실은 줄어들 수 있고 시스템의 수행 능력은 자기장의 곡률을 더 가까이 매칭하기 위하여 일반적으로 요면(내부 요면) 단면을 갖기 위하여 코일-차폐(304a)의 곡률 벽에 의해 개선된다.
더 특히, 자기장은 코일-차폐를 포함하는 코일 회선을 통하여 통과하는 전류에 의해 만들어진다. 챔버 내부의 특정 포인터에서의 종합 자기장은 코일(높이 대폭)의 종횡비 및 코일 회선의 공간을 포함하는 코일 기하학의 함수이다. 완벽한 솔레노이드에 대해, 자기장은 코일의 중앙 축에 평행할 것이다. 그러나, 바람직한 실시예의 코일의 낮은 종횡비 때문에, 자기장이 차폐-코일에 인접하게 곡률된 될 수 있다. 자기장은 차폐물을 교차하는 자기장의 적어도 일부분을 효율적으로 삭제하기 위하여 교차하는 자기장에 대향하는 자기장을 유도하는 소용돌이 표면 전류를 만들 것이다. 도전 차폐물이 저항을 갖기 때문에, 상기 소용돌이 전류는 손실을 만드는 전원을 소비한다.
이러한 RF 자기장은 전조 가스를 이온화하기 위하여 전조 가스의 원자로 충돌하는 전조 가스 여기 자유 전자를 포함하는 챔버 내에 유도된다. 이온화된 전조 가스로부터 자유로운 전자는 자유 전자 및 이온화 가스의 밀도 플라즈마를 만들기 위하여 전조 가스를 빠르게 이온화하는 균형 있는 상태를 설정하는 전조 가스의 다른 원자와 계속적으로 충돌한다.
플라즈마를 통하여 연속적으로 통과하는 스퍼트된 물질의 중성 원자는 스퍼터된 물질을 이온화하는 여기된 자유 전자에 의해 충돌된다. 상기 언급한 바대로,상기 스퍼트된 물질을 교정을 편리하게 하기 위하여 스퍼트된 물질을 가능하한 많이 이온화하는 것이 바람직하다. 여기서, 어떤 자기장 라인이 바람직하지 않는 소용돌이 전류 손실을 줄이는 곡률을 매칭하기에 적절한 코일-차폐를 곡률시키는 것이 바람직하다.
다른 실시예와 관련하여 상기 챔버(400)는 상기 기술한 것과 유사한 물질 및 차원으로 제조될 수 있고, 특정 응용에 대해 적절히 변형될 수 있다. 기술된 실시예의 코일(304b)은 가로 3/8인치에 세로 1/8인치 견고한 구리 리본으로 형성된 3회선 나선형 코일로 만들어진다. 그러나, 다른 높은 도전 물질 및 형태가 이용될 수 있다. 예를 들면 만약 냉각수가 바람직하다면, 속이 반 구리 배관이 사용될 수 있다. RF 발생기(114), 매칭 회로(108, 112), 위상 조정 네트워크(116) 및 조정 가능한 캐패시터(464)가 당업자에게 잘 공지된 소자이다. 예를 들면, 매칭 회로 및 안테나를 갖는 최고 주파수에 대한 "주파수 추적"의 능력을 갖는 ENI 발생 시리즈와 같은 RF 발생기가 적절하다.
물론, 그것은 다양한 측면에서 본 발명의 변형을 이해할 수 있을 것이고, 당업자에게 명백하고, 어떤 것은 공부를 한 후에만 루틴한 기계적인 및 전기적인 설계의 문제를 알 수 있다. 다른 실시예가 또한 가능하고, 그들의 특정 설계는 특정 응용에 따라 좌우된다. 본 발명의 범위는 여기에 기술된 특정 실시예에 의해 제한되지 않고 첨부된 청구범위 및 그에 상응하는 것에 의해 한정될 수 있다.
본 발명은 플라즈마 발생 영역의 원주 주위의 제 1 안테나 코일로부터의 자기화된 플라즈마로 전자기 에너지를 유도적으로 결합하고, 플라즈마 발생 영역의 원주 주위의 제 2분리 안테나 코일로부터 플라즈마로 전자기 에너지를 유도적으로 결합하는 플라즈마 발생 장치를 포함한다. 플라즈마 발생 장치는 플라즈마 발생기에 대하여 안테나 설계가 실질적으로 단순하고 낮은 종횡비를 갖도록 한다.
챔버 설계는 안테나의 루프 사이의 공간이 특정 안테나 설계에 대해 거의 1/2λz의 (많은 선행 기술 설계의 경우와 같은) 요구에 의해 제한되지 않는다. 예를 들면, 챔버의 높이가 코일 사이의 공간에 영향을 끼칠지라도 챔버의 높이는 실질적으로 줄어들 수 있다. 상기 코일 사이의 위상 차이를 전기적으로 가변함으로써, 특정 파장의 나선형 파를 방출하기 위하여 필요한 위상 차이는 코일 공간의 변화에도 불구하고 쉽게 얻을 수 있다. 그래서, 위상 차이를 실질적으로 Ⅱ 이하로 줄임으로써 코일 거리보다 큰 1/2 파장으로 파를 방출할 수 있다.
챔버 차폐물의 플라즈마 발생용 RF 안테나는 차폐물 주변의 코일에 직렬로 연결된 도전성 차폐물을 포함한다. 그러한 장치는 도전성 차폐물을 통하여 그리고 챔버 내부로 외부 코일로부터 결합된 RF 전원의 감쇄를 실질적으로 줄일 수 있다.

Claims (40)

  1. 전자기 에너지를 플라즈마에 커플링함으로써 반도체 제조 챔버 내에서 나선형 파를 자기화된 플라즈마에 방출하기 위한 장치로서,
    원주 및 세로축을 갖는 플라즈마 발생 영역을 한정하는 플라즈마 챔버;
    플라즈마 발생 영역의 원주 주변에서 코일을 형성하는 제 1 안테나;
    플라즈마 발생 영역의 원주 주변에서 코일을 형성하는 제 2 안테나 (여기서, 상기 제 1 및 제 2 안테나 코일은 플라즈마 발생 영역의 세로 축을 따라서 배열되고 서로 전기적으로 절연됨);
    상기 제 1 안테나 코일 및 제 2 안테나 코일에서 위상을 갖는 전류를 발생하기 위한 수단;
    요구된 파장을 갖는 나선형 파를 플라즈마에 방출하기 위하여 요구된 위상 차이에 상응하는 제 1 안테나 코일 및 제 2 안테나 코일의 전류 위상 차이를 설정하기 위한 수단; 및
    플라즈마 내에 실질적으로 균일한 축의 자기장을 형성하는 수단을 포함하는 것을 특징으로 하는 나선형 파 방출 장치.
  2. 제 1항에 있어서,
    상기 제 1 및 제 2 안테나의 적어도 하나는 금속 증착으로부터 플라즈마 챔버를 보호하기 위한 차폐물을 포함하는 것을 특징으로 하는 나선형 파 방출장치.
  3. 제 1항에 있어서,
    상기 위상 차이 설정 수단은 제 1 안테나 코일 및 제 2 안테나 코일의 전류 위상 차이를 가변할 수 있는 수단을 포함하는 것을 특징으로 하는 나선형 파 방출 장치.
  4. 제 1항에 있어서,
    상기 제 1 안테나 코일 및 제 2 안테나 코일의 적어도 하나는 증착 물질로부터 챔버 벽의 적어도 일부분을 보호하기 위하여 챔버 내에 배치된 제 1 도전 차폐물 및 상기 차폐물과 직렬로 결합된 코일을 포함하는 것을 특징으로 하는 나선형 파 방출장치.
  5. 벽면을 갖는 반도체 처리 챔버용 RF 안테나로서,
    증착 물질로부터 챔버 벽의 일부분을 보호하기 위하여 상기 챔버 내에 배치된 제 1 도전 차폐물; 및
    상기 차폐물과 직렬로 결합된 코일을 포함하는 것을 특징으로 하는 RF 안테나.
  6. 제 5항에 있어서,
    상기 코일은 상기 도전 차폐물을 둘러싸는 것을 특징으로 하는 RF 안테나.
  7. 제 5항에 있어서,
    상기 코일은 다수의 회선을 갖는 나선형 코일인 것을 특징으로 하는 RF 안테나.
  8. 제 5항에 있어서,
    상기 코일 및 상기 차폐물은 전류 흐름 방향을 각각 한정하고, 상기 코일은 전류 흐름의 각 방향이 동일하도록 차폐물과 직렬로 결합되는 것을 특징으로 하는 RF 안테나.
  9. 전자기 에너지를 플라즈마에 결합함으로써 나선형 파를 플라즈마에 방출하기 위한 장치,
    챔버 벽면을 갖는 챔버;
    상기 챔버 내에서 축방향 자기장을 설정하기 위한 수단;
    증착 물질로부터 챔버 벽의 적어도 일부분을 보호하기 위하여 상기 챔버 내에 배치된 제 1 도전 차폐물;
    상기 제 1 도전 차폐물로부터 제 1 RF 신호를 방출하기 위하여 제 1 도전 차폐물에 결합된 제 1 RF 소스;
    증착 물질로부터 챔버 벽의 적어도 일부분을 보호하기 위하여 상기 챔버 내에 배치된 제 2 도전 차폐물; 및
    제 2 도전 차폐물로부터 제 2 RF 신호를 방출하기 위하여 제 2 도전 차폐물에 결합된 제 2 RF 소스를 포함하는 것을 특징으로 하는 나선형 파 방출장치.
  10. 제 9항에 있어서,
    상기 제 1 RF 신호와 동상인 RF 신호를 방출하기 위하여 제 1 RF 소스 및 제 1 도전 차폐물과 직렬로 결합된 제 1 안테나 코일을 추가로 포함하는 것을 특징으로 하는 나선형 파 방출장치.
  11. 제 10항에 있어서,
    상기 제 2 RF 신호와 동상인 RF 신호를 방출하기 위하여 제 2 RF 소스 및 제 2 도전 차폐물과 직렬로 결합된 제 2 안테나 코일을 추가로 포함하는 것을 특징으로 하는 나선형 파 방출장치.
  12. 제 9항에 있어서,
    상기 제 1 및 제 2 RF 신호는 플라즈마와 유도적으로 결합되는 것을 특징으로 하는 나선형 파 방출장치.
  13. 제 9항에 있어서,
    상기 챔버는 세로 축을 한정하고, 상기 제 1 및 제 2 차폐물은 챔버의 세로 축을 따라서 배열되는 것을 특징으로 하는 나선형 파 방출장치.
  14. 제 9항에 있어서,
    상기 제 1 및 제 2 차폐물에 의해 방출되는 상기 제 1 및 제 2RF 신호는 요구된 파장 λz의 나선형 파를 플라즈마에 방출하기 위하여 요구된 소정 위상 차이를 갖는 것을 특징으로 하는 나선형 파 방출장치.
  15. 제 9항에 있어서,
    상기 제 1 및 제 2 차폐물에 의해 방출된 제 1 및 제 2 RF 신호 사이의 위상을 이동시키기 위한 가변 위상 이동기를 추가로 포함하는 것을 특징으로 하는 나선형 파 방출장치.
  16. 제 9항에 있어서,
    상기 제 1 및 제 2 차폐물은 증착 물질을 차단하기 위하여 챔버 내부를 직면하는 원통형 표면을 각각 갖는 것을 특징으로 하는 나선형 파 방출장치.
  17. 제 9항에 있어서,
    일반적으로 디스크 형태의 척을 추가로 포함하고, 상기 제 2 차폐물은 상기 척을 원주형으로 둘러싸는 환형 모양의 표면을 갖는 것을 특징으로 하는 나선형 파 방출장치.
  18. 제 9항에 있어서,
    상기 척 및 상기 제 2 차폐물의 주변부를 커버링하는 척 및 덮개 링을 추가로 포함하는 것을 특징으로 하는 나선형 파 방출장치.
  19. 제 9항에 있어서,
    상기 제 1 차폐물과 인접한 타겟 및 상기 제 1 차폐물로부터 타겟을 절연하는 절연 링을 추가로 포함하는 것을 특징으로 하는 나선형 파 방출장치.
  20. 제 9항에 있어서,
    상기 타겟을 상기 플라즈마에 대해 음으로 바이어스 하기 위하여 상기 타겟 뒤의 자기장 소스 및 전원 공급 장치를 추가로 포함하는 것을 특징으로 하는 나선형 파 방출장치.
  21. 제 9항에 있어서,
    상기 플라즈마에 대해 음으로 바이어스된 척을 추가로 포함하는 것을 특징으로 하는 나선형 파 방출장치.
  22. 반도체 제조 챔버내에 플라즈마를 발생하는 방법으로서,
    챔버에서 축 방향으로 자기장을 발생하는 단계;
    플라즈마 발생 영역의 원주 주변의 제 1 도전 차폐물로부터의 전자기 에너지를 플라즈마에 결합하는 단계; 및
    상기 플라즈마 발생 영역의 원주 주변의 제 2 도전 차폐물로부터의 전자기 에너지를 플라즈마에 결합하는 단계를 포함하고,
    상기 제 1 및 제 2 도전 차폐물로부터의 상기 전자기 에너지는 각각 소정의 위상 차이를 갖는 것을 특징으로 하는 플라즈마 생성방법.
  23. 제 22항에 있어서,
    상기 전자기 에너지는 플라즈마에 유도적으로 결합되는 것을 특징으로 하는 플라즈마 생성방법.
  24. 제 23항에 있어서,
    상기 위상 차이는 0인 것을 특징으로 하는 플라즈마 생성방법.
  25. 제 24항에 있어서,
    상기 축방향 자기장은 0인 것을 특징으로 하는 플라즈마 생성방법.
  26. 제 22항에 있어서,
    상기 제 1 및 제 2 코일로부터의 전자기 에너지 사이의 위상 차이를 가변하는 단계를 추가로 포함하는 것을 특징으로 하는 플라즈마 생성방법.
  27. 반도체 제조 챔버내에서 나선형 파를 플라즈마에 방출하기 위한 방법으로서,
    플라즈마에서 축 방향 자기장을 발생하는 단계;
    플라즈마 발생 영역의 원주 주변의 제 1 안테나 코일로부터의 전자기 에너지를 플라즈마에 결합하는 단계; 및
    플라즈마 발생 영역의 원주 주변의 제 2 분리 안테나 코일로부터의 전자기 에너지를 플라즈마에 결합하는 단계를 포함하고,
    상기 제 1 및 제 2 코일로부터의 상기 전자기 에너지는 각각 소정의 위상 차이를 갖는 것을 특징으로 하는 나선형 파 방출방법.
  28. 제 27항에 있어서,
    상기 소정 위상 차이는 플라즈마에서 파장λz의 나선형 파를 방출하기 위하여 선택되는 것을 특징으로 하는 나선형 파 방출방법.
  29. 제 27항에 있어서,
    상기 전자기 에너지는 플라즈마에 유도적으로 결합되는 것을 특징으로 하는 나선형 파 방출방법.
  30. 제 27항에 있어서,
    상기 위상 차이는 0인 것을 특징으로 하는 나선형 파 방출방법.
  31. 제 27항에 있어서,
    상기 제 1 및 제 2 코일로부터 전자기 에너지 사이의 위상 차이를 가변하는 단계를 추가로 포함하는 것을 특징으로 하는 나선형 파 방출방법.
  32. 반도체 제조 챔버 내에서 나선형 파를 플라즈마에 방출하기 위한 방법으로서,
    플라즈마에서 축방향 자기장을 발생하는 단계;
    증착 물질로부터 챔버 벽의 적어도 일부분을 보호하기 위하여 챔버 내에 배치된 제 1 도전 차폐물로부터 RF 신호를 플라즈마로 방출하기 위한 단계; 및
    증착 물질로부터 챔버 벽의 적어도 일부분을 보호하기 위하여 챔버 내에 배치된 제 2 도전 차폐물로부터 RF 신호를 플라즈마에 방출하기 위한 단계를 포함하고,
    상기 제 1 및 제 2RF 신호는 나선형 파를 플라즈마에 방출하기 위하여 요구된 위상 차이를 갖는 것을 특징으로 하는 나선형 파 방출방법.
  33. 제 32항에 있어서,
    상기 제 1 도전 차폐물과 직렬로 결합되고 제 1 도전 차폐물을 둘러싸는 코일로부터의 RF 신호를 플라즈마에 방출하는 단계를 추가로 포함하는 것을 특징으로하는 나선형 파 방출방법.
  34. 제 33항에 있어서,
    상기 제 2 도전 차폐물과 직렬로 결합되고 제 2 도전 차폐물을 둘러싸는 코일로부터의 RF 신호를 플라즈마에 방출하는 단계를 포함하는 것을 특징으로 하는 나선형 파 방출방법.
  35. 제 34항에 있어서,
    제 1 및 제 2RF 신호 사이의 위상 차이를 가변하는 단계를 추가로 포함하는 것을 특징으로 하는 나선형 파 방출방법.
  36. 전자기 에너지를 플라즈마에 유도적으로 결합함으로써 반도체 제조 챔버내에서 나선형 파를 플라즈마에 방출하기 위한 방법으로서,
    원주 및 세로 축을 갖는 플라즈마 발생 영역을 한정하는 플라즈마 챔버를 제공하는 단계;
    플라즈마에서 축방향 자기장을 발생하는 단계;
    플라즈마 발생 영역의 주변에서 제 1 안테나 코일을 형성하는 단계;
    플라즈마 발생 영역의 주변에서 제 2 안테나 코일을 형성하는 단계;
    상기 플라즈마 발생 영역의 세로축 방향을 따라서 제 1 및 제 2 안테나 코일이 배열되는 단계;
    상기 제 1 안테나 코일 및 제 2 안테나 코일에서 위상을 갖는 전류를 발생하는 단계;
    나선형 파를 플라즈마에 방출하기 위하여 요구된 위상 차이에 상응하는 제 1 안테나 코일 및 제 2 안테나 코일의 전류 위상 차이를 설정하기 위한 단계를 포함하는 것을 특징으로 하는 나선형 파 방출방법.
  37. 벽을 갖는 반도체 처리 챔버용 플라즈마 발생기로서,
    증착 물질로부터 챔버 벽의 적어도 일부분을 보호하기 위하여 상기 챔버 내에 배치된 도전 차폐 벽; 및
    차폐 벽에 결합된 RF 소스를 포함하는 것을 특징으로 하는 플라즈마 발생기.
  38. 제 37항에 있어서,
    차폐 벽을 둘러싸고 RF 소스 및 상기 차폐 벽과 직렬로 결합되는 코일을 포함하는 것을 특징으로 하는 플라즈마 발생기.
  39. 제 38항에 있어서,
    상기 코일은 자기장을 만들어 내고 상기 차폐 벽은 자기장 라인의 곡률에 매칭하는 곡률을 갖는 것을 특징으로 하는 플라즈마 발생기.
  40. 제 37항에 있어서,
    상기 차폐 벽과 직렬로 연결되고 상기 차폐 벽의 중앙에서 RF 접지를 만들기 위하여 차폐 벽의 인덕턴스와 직렬로 공진하도록 소정 캐패시턴스를 갖는 캐패시터를 포함하는 것을 특징으로 하는 플라즈마 발생기.
KR1019960053591A 1995-11-15 1996-11-13 플라즈마를발생하기위한방법및장치 KR100430465B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/559,345 1995-11-15
US08/559,345 US6264812B1 (en) 1995-11-15 1995-11-15 Method and apparatus for generating a plasma

Publications (2)

Publication Number Publication Date
KR970030455A KR970030455A (ko) 1997-06-26
KR100430465B1 true KR100430465B1 (ko) 2004-09-04

Family

ID=24233249

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960053591A KR100430465B1 (ko) 1995-11-15 1996-11-13 플라즈마를발생하기위한방법및장치

Country Status (4)

Country Link
US (3) US6264812B1 (ko)
EP (2) EP1058489A2 (ko)
JP (1) JPH09199295A (ko)
KR (1) KR100430465B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100786537B1 (ko) 2006-03-29 2007-12-18 장근구 반도체 기판 공정 챔버에 사용되는 다중 플라즈마 발생소스
KR101846599B1 (ko) * 2010-10-20 2018-04-06 램 리써치 코포레이션 플라즈마 점화 및 지속 방법 및 장치

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
KR100489918B1 (ko) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US6368469B1 (en) * 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US6888040B1 (en) * 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
TW358964B (en) 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6361661B2 (en) * 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6178920B1 (en) * 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
TW396384B (en) * 1997-08-07 2000-07-01 Applied Materials Inc Modulated power for ionized metal plasma deposition
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
DE19737244A1 (de) * 1997-08-27 1999-03-04 Harald Tobies Vorrichtung und Verfahren zur Regelung der Phasenlage von Hochfrequenzelektroden bei Plasmaprozessen
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
DE19801366B4 (de) * 1998-01-16 2008-07-03 Applied Materials Gmbh & Co. Kg Vorrichtung zur Erzeugung von Plasma
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US6660134B1 (en) * 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6231725B1 (en) 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6238528B1 (en) * 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
GB2343992B (en) * 1998-11-20 2001-06-20 Michael John Thwaites High density plasmas
US6558504B1 (en) * 1998-12-21 2003-05-06 Research Triangle Institute Plasma processing system and method
DE19900179C1 (de) * 1999-01-07 2000-02-24 Bosch Gmbh Robert Plasmaätzanlage
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6409890B1 (en) 1999-07-27 2002-06-25 Applied Materials, Inc. Method and apparatus for forming a uniform layer on a workpiece during sputtering
US6463873B1 (en) 2000-04-04 2002-10-15 Plasma Quest Limited High density plasmas
US6779481B2 (en) * 2000-04-27 2004-08-24 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
US6554979B2 (en) * 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
JP2002008996A (ja) 2000-06-23 2002-01-11 Mitsubishi Heavy Ind Ltd 給電アンテナ及び給電方法
US6304036B1 (en) 2000-08-08 2001-10-16 Archimedes Technology Group, Inc. System and method for initiating plasma production
US6356025B1 (en) * 2000-10-03 2002-03-12 Archimedes Technology Group, Inc. Shielded rf antenna
US6363624B1 (en) 2000-11-21 2002-04-02 Applied Materials, Inc. Apparatus for cleaning a semiconductor process chamber
KR100440736B1 (ko) * 2001-02-19 2004-07-15 오범환 동축 병렬 안테나형 플라즈마 소스의 국소 인덕턴스직접조절 장치 및 방법
KR100444189B1 (ko) * 2001-03-19 2004-08-18 주성엔지니어링(주) 유도결합 플라즈마 소스의 임피던스 정합 회로
KR200253559Y1 (ko) * 2001-07-30 2001-11-22 주식회사 플라즈마트 회전방향으로 균일한 플라즈마 밀도를 발생시키는유도결합형 플라즈마 발생장치의 안테나구조
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US7571697B2 (en) * 2001-09-14 2009-08-11 Lam Research Corporation Plasma processor coil
US7100532B2 (en) * 2001-10-09 2006-09-05 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
US7132996B2 (en) * 2001-10-09 2006-11-07 Plasma Control Systems Llc Plasma production device and method and RF driver circuit
US7084832B2 (en) * 2001-10-09 2006-08-01 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
US6503824B1 (en) 2001-10-12 2003-01-07 Mosel Vitelic, Inc. Forming conductive layers on insulators by physical vapor deposition
US6780086B2 (en) 2001-10-12 2004-08-24 Mosel Vitelic, Inc. Determining an endpoint in a polishing process
AU2002236273A1 (en) * 2002-03-08 2003-09-22 Tokyo Electron Limited Plasma device
US6783629B2 (en) 2002-03-11 2004-08-31 Yuri Glukhoy Plasma treatment apparatus with improved uniformity of treatment and method for improving uniformity of plasma treatment
US7513971B2 (en) * 2002-03-18 2009-04-07 Applied Materials, Inc. Flat style coil for improved precision etch uniformity
AU2003232065A1 (en) * 2002-05-08 2003-11-11 Dana Corporation Plasma control using phase and/or frequency of multiple radiation sources
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
KR100486712B1 (ko) * 2002-09-04 2005-05-03 삼성전자주식회사 복층 코일 안테나를 구비한 유도결합 플라즈마 발생장치
US6917165B2 (en) * 2002-12-30 2005-07-12 Northeastern University Low power plasma generator
US6724148B1 (en) * 2003-01-31 2004-04-20 Advanced Energy Industries, Inc. Mechanism for minimizing ion bombardment energy in a plasma chamber
KR20060029621A (ko) * 2003-06-19 2006-04-06 플라즈마 컨트롤 시스템 엘엘씨 듀티 싸이클이 조절가능한 플라즈마 생성 장치 및 방법과고주파 구동 회로
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US7527713B2 (en) * 2004-05-26 2009-05-05 Applied Materials, Inc. Variable quadruple electromagnet array in plasma processing
US20060005767A1 (en) * 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US20060109195A1 (en) * 2004-11-22 2006-05-25 Tihiro Ohkawa Shielded antenna
WO2006093953A1 (en) * 2005-02-28 2006-09-08 Tosoh Smd, Inc. Sputtering target with an insulating ring and a gap between the ring and the target
US8179050B2 (en) * 2005-06-23 2012-05-15 The Regents Of The University Of California Helicon plasma source with permanent magnets
WO2007002455A2 (en) * 2005-06-23 2007-01-04 The Regents Of The University Of California Helicon plasma source with permanent magnets
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
KR100774521B1 (ko) 2005-07-19 2007-11-08 주식회사 디엠에스 다중 안테나 코일군이 구비된 유도결합 플라즈마 반응장치
US8182661B2 (en) 2005-07-27 2012-05-22 Applied Materials, Inc. Controllable target cooling
KR101248927B1 (ko) * 2006-01-26 2013-03-29 주성엔지니어링(주) 광학식 열원을 포함하는 기판처리장치 및 이를 이용한 저온폴리 실리콘의 증착 방법
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20080006523A1 (en) 2006-06-26 2008-01-10 Akihiro Hosokawa Cooled pvd shield
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US8381677B2 (en) * 2006-12-20 2013-02-26 Applied Materials, Inc. Prevention of film deposition on PECVD process chamber wall
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20080257263A1 (en) * 2007-04-23 2008-10-23 Applied Materials, Inc. Cooling shield for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR100915613B1 (ko) * 2007-06-26 2009-09-07 삼성전자주식회사 펄스 플라즈마 매칭시스템 및 그 방법
WO2009082753A2 (en) * 2007-12-25 2009-07-02 Applied Materials, Inc. Asymmetrical rf drive for electrode of plasma chamber
KR20100126337A (ko) * 2008-01-30 2010-12-01 어플라이드 머티어리얼스, 인코포레이티드 표면파 런칭 플라즈마 방전 소스들의 사전-이온화를 위한 시스템 및 방법
EP2245912A2 (en) * 2008-01-31 2010-11-03 Applied Materials, Inc. Multiple phase rf power for electrode of plasma chamber
WO2009146439A1 (en) 2008-05-30 2009-12-03 Colorado State University Research Foundation System, method and apparatus for generating plasma
JP2011522381A (ja) 2008-05-30 2011-07-28 コロラド ステート ユニバーシティ リサーチ ファンデーション プラズマに基づく化学源装置およびその使用方法
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
WO2010091205A2 (en) * 2009-02-04 2010-08-12 Applied Materials, Inc. Ground return for plasma processes
KR101617781B1 (ko) * 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
WO2011007834A1 (ja) * 2009-07-17 2011-01-20 株式会社アルバック 成膜装置及び成膜方法
US9039864B2 (en) * 2009-09-29 2015-05-26 Applied Materials, Inc. Off-center ground return for RF-powered showerhead
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
CA2794895A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
CA2794902A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
US20150125622A1 (en) * 2010-04-01 2015-05-07 The Trustees of Columbia University in HIe City of Systems and methods for high and ultra-high vacuum physical vapor deposition with in-situ magnetic field
KR20120004040A (ko) * 2010-07-06 2012-01-12 삼성전자주식회사 플라즈마 발생장치
US8884178B2 (en) * 2010-10-20 2014-11-11 Lam Research Corporation Methods and apparatus for igniting and sustaining plasma
US9224580B2 (en) * 2011-09-28 2015-12-29 Mapper Litohgraphy Ip B.V. Plasma generator
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
US8647485B2 (en) * 2012-03-30 2014-02-11 Applied Materials, Inc. Process kit shield for plasma enhanced processing chamber
KR101301641B1 (ko) * 2012-11-29 2013-08-29 주성엔지니어링(주) 기판처리장치
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
EP2969346B1 (en) * 2013-03-14 2021-10-27 PerkinElmer Health Sciences, Inc. System with an asymmetric solenoid for sustaining a plasma
TWI717610B (zh) 2013-08-16 2021-02-01 美商應用材料股份有限公司 用於高溫低壓環境中的延長的電容性耦合的電漿源
US9462669B2 (en) * 2013-10-03 2016-10-04 Daniel Prater Plasma confinement device
US9960021B2 (en) * 2013-12-18 2018-05-01 Applied Materials, Inc. Physical vapor deposition (PVD) target having low friction pads
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
EP2960684A1 (de) * 2014-06-26 2015-12-30 Ampass-explorer Corp. Antennenanordnung
US10332725B2 (en) * 2015-03-30 2019-06-25 Lam Research Corporation Systems and methods for reversing RF current polarity at one output of a multiple output RF matching network
US10582604B2 (en) 2016-08-26 2020-03-03 Daniel Prater Device and method for the heating and confinement of plasma
CN110023961A (zh) * 2016-12-01 2019-07-16 艾利丹尼森零售信息服务公司 不同尺寸元件布局的混合结构方法以优化晶圆的面积使用
CN108631047A (zh) * 2018-03-23 2018-10-09 四川大学 阻断式感容耦合螺旋等离子体天线
GB201806783D0 (en) 2018-04-25 2018-06-06 Spts Technologies Ltd A plasma generating arrangement
WO2020141806A2 (ko) 2018-12-31 2020-07-09 인투코어테크놀로지 주식회사 플라즈마 발생 장치 및 그 동작 방법
JP2021077451A (ja) 2019-11-05 2021-05-20 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
GB2599394B (en) * 2020-09-30 2024-01-03 Dyson Technology Ltd Method and apparatus for sputter deposition
US11776793B2 (en) 2020-11-13 2023-10-03 Applied Materials, Inc. Plasma source with ceramic electrode plate
KR20220107521A (ko) * 2021-01-25 2022-08-02 (주) 엔피홀딩스 반응기, 이를 포함하는 공정 처리 장치 및 반응기 제조 방법
US20240164005A1 (en) * 2022-11-16 2024-05-16 Perkinelmer U.S. Llc Induction devices for inductively coupled plasma torches and methods and systems including same

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1765850A1 (de) 1967-11-10 1971-10-28 Euratom Verfahren und Vorrichtung zum Aufbringen von duennen Schichten
US3594301A (en) 1968-11-22 1971-07-20 Gen Electric Sputter coating apparatus
DE1905058C3 (de) 1969-02-01 1973-10-04 Leybold-Heraeus Gmbh & Co, Kg, 5000 Koeln-Bayental Vorrichtung für die Beschichtung von Werkstücken durch Hochfrequenz-Plasmazerstäubung von Werkstoffen im Vakuum
US3763031A (en) 1970-10-01 1973-10-02 Cogar Corp Rf sputtering apparatus
US4362632A (en) 1974-08-02 1982-12-07 Lfe Corporation Gas discharge apparatus
DE2965333D1 (en) 1978-12-29 1983-06-09 Ncr Co Process and apparatus for cleaning wall deposits from a film deposition furnace tube
US4277321A (en) 1979-04-23 1981-07-07 Bell Telephone Laboratories, Incorporated Treating multilayer printed wiring boards
JPS5922787B2 (ja) 1979-09-25 1984-05-29 株式会社リコー 蒸着膜作成方法
US4336118A (en) 1980-03-21 1982-06-22 Battelle Memorial Institute Methods for making deposited films with improved microstructures
JPS59190363A (ja) 1983-04-11 1984-10-29 Orient Watch Co Ltd 金属薄膜の形成方法
JPS6023929U (ja) 1983-07-22 1985-02-19 アルプス電気株式会社 磁気駆動装置
US4865712A (en) 1984-05-17 1989-09-12 Varian Associates, Inc. Apparatus for manufacturing planarized aluminum films
US4661228A (en) 1984-05-17 1987-04-28 Varian Associates, Inc. Apparatus and method for manufacturing planarized aluminum films
GB2162365B (en) 1984-07-26 1989-06-01 Atomic Energy Authority Uk Ion source
JPH0740468B2 (ja) 1984-12-11 1995-05-01 株式会社日立製作所 高周波プラズマ発生装置
JPS61190070A (ja) 1985-02-20 1986-08-23 Hitachi Ltd スパツタ装置
EP0221164B1 (en) 1985-05-03 1990-10-24 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4626312A (en) 1985-06-24 1986-12-02 The Perkin-Elmer Corporation Plasma etching system for minimizing stray electrical discharges
GB8629634D0 (en) 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
JPS63246814A (ja) 1987-04-02 1988-10-13 Matsushita Electric Ind Co Ltd 薄膜形成装置
US4792732A (en) 1987-06-12 1988-12-20 United States Of America As Represented By The Secretary Of The Air Force Radio frequency plasma generator
JP2602276B2 (ja) 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
US5175608A (en) 1987-06-30 1992-12-29 Hitachi, Ltd. Method of and apparatus for sputtering, and integrated circuit device
KR920003789B1 (ko) 1988-02-08 1992-05-14 니뽄 덴신 덴와 가부시끼가이샤 플라즈마 스퍼터링을 이용한 박막 형성 장치 및 이온원
US4842703A (en) 1988-02-23 1989-06-27 Eaton Corporation Magnetron cathode and method for sputter coating
JP2859632B2 (ja) 1988-04-14 1999-02-17 キヤノン株式会社 成膜装置及び成膜方法
US4871421A (en) 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
JP2544192B2 (ja) 1988-11-21 1996-10-16 アネルバ株式会社 薄膜堆積装置
US4925542A (en) 1988-12-08 1990-05-15 Trw Inc. Plasma plating apparatus and method
US4918031A (en) 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
GB8905075D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5135629A (en) 1989-06-12 1992-08-04 Nippon Mining Co., Ltd. Thin film deposition system
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5234560A (en) 1989-08-14 1993-08-10 Hauzer Holdings Bv Method and device for sputtering of films
DE3942964A1 (de) * 1989-12-23 1991-06-27 Leybold Ag Einrichtung fuer die erzeugung eines plasmas
JPH07122136B2 (ja) 1990-05-10 1995-12-25 株式会社日立製作所 イオンビームスパッタ装置および運転方法
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
WO1992007969A1 (en) 1990-10-31 1992-05-14 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
US5178739A (en) 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
US5206516A (en) 1991-04-29 1993-04-27 International Business Machines Corporation Low energy, steered ion beam deposition system having high current at low pressure
JP2635267B2 (ja) 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5368685A (en) 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
US5361016A (en) 1992-03-26 1994-11-01 General Atomics High density plasma formation using whistler mode excitation in a reduced cross-sectional area formation tube
US5225740A (en) 1992-03-26 1993-07-06 General Atomics Method and apparatus for producing high density plasma using whistler mode excitation
US5231334A (en) 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5241245A (en) 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5397962A (en) 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5404079A (en) 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
US5312717A (en) 1992-09-24 1994-05-17 International Business Machines Corporation Residue free vertical pattern transfer with top surface imaging resists
DE4235064A1 (de) 1992-10-17 1994-04-21 Leybold Ag Vorrichtung zum Erzeugen eines Plasmas mittels Kathodenzerstäubung
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
DE4241927C2 (de) 1992-12-11 1994-09-22 Max Planck Gesellschaft Zur Anordnung in einem Vakuumgefäß geeignete selbsttragende isolierte Elektrodenanordnung, insbesondere Antennenspule für einen Hochfrequenz-Plasmagenerator
ATE227783T1 (de) 1993-01-15 2002-11-15 Boc Group Inc Zylindrische mikrowellenabschirmung
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JP3224443B2 (ja) 1993-02-08 2001-10-29 靖浩 堀池 ヘリコン波プラズマ処理装置
JP3271359B2 (ja) 1993-02-25 2002-04-02 ソニー株式会社 ドライエッチング方法
TW249313B (ko) 1993-03-06 1995-06-11 Tokyo Electron Co
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP3252518B2 (ja) 1993-03-19 2002-02-04 ソニー株式会社 ドライエッチング方法
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
JP3174981B2 (ja) 1993-03-26 2001-06-11 東京エレクトロン株式会社 ヘリコン波プラズマ処理装置
US5430355A (en) 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5418431A (en) 1993-08-27 1995-05-23 Hughes Aircraft Company RF plasma source and antenna therefor
JP3290777B2 (ja) 1993-09-10 2002-06-10 株式会社東芝 誘導結合型高周波放電方法および誘導結合型高周波放電装置
US5431799A (en) * 1993-10-29 1995-07-11 Applied Materials, Inc. Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
WO1995015672A1 (en) 1993-12-01 1995-06-08 Wisconsin Alumni Research Foundation Method and apparatus for planar plasma processing
US5639357A (en) 1994-05-12 1997-06-17 Applied Materials Synchronous modulation bias sputter method and apparatus for complete planarization of metal films
US5540824A (en) 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
JP2770753B2 (ja) 1994-09-16 1998-07-02 日本電気株式会社 プラズマ処理装置およびプラズマ処理方法
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5503676A (en) 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
JP2657170B2 (ja) 1994-10-24 1997-09-24 東京エレクトロン株式会社 プラズマ処理装置
JPH07176399A (ja) 1994-10-24 1995-07-14 Tokyo Electron Ltd プラズマ処理装置
US5569363A (en) * 1994-10-25 1996-10-29 Sony Corporation Inductively coupled plasma sputter chamber with conductive material sputtering capabilities
JP3483327B2 (ja) 1994-11-29 2004-01-06 アネルバ株式会社 プラズマ処理方法
JPH08288259A (ja) 1995-04-18 1996-11-01 Sony Corp ヘリコン波プラズマ装置およびこれを用いたドライエッチング方法
US5690795A (en) * 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers
US5573595A (en) 1995-09-29 1996-11-12 Lam Research Corporation Methods and apparatus for generating plasma
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5763851A (en) 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
US5800688A (en) 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100786537B1 (ko) 2006-03-29 2007-12-18 장근구 반도체 기판 공정 챔버에 사용되는 다중 플라즈마 발생소스
KR101846599B1 (ko) * 2010-10-20 2018-04-06 램 리써치 코포레이션 플라즈마 점화 및 지속 방법 및 장치

Also Published As

Publication number Publication date
US6297595B1 (en) 2001-10-02
EP1058489A2 (en) 2000-12-06
US6228229B1 (en) 2001-05-08
EP0774886A1 (en) 1997-05-21
KR970030455A (ko) 1997-06-26
JPH09199295A (ja) 1997-07-31
US6264812B1 (en) 2001-07-24

Similar Documents

Publication Publication Date Title
KR100430465B1 (ko) 플라즈마를발생하기위한방법및장치
US6190513B1 (en) Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
EP0836218B1 (en) Active shield for generating a plasma for sputtering
US5903106A (en) Plasma generating apparatus having an electrostatic shield
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
EP1076911B1 (en) Method and apparatus for ionized physical vapor deposition
US6132566A (en) Apparatus and method for sputtering ionized material in a plasma
KR100515562B1 (ko) 링의 형상의 고밀도 플라스마의 생성원 및 그의 생성 방법
US6172321B1 (en) Method and apparatus for plasma processing apparatus
US20020084035A1 (en) Plasma processing apparatus and method
US6518705B2 (en) Method and apparatus for producing uniform process rates
JP2011175977A (ja) 均一なプロセス速度を生成するためのプラズマ処理装置及び結合窓構成
US6231725B1 (en) Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6824658B2 (en) Partial turn coil for generating a plasma
EP0841683A2 (en) Active shield for generating a plasma for sputtering
EP1070442A1 (en) Plasma apparatus for ion energy control
JP2001358131A (ja) プラズマ処理方法及びプラズマ処理装置
CN114868223A (zh) 用于生成等离子体的方法和装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20070330

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee