KR100357806B1 - 화학기계적연마슬러리및연마방법 - Google Patents

화학기계적연마슬러리및연마방법 Download PDF

Info

Publication number
KR100357806B1
KR100357806B1 KR10-1998-0043576A KR19980043576A KR100357806B1 KR 100357806 B1 KR100357806 B1 KR 100357806B1 KR 19980043576 A KR19980043576 A KR 19980043576A KR 100357806 B1 KR100357806 B1 KR 100357806B1
Authority
KR
South Korea
Prior art keywords
copper
layer
slurry
forming
polishing
Prior art date
Application number
KR10-1998-0043576A
Other languages
English (en)
Other versions
KR19990037180A (ko
Inventor
데이비드 왓츠
라지브 바자
산지트 다스
야노스 파르카스
첼시 댕
멜리사 프리먼
자임 에이. 사라비아
제이슨 고메스
랜스 비. 쿡
Original Assignee
모토로라 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 모토로라 인코포레이티드 filed Critical 모토로라 인코포레이티드
Publication of KR19990037180A publication Critical patent/KR19990037180A/ko
Application granted granted Critical
Publication of KR100357806B1 publication Critical patent/KR100357806B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1472Non-aqueous liquid suspensions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

구리 층(22)의 화학 기계적 연마(CMP) 방법은 구리 층(22)의 형성으로 개시된다. 이어서, 구리 층(22)을 슬러리(24)에 노출시킨다. 슬러리(24)는 산화제(예: H2O2), 카복실레이트 염(예: 암모늄 시트레이트), 연마제 슬러리(예: 알루미나 연마제), 임의의 트리아졸 또는 트리아졸 유도체 및 탈이온수와 같은 용매의 잔여액을 포함한다. 슬러리(24)를 사용하여 구리 층(22)을 고속으로 제거하면서 연마하면 구리 층(22)은 피팅(pitting)과 부식이 감소하고 우수한 구리 상호접속 평면화를 성취한다. 당해 슬러리(24)는 산화물에 대한 구리의 선택성이 우수하고, 그 결과, 구리 장치는 전기 성능이 우수해진다. 게다가, 슬러리(24)는 기타 선행 기술의 슬러리와 비교하는 경우 환경적으로 안전하기 때문에, 슬러리(24)의 처리는 환경적으로 어렵지 않다.

Description

화학 기계적 연마 슬러리 및 연마방법
본 발명은 일반적으로 반도체 제조에 관한 것이며, 보다 특히 집적 회로(IC)에서 구리 인터커넥트를 연마하는 데 사용되는 과산화수소, 시트르산, 시트르산 암모늄 및 알루미나 연마제를 포함하는 슬러리 조성물에 관한 것이다.
집적 회로(IC) 산업 분야에서는 집적 회로(IC) 내에서 사용될 수 있는 신규한 금속 인터커넥트 재료 및 구조를 현재 연구 및 개발하고 있다. 집적 회로(IC) 인터커넥트용으로 장래 사용되어질 유망한 금속 물질은 구리(Cu)이다. 구리는 집적 회로 산업 분야에서 현재 사용되고 있는 알루미늄 및 기타의 금속 물질보다 향상된 전자이동 저항을 가지므로, 집적 회로 산업에서 요구된다. 게다가, 구리는 통상적으로 사용되는 기타 금속 물질보다 저항이 낮아서, 집적 회로 장치의 성능이 구리 인터커넥트의 사용을 통해 크게 향상될 수 있다.
그러나, 효과적으로 플라즈마를 에칭하거나 구리 물질을 습윤 에칭하여 관능성 구리 인터커넥트를 집적 회로의 표면 위에 적절하게 형성시키는 기술은 현재 공지되어 있지 않다. 이러한 한계를 극복하기 위해, 구리 화학 기계적 연마(CMP)법이 집적 회로 위에 구리 인터커넥트를 적합하게 형성할 수 있게 하는 가장 유망한 대안으로서 제안되었다. 따라서, 당해 산업 분야에서는 구리 인터커넥트를 집적 회로(IC) 위에 형성하는 데 사용될 수 있는 최적의 화학 기계적 연마(CMP) 슬러리를 현재 연구하고 있다.
알누미늄 연마를 수행하기 위해, 슬러리에 산화제로서 H2O2및 H3PO4중의 하나를 사용하는 것은 당해 산업 분야에 공지되어 있다. 또한, 텅스텐 물질을 연마하기 위해 H2O2, KIO3, Ce(NO3)4, Fe(NO3)3및 K3Fe(CN)6중의 하나를 사용하는 것도 당해 기술분야에 공지되어 있다. 또한, 구리 연마를 수행하기 위해 HNO3, HN4OH 또는 KMnO4중의 하나를 사용하는 것도 공지되어 있다. 따라서, 이들 화합물은 최적의 구리 CMP 공정을 발견하기 위한 시도로 CMP 기술자들에 의해 광범위하게 연구되어 왔다. 그러나, 이들 공지된 화학 약품을 사용하여도 최적의 구리 연마 결과를 아직 수득하지는 못하였다.
예를 들면, 위에서 기재한 공지된 화학 약품을 사용하여 다양하게 실험을 수행한 결과, (1) 불량한 제거 속도로 인한 불충분한 CMP 작업 처리량, (2) 구리 물질의 과도한 피팅(pitting) 및/또는 부식으로 인한 장치 성능과 장치 수율의 감소,(3) 층 평면성 문제, (4) 불량한 IC 전기 성능 및 (5) 인접한 산화물에 비해 구리에 대한 불량한 선택성("산화물"은 "이산화규소"를 나타내고, 이들 두 가지 용어는 본 명세서에서 상호 교대로 사용될 수 있음) 중의 하나 이상이 발생되었다.
따라서, 상기 공통적인 구리 CMP 문제 중의 하나 이상을 감소시키거나 제거하면서 구리 인터커넥트를 제조하는 데 사용될 수 있는 개량된 CMP 슬러리에 대한 요구가 당해 산업분야에 존재한다. 이러한 개량된 슬러리는 제거 속도가 우수하여 CMP 작업 처리량이 개선되고, 구리 물질의 피팅 및 부식이 감소되거나 제거되어 장치 성능 및 수율이 개선되고, 구리 층의 평면성이 향상되고, 집적 회로의 전기 성능이 개선되고/되거나, 산화물에 대한 구리의 선택성이 개선된다.
도 1 내지 도 5는 본 발명에 따르는 화학 기계적 연마(chemical mechanical polishing; CMP) 슬러리를 사용하여 집적 회로(IC) 위에 구리 인터커넥트(interconnect)를 형성하는 방법을 나타내는 횡단면도이다.
일반적으로, 본 발명은 화학 기계적으로 연마된(CMP) 구리 인터커넥트를 형성하기 위한 집적 회로(IC) 산업용의 신규한 슬러리에 관한 것이다. 특히, 본원에서 기재된 당해 슬러리는 산화제(예: 과산화수소 H2O2), 시트레이트 염(예: 시트르 산암모늄 또는 시트르산칼륨), 연마제 슬러리(예: 알루미나 연마제 또는 실리카 연마제) 및 탈이온수 또는 알코올과 같은 평형 상태의 용매를 포함한다. 추가로, 1,2,4-트리아졸 화합물 또는 트리아졸 유도체(예: 벤조트리아졸)는 구리 연마 평면성을 향상시키기 위해 슬러리 내에 포함시킬 수 있다.
이러한 신규한 구리 슬러리를 사용한 결과, 구리의 제거 속도가 개선되어 CMP 가공 도구를 통한 처리량이 개선될 수 있다는 것이 실험적으로 밝혀졌다. 분당 5000Å 초과의 제거 속도가 관찰되었다. 추가로, 실험 결과는 구리 물질의 피팅 및 부식이 감소됨을 나타냈고, 집적 회로의 속도가 개선되고 집적 회로의 수율도 선행 기술분야의 구리 슬러리보다 개선되었다. 추가로, 본원에서 교시된 슬러리를 사용하는 경우, 우수한 평면성이 달성되는 것으로 밝혀졌다. 우수한 평면성으로 구리 인터커넥트의 단면적이 증가되어 인터커넥트 저항을 감소시킴으로써 전기 성능이 개선된다. 게다가, 당해 슬러리의 사용이 연마 정지의 허가성을 높이는 경우 구리 이중 상감(象嵌) 가공과 단일 상감 가공을 수행할 때의 이산화규소에 대한 구리의 선택성을 개선시킨다. 추가로, 본원에 교시된 CMP 슬러리는 집적 회로(IC) 산업에서 사용되는 다른 슬러리보다 환경적으로 훨씬 안전하다.
본 발명은 도 1 내지 5를 참고로 하여 추가로 이해될 수 있다.
간단하고 명백한 설명을 위해, 도면에 나타낸 구성요소는 반드시 치수대로 도시하지는 않았음을 인지할 것이다. 예를 들면, 명확히 나타내기 위해 구성요소의 일부 치수는 기타 구성요소보다 상대적으로 과장되었다. 또한, 적합하다고 고려되는 경우, 도면 부호는 상응하는 또는 유사한 구성요소를 나타내는 도면에서 반복되었다.
도 1 내지 5는 집적 회로(IC)용 구리 인터커넥트를 형성하는 방법을 나타낸다. 도 1은 기판(12)을 갖는 반도체 구조(10)를 나타낸다. 도 1에서, 기판(12)은 통상적으로 단결정성 규소 웨이퍼인 베이스/저부 영역을 갖는다. 그러나, 기타 반도체 기판, 예를 들면, 게르마늄, 비소화 갈륨, 게르마늄 규소, 규소-대-절연체(SOI) 기판, 탄화규소 기판 에피택셜 층(epitaxial layer), 폴리실리콘(polysilicon) 기판 등이 사용될 수 있다.
도 1에 구체적으로 도시되어 있지는 않지만, 출발 기판 물질의 표면 위에 절연 장 및 능동 전기 장치[예: 커패시터, MOSFET, 2극성 장치(bipolar device), 다이오드, 로직 게이트(logic gate) 등]를 형성하기 위해 통상적인 집적 회로 공정이 사용된다. 이들 층은 도 1에서 저부/베이스 층(12)으로서 본질적으로 및 총괄적으로 나타낸다. 기판 위에 형성된 이들 능동 장치는 폴리실리콘, 무정형 규소와 같은 반도체 층 또는 살리시드 처리 영역(salicided region) 위에 상호접속된다. 또다른 방법으로, 이들 능동 회로는 또한 금속성 인터커넥트, 또는 반도체성 인터커넥트와 금속성 인터커넥트와의 특정 조합에 의해 상호접속될 수 있다. 도 1은 이들 반도체성 또는 금속성 인터커넥트 구조 중의 하나 이상을 대표하는 층(14)을 나타낸다. 층(14)이 금속 인터커넥트로 선택되는 경우, 텅스텐, 알루미늄 및 알루미늄 합금, 구리, 금, 은, 내화성 금속, 전도성 산화물, 이들의 복합물 등과 같은 물질이 통상적으로 선택된다.
도 1은 제1 유전층(16)이 인터커넥트 구조(14)의 상부에 형성됨을 나타낸다. 하나의 형태에서, 도 1의 층(16)은 노(爐) 테트라에틸오르토실리케이트(TEOS) 층 및/또는 오존 TEOS 층이다. 다른 형태에서, 층(16)은 낮은 k 유전성이 보로포스포실리케이트 유리(BPSG), 불소화 TEOS(FTEOS), 중합체 물질, 플라즈마 강화된 TEOS(PETEOS), 이들의 복합물 등일 수 있다. 층(16)의 전형적인 두께는 약 2000 내지 8000Å의 범위이고, 유전층은 전형적으로 특정 유형의 화학증착(CVD)으로 형성된다.
이어서, 에칭 중지층(etch stop layer)(18)을 층(16) 위에 형성시킨다. 층(18)은, 산화물 에칭제에 노출되는 경우, 산화물과는 에칭 속도가 상이한 층과 같이, 전형적으로 플라즈마 강화 질화물(PEN), 옥시질화규소(SiON) 물질 및 이들의 복합물로부터 형성된다. 층(18)의 전형적인 두께는 약 100 내지 300Å이다. 시간 에칭(time etching)이 사용되면 임의의 에칭 중지층에 대한 요구가 존재한다는 것을 주목하는 것이 중요하다는 점에서 도 1의 구조는 필요치 않다. 따라서, 에칭 중지층(18)은 선택적이다.
에칭 중지층(18)이 형성된 후, 이어서 제2 유전층(20)이 에칭 중지층(18)의 상부에 형성된다. 층(20)의 물질 조성은 위에서 기재한 층(16)과 유사하다. 추가로, 층(20)의 두께는 위에서 기재한 바와 같은 층(16)의 두께에 필적하거나 층(20)보다 2배까지 두꺼운 층(16)과 함께 조화된다. 이어서, 공지된 사진평판 공정 및 통상적인 에칭 기술을 사용하여 층(16)을 통해 비어 개구(via opening)를 형성하고 층(20)을 통해 트렌치(trench) 인터커넥트 개구를 형성한다. 층(16) 및 (20)을 통한 이들 개구는 이중 상감 기술분야에 공지된 비어 제1 방법 또는 트렌치 제1 방법으로 형성될 수 있다. 또한, 이중 상감 공정을 도 1 내지 도 5에 나타내지만, 본원에 교시된 연마 슬러리 및 방법은 단일 상감 구조 또는 구리 플러그 영역을 형성하는 데 사용될 수 있다.
이어서, 전도성 층(22)을 층(20)의 상부 표면 위, 층(16)의 비어 개구 내부 및 층(20)의 인터커넥트 개구 내부에 부착시킨다. 도 1에서 전도성 층(22)은 얇은 차단층(barrier layer)을 형성함으로써 형성되기 시작한다. 전형적인 차단층은 질화탄탈륨, 질화티탄, 티탄텅스텐, 탄탈륨, 티탄, 질화규소탄탈륨, 질화텅스텐, 이들 물질의 합금, 이들의 복합물 등을 포함한다. 차단층의 전형적인 두께는 약 20 내지 수백 Å이다. 차단층은 후속의 금속화 영역 내부에 구리가 적합하게 함유되어 있다는 것을 보장하기 위해 필요하다. 구리 원자를 함유하는 후속의 구리 영역은 적절한 차단층이 형성되기만 하면 주변에 존재하는 규소 함유 영역(예: SiO2)을 역으로 오염시키지 않을 것이다.
차단층이 형성된 후, 이어서 화학증착(CVD) 방법을 사용하여 차단층 위에 구리 층을 형성시킨다. 이러한 구리 층은 전형적으로 두께가 1000 내지 3000Å 정도이다. 이러한 구리 물질은 순수한 구리 층(즉, 또다른 원자 또는 일련의 원자를 의도적으로 도핑시키지 않은 구리 층)이거나 구리 합금(Cu 이외의 또다른 원자를 의도적으로 도핑시킴)이다. 또한, 이러한 CVD 구리 층은 이전에 부착된 차단층과 화학적으로 상호작용하여 적어도 계면/저부 합금 물질을 도 1에 나타낸 전도성 층(22) 내부에 형성한다. CVD 구리 층은 구리의 이온화된 금속 플라즈마(IMP) 층 또는 구리 합금의 IMP 층으로 대체시킬 수 있다. 또한, 씨드(seed) 층의 형성은 조준된 물리적 증착(PVD) 공정으로 수행될 수 있다. 전형적으로, 도 1에서 부착된 구리 물질은 구리 농도가 80% 초과이다.
IMP 및/또는 CVD 구리 층을 형성한 후, 전기도금 방법을 사용하여 CVD 구리 베이스 또는 IMP 구리 베이스 위에 구리 물질을 추가로 형성시킨다. 전형적으로, 구리 전기도금은 전도성 층(22)의 전체 두께가 약 7,000 내지 15,000Å로 달성될때까지 수행한다. 전기도금된 구리는 순수한 구리 또는 구리 복합 물질중 하나일 수 있다.
도 2는 도 1의 구조(10)를 화학 기계적 처리(CMP) 도구 속에 위치시킴을 나타낸다. 도 1의 구리 층(22)을 도 2에 나타낸 화학 기계적 연마(CMP) 슬러리(24)와 접촉하도록 위치시킨다. 화학 기계적 연마 패드(26)를 슬러리(24)와 접촉하도록 위치시키고 기계적으로 회전시킨 다음, 압력을 가하여 층(22)의 상층 부분을 화학적/기계적으로 효과적으로 제거한다.
도 2는 구리 층(22)으로부터 형성된 산화 층(22a)을 나타낸다. 층(22a)은 도 2에서 슬러리(24)에 구리 층(22)이 노출됨으로써 형성된 산화된 구리 층이다. 본질적으로, 층(24)은 구리 층(22)의 얇은 상층 부분이 산화되어 도 2에 나타낸 바와 같은 산화 층(22a)을 형성하는 산화 종을 포함한다. 슬러리(24) 내부의 기타 화학 종은 층(22a)을 화학적으로 침식하여 층(22a)을 슬러리(24) 속으로 용해시킨다. 또한, 연마 패드(26)의 기계적 운동에 따른 슬러리(24) 내부의 다양한 입자 또는 연마 슬러리 물질은 층(22a)을 기계적으로 연마시켜 층(22)으로부터 층(22a)이 제거되도록 한다. 층(22a)과 후속의 산화된 Cu 층의 점진적인 산화 및 제거를 통해, 층(22a)의 상부 표면이 제거되어 유효한 구리 화학 기계적 연마(CMP)를 가능하게 한다.
도 2에 나타낸 슬러리(24)는 산화제, 카복실레이트 염(예: 시트레이트 염), 연마제 슬러리, 용매 및 임의의 트리아졸 또는 트리아졸 유도체를 포함한다. 특히, 슬러리 내부에 사용할 수 있는 산화제는 과산화수소(H2O2)이다. 구리를 제거하는 데 효과적인 것으로 밝혀진 카복실레이트 염은 시트르산암모늄 및 시트르산칼륨 중의 하나 이상과 같은 다수의 시트레이트 염 중의 하나를 포함한다. 우수한 구리 제거성과 평면성을 생성하는 것으로 실험적으로 입증된 통상적인 연마제는 알루미나 연마제이지만, 알루미나 연마제 대신 또는 알루미나 연마제 외에 실리카 연마제를 사용할 수 있다. 또한, 임의의 트리아졸 유도체 또는 트리아졸 용액을 슬러리에 첨가할 수 있다. 예를 들면, 1,2,4-트리아졸을 구리 CMP 슬러리에 첨가하면 산화물 트렌치 개구 속으로 구리를 함몰시키는 양이 감소되어 구리 평면화가 개선되는 것으로 실험적으로 밝혀졌다. 도 2의 슬러리(24)에 사용된 통상적인 용매는 탈이온수(H2O) 및 알코올 중의 하나 이상이다.
일반적으로, 슬러리(24)의 산화제(H2O2)는 약 0.2 내지 5.0중량%(wt%)의 범위이다. 카복실레이트 염 또는 시트레이트 염은 약 0.2 내지 약 20중량%의 범위이다. 연마제 슬러리(알루미나 연마제)는 슬러리(24)의 약 1.0 내지 12.0중량%의 범위이다. 또한, 임의의 트리아졸 또는 트리아졸 유도체는 슬러리(24)의 약 0.05 내지 2.0중량%의 범위로 슬러리(24) 내에 제공될 수 있다. 슬러리(24)의 나머지 평형유지는 전형적으로 탈이온수 및/또는 알코올 용액이다.
바람직한 형태에 있어서, 적절한 구리(Cu) 연마는 과산화수소 범위 1.0 내지 1.5중량%, 시트레이트 염 농도 범위 0.8 내지 1.3중량%, 알루미나 연마제 농도 범위 2.0 내지 4.0중량%, 트리아졸 농도 약 0.1 내지 0.2중량% 및 나머지 평형유지용매를 사용하여 달성되는 것으로 실험적으로 밝혀졌다. 이러한 범위 내에서 사용되는 바람직한 용액은 과산화수소 1.2중량%, 시트르산암모늄 1.1중량%, 알루미나 슬러리 3.0중량%, 1,2,4-트리아졸 0.12중량% 및 탈이온수(H2O) 나머지 평형유지량이다.
도 3은 위에서 설명한 층(22a)이 연마 패드(26)의 기계적 운동과 함께 슬러리(24)에 의해 제거됨을 나타낸다. 산화 층(22b)은 연마가 진행되고 산화된 물질이 제거됨에 따라 연속적으로 형성된다. 시간 경과에 따라 연속적으로 형성되고 새로운 Cu 원자가 슬러리에 노출되는 이들 산화 층(22b)은 연마 패드(26)의 기계적 상호작용과 함께 슬러리(24)의 화학적 상호작용으로 연속적으로 제거된다. 따라서, 도 3에 나타낸 바와 같이, 유전체(20) 위에 위치한 층(22)의 전체 두께는 감소하지만 층(22)의 전체 평면성은 시간이 경과하면서 점차적으로 개선된다.
도 4는, 슬러리(24)를 사용한 화학 기계적 연마(CMP)가, 구리 인터커넥트(28)가 도 4에 나타낸 바와 같이 형성될 때까지 계속됨을 나타낸다. 바람직한 형태에서, 인터커넥트(28)는 유전층(20)의 상부 표면과 동일 평면상에 형성될 것이다. 그러나, 구리 층(22)의 일부 함몰/디싱(dishing)은 대부분의 CMP 시스템에서 발생하여 도 4에 나타낸 함몰된 인터커넥트(28)를 생성시킬 수 있다. CMP 슬러리 내에 트리아졸 또는 트리아졸 유도체가 존재하면 구리 인터커넥트(28)의 이런 불리한 함몰을 감소시키는 것이 실험적으로 나타났다는 것을 주지하는 것이 중요하다. 그러나, 층(28) 함몰 전체를 제거하는 것은 모든 적용에서 가능한 것은아닐 수 있다. 도 4는 또한 얇은 구리 산화물 층(22)이 인터커넥트 층(28)의 상부 표면에 잔존할 수 있음을 나타낸다.
도 5는 도 4에 설명된 구리 함몰 문제가 도 2 내지 도 4에 설명된 구리 연마 외에 후속적인 산화물 연마를 수행함으로써 개선될 수 있음을 나타낸다. 산화물 연마를 수행하기 위해, 제2 슬러리(30)와 제2 연마 패드(32)를 반도체 구조(10)의 상부에 적용하여 제2 CMP 공정을 수행한다. 도 5의 연마 패드(32)와 도 3의 패드(26)는 동일한 CMP 도구 상의 동일한 패드일 수 있지만, 패드(26)와 패드(32)는 매우 상이한 CMP 도구 상의 상이한 연마 패드일 수 있다. 제2 슬러리(30)와 슬러리(24)는 바람직하게는 상이하기 때문에, 제2 슬러리(30)는 구리에 대해 선택적으로 산화물을 제거하도록 최적화되는 한편 슬러리(24)는 산화물에 대해 선택적으로 구리를 제거하도록 최적화된다. 도 5에서, 제2 슬러리(30)는 전형적으로 KOH와 탈이온수를 포함하는 실리카 연마제이다. 연마 패드(32)와 인접한 당해 제2 슬러리(30)는 층(20)의 얇은 상층 부분을 유효하게 제거할 수 있도록 하기 때문에, 층(20)이 구리 인터커넥트(28)의 상부 표면과 동일 평면상에서 다시 제조된다.
도 5에서, 구리 이중 상감 인터커넥트는 본원에 교시된 구리 CMP 슬러리를 사용으로써 완료된다.
본 발명은 구체적인 양태를 참조하여 기재되고 설명되었지만, 본 발명을 이들 예시적인 양태로 제한하려는 것은 아니다. 당해 기술분야의 숙련가들은 본 발명의 정신 및 범주로부터 벗어나지 않고 변형과 변경이 가능함을 인지할 것이다. 예를 들면, 본원에 기재된 두께는 평판술 면에서의 특징적인 크기가 작아지고 기술적 진보가 진행됨에 따라 시간의 경과로 대부분 작아지게 된다. 저압 CVD(LPCVD), 플라즈마 강화 CVD(PECVD), 물리적 증착(PVD), 무전해 도금, 스퍼터링(sputtering) 등과 같은 기타 부착 방법이 본원에서 다양한 층을 형성하는 데 사용될 수 있다. 본원에서 교시된 산화제가 구리를 산화시키는 임의의 화학약품일 수 있다는 점에서 H2O2이외의 기타 산화제가 사용될 수 있다. 따라서, 본 발명은 첨부한 청구의 범위의 영역내의 모든 변경과 변형을 포함하는 것으로 의도된다.
본 발명의 슬러리는 산화물에 대한 구리의 선택성이 우수하고, 그 결과, 구리 장치에서 전기 성능이 우수하며, 이의 배열을 기타 선행 기술의 슬러리와 비교하는 경우 환경적으로 안전하기 때문에, 슬러리의 처리는 환경적으로 어렵지 않다.

Claims (3)

  1. 산화제 0.2 내지 5중량%, 카복실레이트 염 0.2 내지 20중량% 및 연마제 슬러리 1.0 내지 12중량% 및 용매를 포함하는 화학 기계적 연마 슬러리(24).
  2. 상부 표면을 갖는 유전층(20)을 형성하는 단계,
    유전층(20)에서 개구를 형성하는 단계,
    개구 내부와 유전층(20)의 상부 표면 위에 구리 층(22)을 형성하는 단계 및
    구리 층(22)을 산화제, 시트레이트 염, 연마제 슬러리 및 용매를 포함하는 연마 슬러리(24)로 연마하여, 유전층(20)의 상부 표면 위에 위치하는 구리 층(22)의 일부를 제거하고, 구리 층(22)의 일부를 개구에 잔존시키는 단계를 포함하여, 집적 회로(10) 위의 구리 층(22)을 연마하는 방법.
  3. 제1 유전층(16)을 형성하는 단계,
    제1 유전층(16) 위에 에칭 중지층(18)을 형성하는 단계,
    에칭 중지층(18) 위에, 상부 표면을 갖는 제2 유전층(20)을 형성하는 단계,
    제1 유전층(16)을 통해 비어(via)를 형성하는 단계,
    비어가 인터커넥트 트렌치의 아래에 놓이도록 제2 유전층(20)을 통해 인터커넥트 트렌치를 형성하는 단계,
    인터커넥트 트렌치와 비어내에 차단층을 형성하는 단계,
    구리 층(22)을 인터커넥트 트렌치 내부, 비어 내부, 제2 유전층(20)의 상부 표면 위 및 차단층 위에 형성하는 단계 및
    구리 층(22)을 산화제, 시트레이트 염, 연마제 슬러리, 트리아졸 유도체 및 용매를 포함하는 슬러리(24)로 연마하여, 구리 층(22)의 일부는 비어와 상호접속 트렌치에 잔존하는 한편, 제2 유전층(20)의 상부 표면 위에 위치한 구리 층(22)의 일부는 제거되도록 하는 단계를 포함하여, 집적 회로(IC)(10) 위의 구리 층(22)을 연마하는 방법.
KR10-1998-0043576A 1997-10-20 1998-10-19 화학기계적연마슬러리및연마방법 KR100357806B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/954,190 1997-10-20
US08/954,190 1997-10-20
US08/954,190 US5897375A (en) 1997-10-20 1997-10-20 Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture

Publications (2)

Publication Number Publication Date
KR19990037180A KR19990037180A (ko) 1999-05-25
KR100357806B1 true KR100357806B1 (ko) 2003-01-24

Family

ID=25495066

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1998-0043576A KR100357806B1 (ko) 1997-10-20 1998-10-19 화학기계적연마슬러리및연마방법

Country Status (7)

Country Link
US (1) US5897375A (ko)
JP (1) JPH11238709A (ko)
KR (1) KR100357806B1 (ko)
CN (1) CN1195896C (ko)
HK (1) HK1021210A1 (ko)
SG (1) SG67572A1 (ko)
TW (1) TW565606B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100450987B1 (ko) * 2000-11-24 2004-10-02 도꾜 지끼 인사쯔 가부시키가이샤 화학적 기계적 연마용 슬러리

Families Citing this family (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6258137B1 (en) * 1992-02-05 2001-07-10 Saint-Gobain Industrial Ceramics, Inc. CMP products
US6194317B1 (en) * 1998-04-30 2001-02-27 3M Innovative Properties Company Method of planarizing the upper surface of a semiconductor wafer
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6130161A (en) 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US6068879A (en) * 1997-08-26 2000-05-30 Lsi Logic Corporation Use of corrosion inhibiting compounds to inhibit corrosion of metal plugs in chemical-mechanical polishing
US6001730A (en) * 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US6303551B1 (en) 1997-10-21 2001-10-16 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of cooper film
US6479443B1 (en) 1997-10-21 2002-11-12 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of copper film
US6165956A (en) * 1997-10-21 2000-12-26 Lam Research Corporation Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
US6294027B1 (en) * 1997-10-21 2001-09-25 Lam Research Corporation Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
US6096652A (en) * 1997-11-03 2000-08-01 Motorola, Inc. Method of chemical mechanical planarization using copper coordinating ligands
US6174811B1 (en) * 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
TW374946B (en) * 1997-12-03 1999-11-21 United Microelectronics Corp Definition of structure of dielectric layer patterns and the manufacturing method
JPH11204523A (ja) * 1998-01-07 1999-07-30 Toshiba Corp 半導体装置の製造方法
TW410455B (en) * 1998-02-16 2000-11-01 United Microelectronics Corp Forming method for dual damascene structure
JP3147072B2 (ja) * 1998-02-26 2001-03-19 日本電気株式会社 半導体装置の製造方法
US6200901B1 (en) * 1998-06-10 2001-03-13 Micron Technology, Inc. Polishing polymer surfaces on non-porous CMP pads
US6037262A (en) * 1998-06-15 2000-03-14 Lsi Logic Corporation Process for forming vias, and trenches for metal lines, in multiple dielectric layers of integrated circuit structure
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
FR2781922B1 (fr) * 1998-07-31 2001-11-23 Clariant France Sa Procede de polissage mecano-chimique d'une couche en un materiau a base de cuivre
US6194128B1 (en) * 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
SG99289A1 (en) 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
US7338908B1 (en) * 2003-10-20 2008-03-04 Novellus Systems, Inc. Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
US6276996B1 (en) * 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6150272A (en) * 1998-11-16 2000-11-21 Taiwan Semiconductor Manufacturing Company Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage
US6083840A (en) * 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
US7578923B2 (en) * 1998-12-01 2009-08-25 Novellus Systems, Inc. Electropolishing system and process
JP2000160139A (ja) * 1998-12-01 2000-06-13 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US6497800B1 (en) * 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US6610190B2 (en) 2000-11-03 2003-08-26 Nutool, Inc. Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
US7427337B2 (en) * 1998-12-01 2008-09-23 Novellus Systems, Inc. System for electropolishing and electrochemical mechanical polishing
US7204924B2 (en) * 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6413388B1 (en) 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US7425250B2 (en) * 1998-12-01 2008-09-16 Novellus Systems, Inc. Electrochemical mechanical processing apparatus
US7250369B1 (en) * 1998-12-28 2007-07-31 Hitachi, Ltd. Materials for polishing liquid for metal, polishing liquid for metal, method for preparation thereof and polishing method using the same
US6238592B1 (en) * 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
JP3693847B2 (ja) * 1999-03-26 2005-09-14 Necエレクトロニクス株式会社 研磨後ウェハの保管方法および装置
TW486514B (en) * 1999-06-16 2002-05-11 Eternal Chemical Co Ltd Chemical mechanical abrasive composition for use in semiconductor processing
US6274478B1 (en) * 1999-07-13 2001-08-14 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US6573173B2 (en) 1999-07-13 2003-06-03 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
DE60019142T2 (de) * 1999-08-13 2006-02-09 Cabot Microelectronics Corp., Aurora Poliersystem mit stopmittel und verfahren zu seiner verwendung
US6855266B1 (en) * 1999-08-13 2005-02-15 Cabot Microelectronics Corporation Polishing system with stopping compound and method of its use
US6524957B2 (en) 1999-08-30 2003-02-25 Agere Systems Inc. Method of forming in-situ electroplated oxide passivating film for corrosion inhibition
JP4505891B2 (ja) * 1999-09-06 2010-07-21 Jsr株式会社 半導体装置の製造に用いる化学機械研磨用水系分散体
JP4759779B2 (ja) * 1999-09-09 2011-08-31 日立化成工業株式会社 基板の研磨方法
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
JP4264781B2 (ja) 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド 研磨用組成物および研磨方法
US6503418B2 (en) * 1999-11-04 2003-01-07 Advanced Micro Devices, Inc. Ta barrier slurry containing an organic additive
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6368955B1 (en) 1999-11-22 2002-04-09 Lucent Technologies, Inc. Method of polishing semiconductor structures using a two-step chemical mechanical planarization with slurry particles having different particle bulk densities
US6576551B1 (en) 1999-12-20 2003-06-10 Taiwan Semiconductor Manufacturing Company Chemical mechanical polish planarizing method with pressure compensating layer
US7041599B1 (en) 1999-12-21 2006-05-09 Applied Materials Inc. High through-put Cu CMP with significantly reduced erosion and dishing
US6612915B1 (en) 1999-12-27 2003-09-02 Nutool Inc. Work piece carrier head for plating and polishing
US6395642B1 (en) * 1999-12-28 2002-05-28 Taiwan Semiconductor Manufacturing Company Method to improve copper process integration
JP3450247B2 (ja) 1999-12-28 2003-09-22 Necエレクトロニクス株式会社 金属配線形成方法
JP3490038B2 (ja) 1999-12-28 2004-01-26 Necエレクトロニクス株式会社 金属配線形成方法
US6274483B1 (en) * 2000-01-18 2001-08-14 Taiwan Semiconductor Manufacturing Company Method to improve metal line adhesion by trench corner shape modification
JP3869608B2 (ja) 2000-01-25 2007-01-17 Necエレクトロニクス株式会社 防食剤
US6355075B1 (en) 2000-02-11 2002-03-12 Fujimi Incorporated Polishing composition
US6354916B1 (en) * 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US7141146B2 (en) * 2000-02-23 2006-11-28 Asm Nutool, Inc. Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface
US20090020437A1 (en) * 2000-02-23 2009-01-22 Basol Bulent M Method and system for controlled material removal by electrochemical polishing
US20060131177A1 (en) * 2000-02-23 2006-06-22 Jeffrey Bogart Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
US6599837B1 (en) * 2000-02-29 2003-07-29 Agere Systems Guardian Corp. Chemical mechanical polishing composition and method of polishing metal layers using same
US6852208B2 (en) 2000-03-17 2005-02-08 Nutool, Inc. Method and apparatus for full surface electrotreating of a wafer
US6482307B2 (en) 2000-05-12 2002-11-19 Nutool, Inc. Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing
US6534327B2 (en) 2000-04-13 2003-03-18 Texas Instruments Incorporated Method for reworking metal layers on integrated circuit bond pads
US20060118425A1 (en) * 2000-04-19 2006-06-08 Basol Bulent M Process to minimize and/or eliminate conductive material coating over the top surface of a patterned substrate
WO2001084617A1 (en) * 2000-04-27 2001-11-08 Nu Tool Inc. Conductive structure for use in multi-level metallization and process
US7195696B2 (en) * 2000-05-11 2007-03-27 Novellus Systems, Inc. Electrode assembly for electrochemical processing of workpiece
US6695962B2 (en) 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
US6478936B1 (en) 2000-05-11 2002-11-12 Nutool Inc. Anode assembly for plating and planarizing a conductive layer
KR100338777B1 (ko) 2000-07-22 2002-05-31 윤종용 화학 기계적 연마 이후의 구리층 부식을 방지하는 반도체장치 제조방법 및 이에 이용되는 화학 기계적 연마장치
US6458013B1 (en) 2000-07-31 2002-10-01 Asml Us, Inc. Method of chemical mechanical polishing
AU2001279126A1 (en) * 2000-07-31 2002-02-13 Silicon Valley Group Inc In-situ method and apparatus for end point detection in chemical mechanical polishing
US7029381B2 (en) * 2000-07-31 2006-04-18 Aviza Technology, Inc. Apparatus and method for chemical mechanical polishing of substrates
US6921551B2 (en) * 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7160176B2 (en) 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US7153410B2 (en) 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for electrochemical-mechanical processing of microelectronic workpieces
US7153195B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US7134934B2 (en) * 2000-08-30 2006-11-14 Micron Technology, Inc. Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US6602117B1 (en) 2000-08-30 2003-08-05 Micron Technology, Inc. Slurry for use with fixed-abrasive polishing pads in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US7112121B2 (en) 2000-08-30 2006-09-26 Micron Technology, Inc. Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US7078308B2 (en) * 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7094131B2 (en) 2000-08-30 2006-08-22 Micron Technology, Inc. Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US7129160B2 (en) 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US7074113B1 (en) * 2000-08-30 2006-07-11 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7192335B2 (en) 2002-08-29 2007-03-20 Micron Technology, Inc. Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US6551935B1 (en) 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US6867448B1 (en) 2000-08-31 2005-03-15 Micron Technology, Inc. Electro-mechanically polished structure
US6508953B1 (en) * 2000-10-19 2003-01-21 Ferro Corporation Slurry for chemical-mechanical polishing copper damascene structures
US6623355B2 (en) 2000-11-07 2003-09-23 Micell Technologies, Inc. Methods, apparatus and slurries for chemical mechanical planarization
US6740591B1 (en) * 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
US6776893B1 (en) 2000-11-20 2004-08-17 Enthone Inc. Electroplating chemistry for the CU filling of submicron features of VLSI/ULSI interconnect
KR100396883B1 (ko) * 2000-11-23 2003-09-02 삼성전자주식회사 화학기계적 연마용 슬러리 및 이를 이용한 구리 금속배선제조방법
JP3825246B2 (ja) 2000-11-24 2006-09-27 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
JP3816743B2 (ja) 2000-11-24 2006-08-30 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
US6896776B2 (en) * 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
US6802946B2 (en) 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
JP2004526308A (ja) * 2001-01-16 2004-08-26 キャボット マイクロエレクトロニクス コーポレイション シュウ酸アンモニウムを含有する研磨系及び方法
US6866763B2 (en) * 2001-01-17 2005-03-15 Asm Nutool. Inc. Method and system monitoring and controlling film thickness profile during plating and electroetching
JP4719991B2 (ja) * 2001-03-12 2011-07-06 株式会社デンソー 炭化珪素半導体装置の製造方法
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7128825B2 (en) * 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US20070290166A1 (en) * 2001-03-14 2007-12-20 Liu Feng Q Method and composition for polishing a substrate
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US6627550B2 (en) 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
JP4706081B2 (ja) * 2001-06-05 2011-06-22 メック株式会社 銅または銅合金のエッチング剤ならびにエッチング法
US6589099B2 (en) 2001-07-09 2003-07-08 Motorola, Inc. Method for chemical mechanical polishing (CMP) with altering the concentration of oxidizing agent in slurry
US6566266B2 (en) * 2001-07-18 2003-05-20 Texas Instruments Incorporated Method of polishing a layer comprising copper using an oxide inhibitor slurry
US6884724B2 (en) * 2001-08-24 2005-04-26 Applied Materials, Inc. Method for dishing reduction and feature passivation in polishing processes
US6638326B2 (en) 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US6805812B2 (en) 2001-10-11 2004-10-19 Cabot Microelectronics Corporation Phosphono compound-containing polishing composition and method of using same
KR100952870B1 (ko) * 2001-10-26 2010-04-13 아사히 가라스 가부시키가이샤 연마제, 그 제조방법 및 연마방법
US6719920B2 (en) * 2001-11-30 2004-04-13 Intel Corporation Slurry for polishing a barrier layer
US6866792B2 (en) * 2001-12-12 2005-03-15 Ekc Technology, Inc. Compositions for chemical mechanical planarization of copper
TWI259201B (en) * 2001-12-17 2006-08-01 Hitachi Chemical Co Ltd Slurry for metal polishing and method of polishing with the same
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
AU2003235964A1 (en) * 2002-04-30 2003-11-17 Hitachi Chemical Co., Ltd. Polishing fluid and polishing method
JP2004071674A (ja) 2002-08-02 2004-03-04 Nec Electronics Corp 半導体装置の製造方法
JP4010903B2 (ja) 2002-08-02 2007-11-21 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
TW200406829A (en) 2002-09-17 2004-05-01 Adv Lcd Tech Dev Ct Co Ltd Interconnect, interconnect forming method, thin film transistor, and display device
US20050040049A1 (en) * 2002-09-20 2005-02-24 Rimma Volodarsky Anode assembly for plating and planarizing a conductive layer
KR100649859B1 (ko) * 2002-11-08 2006-11-24 제일모직주식회사 구리배선 연마용 cmp 슬러리
US20040092102A1 (en) * 2002-11-12 2004-05-13 Sachem, Inc. Chemical mechanical polishing composition and method
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
WO2004090937A2 (en) * 2003-04-10 2004-10-21 Technion Research & Development Foundation Ltd Copper cmp slurry composition
US20070131563A1 (en) * 2003-04-14 2007-06-14 Asm Nutool, Inc. Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
CN100343362C (zh) * 2003-06-13 2007-10-17 日立化成工业株式会社 金属用研磨液以及研磨方法
US20040259366A1 (en) * 2003-06-20 2004-12-23 Kim Seong Han Method and composition for the chemical-vibrational-mechanical planarization of copper
US20050045852A1 (en) * 2003-08-29 2005-03-03 Ameen Joseph G. Particle-free polishing fluid for nickel-based coating planarization
US6986284B2 (en) * 2003-08-29 2006-01-17 Rohm And Haas Electronic Materials Cmp Holdings, Inc. System and method for characterizing a textured surface
US7112122B2 (en) * 2003-09-17 2006-09-26 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US8530359B2 (en) * 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US7972970B2 (en) 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8372757B2 (en) * 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US7531463B2 (en) * 2003-10-20 2009-05-12 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US20050087450A1 (en) * 2003-10-24 2005-04-28 Reder Steven E. Electropolishing pad
JP4974447B2 (ja) * 2003-11-26 2012-07-11 株式会社フジミインコーポレーテッド 研磨用組成物及び研磨方法
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US7153777B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
US7648622B2 (en) * 2004-02-27 2010-01-19 Novellus Systems, Inc. System and method for electrochemical mechanical polishing
US7497967B2 (en) * 2004-03-24 2009-03-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Compositions and methods for polishing copper
US7306962B2 (en) * 2004-06-17 2007-12-11 Sharp Laboratories Of America, Inc. Electroformed metallization
US20060043534A1 (en) * 2004-08-26 2006-03-02 Kirby Kyle K Microfeature dies with porous regions, and associated methods and systems
US7566391B2 (en) * 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
JP4814502B2 (ja) * 2004-09-09 2011-11-16 株式会社フジミインコーポレーテッド 研磨用組成物及びそれを用いた研磨方法
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
US20060124026A1 (en) * 2004-12-10 2006-06-15 3M Innovative Properties Company Polishing solutions
KR20060077353A (ko) * 2004-12-30 2006-07-05 삼성전자주식회사 슬러리 조성물, 이를 이용한 가공물의 연마방법 및 반도체장치의 콘택 형성방법
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
WO2006081589A2 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Tungsten electroprocessing
US7307321B1 (en) * 2005-03-25 2007-12-11 Spansion Llc Memory device with improved data retention
US20060223320A1 (en) * 2005-03-30 2006-10-05 Cooper Kevin E Polishing technique to minimize abrasive removal of material and composition therefor
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
WO2006125462A1 (en) * 2005-05-25 2006-11-30 Freescale Semiconductor, Inc Cleaning solution for a semiconductor wafer
US20090215269A1 (en) * 2005-06-06 2009-08-27 Advanced Technology Materials Inc. Integrated chemical mechanical polishing composition and process for single platen processing
DE102005038414A1 (de) * 2005-08-12 2007-02-15 Basf Aktiengesellschaft Stabilisierte Ätzlösungen zum Ätzen von Cu- und Cu/Ni-Schicht
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
US7435162B2 (en) * 2005-10-24 2008-10-14 3M Innovative Properties Company Polishing fluids and methods for CMP
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
EP1862562A1 (en) * 2006-05-31 2007-12-05 Mec Company Ltd. Method for manufacturing substrate, and vapor deposition apparatus used for the same
KR100818996B1 (ko) 2006-06-19 2008-04-04 삼성전자주식회사 금속배선 연마용 슬러리
US8500985B2 (en) * 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
US20100273330A1 (en) * 2006-08-23 2010-10-28 Citibank N.A. As Collateral Agent Rinse formulation for use in the manufacture of an integrated circuit
US7998866B2 (en) * 2006-09-05 2011-08-16 Cabot Microelectronics Corporation Silicon carbide polishing method utilizing water-soluble oxidizers
US7678700B2 (en) * 2006-09-05 2010-03-16 Cabot Microelectronics Corporation Silicon carbide polishing method utilizing water-soluble oxidizers
US20080237048A1 (en) * 2007-03-30 2008-10-02 Ismail Emesh Method and apparatus for selective electrofilling of through-wafer vias
US20090056744A1 (en) * 2007-08-29 2009-03-05 Micron Technology, Inc. Wafer cleaning compositions and methods
US20090065365A1 (en) * 2007-09-11 2009-03-12 Asm Nutool, Inc. Method and apparatus for copper electroplating
KR20120080595A (ko) * 2009-09-02 2012-07-17 노벨러스 시스템즈, 인코포레이티드 감소된 등방성 에칭제 물질 소비 및 폐기물 발생
CN103827235B (zh) * 2011-08-01 2016-08-17 巴斯夫欧洲公司 一种制造半导体装置的方法,其包括在包含特定有机化合物的CMP组合物的存在下化学机械抛光元素锗及/或Si1-xGex材料
GB2584372B (en) * 2018-02-22 2022-04-13 Massachusetts Inst Technology Method of reducing semiconductor substrate surface unevenness

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084071A (en) * 1989-03-07 1992-01-28 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US4954142A (en) * 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US4959113C1 (en) * 1989-07-31 2001-03-13 Rodel Inc Method and composition for polishing metal surfaces
US5139571A (en) * 1991-04-24 1992-08-18 Motorola, Inc. Non-contaminating wafer polishing slurry
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5614444A (en) * 1995-06-06 1997-03-25 Sematech, Inc. Method of using additives with silica-based slurries to enhance selectivity in metal CMP

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100450987B1 (ko) * 2000-11-24 2004-10-02 도꾜 지끼 인사쯔 가부시키가이샤 화학적 기계적 연마용 슬러리

Also Published As

Publication number Publication date
JPH11238709A (ja) 1999-08-31
TW565606B (en) 2003-12-11
HK1021210A1 (en) 2000-06-02
SG67572A1 (en) 1999-09-21
CN1223308A (zh) 1999-07-21
US5897375A (en) 1999-04-27
CN1195896C (zh) 2005-04-06
KR19990037180A (ko) 1999-05-25

Similar Documents

Publication Publication Date Title
KR100357806B1 (ko) 화학기계적연마슬러리및연마방법
US6001730A (en) Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
KR100715073B1 (ko) 결함 감소를 위해 평탄화처리된 구리 세정 방법
US6696358B2 (en) Viscous protective overlayers for planarization of integrated circuits
US7183212B2 (en) Polishing method, metallization fabrication method, method for manufacturing semiconductor device and semiconductor device
US6436302B1 (en) Post CU CMP polishing for reduced defects
US6375693B1 (en) Chemical-mechanical planarization of barriers or liners for copper metallurgy
US6218290B1 (en) Copper dendrite prevention by chemical removal of dielectric
US20060160475A1 (en) Chemical mechanical polishing compositions for metal and associated materials and method of using same
US20020042193A1 (en) Fabrication method of semiconductor integrated circuit device
US7052625B2 (en) Slurry and use thereof for polishing
WO2003015981A2 (en) Improved chemical mechanical polishing compositions for metal and associated materials and method of using same
US6858540B2 (en) Selective removal of tantalum-containing barrier layer during metal CMP
US6140239A (en) Chemically removable Cu CMP slurry abrasive
US20040253809A1 (en) Forming a semiconductor structure using a combination of planarizing methods and electropolishing
EP1423868A2 (en) Forming a semiconductor structure using a combination of planarizing methods and electropolishing
JPH1140526A (ja) 配線形成方法及び半導体装置の製造方法
US6207569B1 (en) Prevention of Cu dendrite formation and growth
US6177349B1 (en) Preventing Cu dendrite formation and growth
US6319833B1 (en) Chemically preventing copper dendrite formation and growth by spraying
US6197690B1 (en) Chemically preventing Cu dendrite formation and growth by double sided scrubbing
US6596637B1 (en) Chemically preventing Cu dendrite formation and growth by immersion
US20040140288A1 (en) Wet etch of titanium-tungsten film
US20020192941A1 (en) Method for reducing dishing in copper chemical mechanical polishing process
TW424002B (en) A cleaning method after finishing polishing process of Cu interconnection

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120925

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20140924

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20150924

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20160926

Year of fee payment: 15

LAPS Lapse due to unpaid annual fee