KR100355058B1 - 단일체 분사기 및 증착 챔버 - Google Patents

단일체 분사기 및 증착 챔버 Download PDF

Info

Publication number
KR100355058B1
KR100355058B1 KR1020007000430A KR20007000430A KR100355058B1 KR 100355058 B1 KR100355058 B1 KR 100355058B1 KR 1020007000430 A KR1020007000430 A KR 1020007000430A KR 20007000430 A KR20007000430 A KR 20007000430A KR 100355058 B1 KR100355058 B1 KR 100355058B1
Authority
KR
South Korea
Prior art keywords
gas
elongated
injector
substrate
distribution
Prior art date
Application number
KR1020007000430A
Other languages
English (en)
Other versions
KR20010021866A (ko
Inventor
아담 큐. 밀러
다니엘 엠. 도브킨
Original Assignee
실리콘 밸리 그룹 써어멀 시스템즈, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 실리콘 밸리 그룹 써어멀 시스템즈, 엘엘씨 filed Critical 실리콘 밸리 그룹 써어멀 시스템즈, 엘엘씨
Publication of KR20010021866A publication Critical patent/KR20010021866A/ko
Application granted granted Critical
Publication of KR100355058B1 publication Critical patent/KR100355058B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/001General methods for coating; Devices therefor
    • C03C17/002General methods for coating; Devices therefor for flat glass, e.g. float glass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45595Atmospheric CVD gas inlets with no enclosed reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Branching, Merging, And Special Transfer Between Conveyors (AREA)
  • Processing And Handling Of Plastics And Other Materials For Molding In General (AREA)
  • Percussion Or Vibration Massage (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Delivering By Means Of Belts And Rollers (AREA)

Abstract

분사기(105)는 가스 분배면(114), 가스를 수용하도록 내부에 형성된 통로(117), 및 상기 통로(117)와 가스 분배면 사이로 연장하는 분배 채널(118)을 갖는 부재를 포함한다. 상기 분사기는 에칭제를 분배하도록 내부에 형성되어 제 2 통로(156)와 가스 분배면(114)의 둥근 측면영역 사이로 연장하는 분배채널(157) 및 제 2 통로(156)를 포함한다. 상기 증착챔버(155)는 적어도 하나의 분사기(105), 복수의 통풍블록(106), 및 상기 분사기(105)와 통풍 블록(106) 아래에 위치된 지지대(122)를 포함하며, 이들 사이에서 증착영역(124)을 형성한다. 상기 통풍블록(106)은 분사기(105)의 각각의 한 측면에 인접 위치되어 사이에 배기채널(107)을 형성하도록 분사기(105)로부터 이격되어 있다.

Description

단일체 분사기 및 증착 챔버 {SINGLE BODY INJECTOR AND DEPOSITION CHAMBER}
관련 출원의 상호참조
본 출원은 본원에 참조된, 1994년 7월 18일자로 출원된 08/276,815(현재 포기)의 연속출원이고 1996년 3월 22일자로 08/621,772호로 출원되어 1997년 11월 4일자로 특허 허여된 미국 특허 제 5,683,516의 일부 연속출원인 1997년 7월 14일자 출원된 08/892,469호의 일부 연속출원이다. 이와 함께 동시에 출원된 미국 출원번호 09/113,730호도 총체적으로 본원에 참조되었다.
화학 증착(CVD)은 반도체 제조에 있어서 중요한 성분이다. CVD는 안정한 화합물이 어떤 가스 화학제의 열 반응 또는 분해에 의해 형성될 때 발생되며 그러한 화합물은 기판 표면상에 증착된다. CVD시스템은 여러 형태로 사용된다. 그러한 공정을 위한 하나의 장치는 본 출원인에 양도된 미국 특허 제 4,834,020호에 기술된 컨베이어식(conveyorized) 대기압 CVD(APCVD)시스템을 포함한다. 상기 특허는 본 발명에 참조되었다. 다른 CVD장치로는 플라즈마 강화 CVD(PECVD)시스템 및 저압 CVD(LPCVD) 시스템이 사용될 수 있다.
CVD시스템의 중요한 성분들로는 증착이 발생하는 증착 챔버, 가스 화학제를 기판 표면에 분배하는데 사용되는 분사기가 있다. 상기 가스들은 기판위에 분포되어 상기 가스들이 기판 표면에서 반응하여 소정의 박막을 형성한다. 상기 증착챔버는 증착이 발생할 수 있는 제어된 환경을 제공할 수 있도록 주의깊게 설계되어야 한다. 예를들어, 상기 챔버는 가스 격실을 제공해야 하나 가스의 선반응 및 불균일한 박막의 증착 원인이 될 수 있는 가스의 재순환을 감소시켜야 한다. 상기 챔버는 과잉 반응물 및 반응 부산물을 제거하기 위해 배기되어야 하나 심지어 반응 기판으로의 가스 유동을 중단시켜서는 안된다. 게다가, 챔버 및 챔버 부재의 온도는 반응가스의 응축을 방지하고 부산물 입자의 축적을 최소화하여 시스템의 세정을 가능하게 할 수 있도록 주의깊게 제어되어야 한다. 또한, 상기 증착 챔버는 바람직하게, 그 작동과정을 통하여 (허용공차와 같은) 기계적 통합도를 유지해야 한다. 이러한 모든 인자들은 증착을 위한 적합한 환경을 제공하도록 세심한 균형을 이루어야 한다.
상기 증착챔버내에서의 분사기의 기능은 제어된 방식으로 가스를 소정의 위치에 분포시키는 것이다. 가스의 제어된 분포는 부분적으로 가스의 선반응 및 예비 혼합을 최소화함으로써 완전하고 효율적이며 균일한 반응의 기회를 최대화한다. 완전한 반응은 양질 박막의 제공가능성을 더욱 더 많이 부여한다. 가스 유동이 제어되지 않으면, 화학반응은 최적으로 이루어지지 않아서 균일하지 않은 복합물 박막을 초래하게 된다. 상기 박막이 균일하지 않을 때에는 반도체의 적합한 기능이 손상된다. 따라서, 분사기의 설계에는 제어된 방식의 바람직한 가스 유동을 용이하게 하는 것이 중요하다.
본 출원인에게 양도된 미국 특허 제 5,136,975호에 기술된 종래의 분사기에 있어서, 각각 다수의 선형 구멍을 포함하는 다수의 적층판이 사용된다. 상기 판은 다수의 캐스캐이드 구멍 열을 생성하며 냉각판에 의해 에워싸인 슈트는 상기 마지막 구멍열 아래에 위치된다. 상기 슈트는 중앙 통로를 포함하며 덕트는 상기 슈트와 냉각판 사이에 형성된다. 화학 라인은 개별적인 캐스캐이드 구멍 열의 상부에 가스를 확실하게 이송하는 상부 판에 가스를 분배한다. 상기 가스는 증가된 균일방식으로 가스가 유동할 수 있게 하는 캐스캐이드 구멍 열을 통해 이송된다. 상기 슈트 통로는 가스를 별도로 수용하여 상기 가스를 웨이퍼 위의 영역으로 이송한다. 이러한 영역에 있어서, 상기 가스는 혼합, 반응하여 웨이퍼 상부에 박막 또는 층을 형성한다.
전술한 캐스캐이드 작용은 균일하게 분포된 가스 유동을 제공한다. 그러나, 유동 제어 및 분사기 설계에 있어서의 간편성이 개선될 수 있다. 게다가, 분사기를 증착 챔버에 일체화시키는 것도 고려될 수 있다. 종종, 종래기술의 시스템에 있어서 분사기는 증착챔버내측에 삽입되며 별도의 프레임으로 밀봉된다. 배기 및 정화 장치와 온도 제어시스템은 기계적 부품을 챔버에 추가한다. 이들 모든 부품은 설계에 있어서 기계적 복잡성을 초래한다. 또한, 이들 모든 부품을 결합시키기 위한 밀봉요건은 부품 표면의 온도제어를 더욱 어렵게 하고 부식환경에의 노출로 인한 상기 부품의 열화때문에 시스템의 작동중지 및 보수유지 비용의 증가를 초래한다. 따라서, 전술한 문제점들을 최소화할 수 있는 증착 챔버를 제공하는 것이 바람직하다.
발명의 목적 및 개요
본 발명의 목적은 반도체 기판을 처리하기 위한 개선된 증착챔버를 제공하는 것이다.
본 발명의 다른 목적은 박막 또는 층을 화학 증착(CVD)에 의해 표면상에 증착하기 위해 표면에 가스 화학제를 제어방식으로 분배하는 개선된 분사기를 제공하는 것이다.
본 발명의 또다른 목적은 통합 분사기를 갖는 증착챔버를 제공하는 것이다.
본 발명의 또다른 목적은 단일 블록의 재료로 제조함으로써 정밀한 정렬 및 위치선정을 필요로 하는 복잡한 기계부품을 제거한 분사기를 제공하고자 하는 것이다.
본 발명의 또다른 목적은 내측 밀봉을 제거함으로써 유지보수 및 그와 관련된 비용을 최소화한 분사기를 제공하고자 하는 것이다.
본 발명의 또다른 목적은 부품 수와 밀봉을 최소화함으로써 유지보수 비용 및 그로인한 작동중지 시간을 감소시킨 증착챔버를 제공하고자 하는 것이다.
본 발명의 또다른 목적은 반응가스에 노출된 모든 표면의 온도가 정확히 제어될 수 있는 분사기 및 배기 시스템을 제공하고자 하는 것이다.
본 발명의 또다른 목적은 웨이퍼상에 증착된 박막의 균일도를 개선한 증착챔버 및 분사기를 제공하고자 하는 것이다.
본 발명은 가스를 표면 및 기판처리용 증착 챔버에 분배하기 위한 분사기에 관한 것이다. 특히, 본 발명은 단일체 분사기 및 화학 증착(CVD)에 의해 반도체 기판을 처리하기 위한 통합 단일체 분사기에 관한 것이다. 또한, 본 발명은 단일체 분사기 및 증착 챔버의 제조방법에 관한 것이다.
본 발명의 다른 목적 및 장점들은 다음의 도면 및 상세한 설명을 참조함으로써 보다 분명해 진다.
도 1은 본 발명의 일 실시예에 따른 분사의 측면도이며,
도 2는 분사기의 일 실시예인 도 1의 2-2선에 따라 취한 횡단면이며,
도 3은 본 발명의 제 2 실시예에 따른 분사기의 횡단면도이며,
도 4는 본 발명의 제 3 실시예에 따른 분사기의 횡단면도이며,
도 5는 본 발명의 제 4 실시예에 따른 분사기의 횡단면도이며,
도 6은 도 4 및 도 5에 도시된 분사기의 계량튜브의 횡단면도이며,
도 7은 도 4, 도 5 및 도 6에 도시된 분사기의 계량튜브내의 개구패턴의 일 실시예를 도시하는 평면도이며,
도 8은 도 4, 도 5 및 도 6에 도시된 분사기의 계량튜브내의 개구패턴의 다른 실시예를 도시하는 평면도이며,
도 9는 도 4, 도 5 및 도 6에 도시된 분사기의 계량튜브내의 슬롯형 개구의 평면도이며,
도 10은 도 4, 도 5 및 도 6에 도시된 분사기의 계량튜브내의 개구패턴의 또다른 실시예를 도시하는 평면도이며,
도 11은 도 4, 도 5 및 도 6에 도시된 분사기의 계량튜브내의 개구패턴의 또다른 실시예를 도시하는 평면도이며,
도 12는 분사기에의 플랜지 및 계량튜브의 부착을 도시하는 부분 확대된 측면도이며,
도 13은 에칭제를 분배하기 위한 통로를 사용하는 본 발명의 또다른 실시예에 따른 분사기의 횡단면도이며,
도 14는 도 13에 도시된 분사기의 또다른 실시예에 따른 분사기의 횡단면도이며,
도 15a 내지 도 15c는 둥근 측면영역 및 중앙 오목영역을 갖는 가스 분배표면을 포함하는 본 발명의 또다른 실시예에 따른 분사기의 횡단면도이며,
도 16a 및 도 16b는 에칭제를 분배하기 위한 통로를 포함하는 도 15a 내지 도 15c에 도시한 분사기의 또다른 실시예에 따른 분사기의 횡단면도이며,
도 17은 본 발명의 또다른 일 실시예에 따른 화학 증착장치의 횡단면도이며,
도 18은 본 발명의 또다른 일 실시예에 따라 두 개의 단일체로 구성된 통합 분사기를 갖춘 증착챔버의 확대 횡단면도이며,
도 19는 본 발명의 또다른 실시예에 따른 다중 분사기 및 통풍 블록을 갖춘 증착챔버의 확대 횡단면도이다.
전술한 목적 및 그 이외의 목적들은 본 명세서에서 설명하는, 단부 표면 및 하나 이상의 가스 분배 표면을 갖춘 길다란 부재를 포함하는 분사기에 의해 달성되는데, 상기 가스분배 표면은 상기 길다란 부재의 길이를 따라 연장하고 내부에 형성된 다수의 길다란 통로를 포함한다. 상기 길다란 부재의 내부에 형성된 것은 상기 길다란 통로와 가스 분배 표면 사이로 연장하는 다수의 얇은 분배채널이다. 본 발명의 다른 실시예에서, 다수의 계량튜브가 상기 각각의 길다란 통로내측에 통로 벽으로부터 이격되어 양 단부 사이로 연장하게 삽입될 수 있다. 상기 계량튜브는 상기 분배채널로부터 이격되게 형성될 수 있는 다양한 형태와 칫수를 갖는 개구를 포함할 수 있다. 상기 계량튜브는 계량튜브를 따라 이송되는 가스 화학제를 수용함으로써 가스가 개구로부터 유출시켜 대응하는 분배채널을 통해 이송되어 가스 분배표면의 길이를 따라 제어된 방식으로 지향된다. 다수의 가스가 사용되는 실시예에 있어서, 상기 분배채널은 상기 가스분포를 가스의 혼합을 필요로하는 영역으로 지향시킨다. 또한, 상기 분배채널은 가스의 조급한 화학반응을 방지함으로써 분사기의 화학적 오염을 방지한다. 상기 가스는 가스가 혼합되고 반응하여 분사기 아래에 위치된 기판상에 균일한 박막을 형성하는 소정의 영역으로 지향된다.
또다른 실시예에 있어서, 에칭제를 수용하기 위한 길다란 통로를 더 포함하는 분사기가 제공된다. 상기 에칭제는 길다란 통로와 가스분배 표면 사이로 연장하는 하나 이상의 분배 채널을 경유하여 가스 분배표면으로 이송된다. 상기 에칭제는 챔버내의 가스 분배표면 및 다른 표면을 따라 증착된 재료를 제거하는 가스 분배표면을 따라 분포된다.
또다른 실시예에서, 가스를 수용하도록 내부에 형성된 다수의 길다란 제 1 통로를 가지며 길이를 따라 연장하는 하나 이상의 가스 분배표면 및 단부면을 갖는 길다란 부재를 포함하는 분사기를 포함한다. 상기 가스 분배표면은 둥근 측면영역 및 중앙의 오목한 영역을 포함한다. 또한 상기 부재 내부에는 상기 길다란 제 1 통로와 상기 가스 분배표면의 중앙 오목영역 사이로 연장하는 다수의 얇은 분배채널이다. 또다른 실시예에서, 상기 분사기는 에칭제를 수용하도록 내부에 형성된 길다란 제 2 통로를 더 포함한다. 상기 에칭제는 길다란 제 2 통로와 상기 가스 분배표면의 둥근 측면영역중 하나 사이로 연장하는 적어도 하나의 얇은 분배채널을 경유하여 이송된다. 전술한 바와같이, 계량튜브는 각각의 길다란 통로내측으로 삽입될 수 있으며 상기 통로의 벽으로부터 이격되고 상기 단부들 사이로 연장한다.
새로운 실시예
본 발명은 특히 유리한 진보성있는 증착챔버를 제공한다. 상기 증착챔버는 단부면 및 가스 분배용 분사기의 길이를 따라 기판으로부터 연장하는 적어도 하나의 길다란 가스 분배표면을 갖는 단일 부재와, 단부표면 및 각각의 길이를 따라 연장하는 적어도 하나의 길다란 외측표면을 갖는 복수의 통풍 블록, 및 상기 분사기와 통풍블록 아래에 위치되어 사이에 증착 영역을 형성하는 지지대로 구성된 분사기를 포함한다. 상기 통풍블록은 분사기의 각각의 측면상에 위치되며 가스를 제거하도록 사이에 형성된 배기채널을 형성하도록 분사기로부터 이격되어 있다.
또다른 실시예에서, 다중 분사기와 통풍 블록으로 구성된 증착챔버가 제공된다.
도면을 참조하면, 동일 구성부품은 도면, 특히 본 발명에 따른 분사기의 일 실시예를 도시하는 도 1 및 도 2에서 동일 도면부호로 나타냈다. 상기 분사기(10)는 정면(11), 후면(12), 상면(9), 바닥면(14) 및 단부면(15)을 포함하는 부재 또는 블록을 포함한다. 본 발명의 이러한 실시예에서, 바닥면(14)은 가스 분배면이다.분사기(10) 아래에 위치된 것은 기판(16)이다.
상기 분사기(10)는 분사기(10)내에서 단부면(15) 사이로 연장하는 길다란 제 1 통로(17)를 포함한다. 한 단부면(15)은 폐쇄되어 있다. 화학제 분배라인(13)은 길다란 통로(17)의 단부로 인입되어 있다. 또한, 분사기(10)내에 형성되어 있는 것은 상기 길다란 통로(17)와 가스 분배면(14) 사이로 연장하는 분배채널(18)이다. 분사기의 길이를 따라 보면 상기 분배채널(18)이 기판(16)을 가로질러 연장하는 것이 보인다. 이러한 실시예에서, 길다란 제 2 통로(19)는 분사기(10)의 온도를 제어하기 위한 액체 또는 가스의 순환을 위해 분사기(10)내에 형성된다.
CVD 공정에 있어서, 증착될 원소를 함유하고 있는 가스가 화학제 라인(13)을 통해 도입되어 통로(17)를 따라 또한 상기 통로(17)로부터 얇은 분배채널(18)을 따라 가스 분배면(14)으로 흐른다. 상기 가스는 분배채널(18)로부터 흘러나와서 가스 분배면(14)의 길이를 따라 상기 분사기(10)를 빠져나옴으로써 가스가 도 2의 화살표로 나타낸 바와 같이 기판으로 분배된다. 상기 가스는 실질적으로 제어된 선형방식으로 분사기에 의해 분포된다. 상기 부재(10)가 장방형 블록으로서 설명되었지만, 상기 부재는 어떤 다른 형상일 수 있다. 상기 가스 분배면(14)은 가스의 분포를 개선할 수 있는 형상으로 구성될 수 있다.
다수의 적용예에서, 기판상에 적절한 조성을 갖는 박막 또는 층을 증착하도록 다수의 가스가 반응해야 한다. 그러한 예들에 있어서, 본 발명의 제 2 실시예인 도 3에 도시한 바와 같은 복수의 통로들이 제공된다. 분사기(10)는 길다란 복수의 제 1 통로(17)를 포함하는데, 상기 각각의 통로들은 단부면(15) 사이로 연장한다. 화학제 분배라인(13)은 각각의 통로(17)에 부착된다. 복수의 분배채널(18)이 분배기(10)내에 형성되며 서로 이격되어 있다. 각각의 분배채널(18)은 길다란 별개의 제 1 채널(17)과 가스 분배면(14) 사이로 연장한다. 가스들은 통로(17)로 도입되어 분배채널(18)을 통해 가스 분배면(14)으로 이송되며, 상기 가스는 길이방향으로 따라 혼합되어 기판(16)상에 박막 또는 층을 제공한다. 가스 분포를 개선하기 위해, 가스가 가스 분배면(14)을 따라 배출될 때 상기 분배채널(18)은 가스 유동을 기판(16)에 인접한 소정의 영역으로 지향시킨다. 또한, 상기 분배채널(18)은 가스를 가스 분배면으로부터 이격되게 지향시켜 상기 표면에서 화학제와의 조기 반응을 방지함으로써 화학제에 의한 분사기(10)의 오염을 방지한다. 따라서, 상기 가스는 가스가 혼합, 반응하여 기판(16)상에 박막 또는 층을 증착할 기회를 부여하는 소정의 영역으로 거의 선형 방식으로 개별적으로 분포된다. 분사기(10)의 온도제어는 길다란 통로(19)에 의해 수행될 수 있다.
본 실시예에서, 상기 가스 분배면(14)으로부터 수직하게 상기 표면을 따라 연장하여 상기 분배채널(18)의 외측에 위치되며 CVD챔버내에 분사기(10)를 위치시키기 위한 로케이터 릿지(21)가 제공된다. 상기 로테이터 릿지(21)가 가스 분배면(14)으로부터 연장한다고 설명하였지만, 상기 릿지는 부재(10)의 다른 표면상에 놓일 수 있다.
상기 CVD의 적용에 있어서, 프로세싱 챔버내측으로 도입된 가스의 농도와 제어 유동을 유지하는 것이 바람직하다. 계량 튜브(22)는 제어 유동 및 농도를 유지하도록 제공될 수 있다. 상기 계량튜브(22)는 가스 유동형태를 제어하도록 제공된다. 몇몇 예에서, 조성이 균일하지 않은 가스 및 박막의 불완전한 반응을 초래하는 CVD반응영역내에서의 변화를 보상하도록 특정 가스유동 형태를 제공하는 것이 바람직하다. 예를들어, 보다 큰 양의 가스를 기판(16)의 특정영역으로 지향시키는 것이 바람직할 수 있다. 도 4에 도시된 본 발명의 제 3 실시예는 길다란 제 1 통로(17)내측에 삽입되는, 개구(23)를 갖춘 계량 튜브(22)가 제공된다. 상기 계량튜브(22)는 통로(17)의 벽으로부터 이격되어 있고 단부면(15) 사이로 연장한다. 분배채널(18)이 분사기(10)내에 형성되고 길다란 통로(17)와 가스 분배면(14) 사이로 연장한다. 본 실시예의 하나의 변형예로서, 계량튜브(22)는 도 4에 도시한 바와 같은 개구(23)를 포함한다. 본 실시예의 다른 변형예로서, 상기 계량튜브(22)는 다공질 재료로 제조되며 계량 튜브(22)내에 개구를 포함하지 않는다.
상기 계량튜브(22)는 화학제 라인(13)으로부터의 가스를 수용하고 길다란 통로(17)를 따라 분배되고나서, 상기 가스는 분배채널(18)을 통해 유동되어 기판(16)으로 유출된다.
도 5는 본 발명의 제 4 실시예를 도시한다. 복수의 길다란 제 1 통로(17)가 분사기(10)내에 형성되며, 상기 각각의 통로는 단부면(15) 사이로 연장한다. 복수의 분배채널(18)은 분사기(10)내에 형성되며 분배채널(18)은 서로로부터 이격되어 있다. 각각의 분배채널(18)은 별도의 길다란 제 1 통로(17)와 가스 분배면(14) 사이로 연장한다. 개구(23)를 포함하는 적어도 하나의 계량튜브(22)는 길다란 제 1 통로(17)의 적어도 하나의 내측에 삽입된다. 상기 계량튜브(22)는 통로(17)의 벽으로부터 이격되어 상기 단부면(15) 사이로 연장한다. 본 실시예의 변형예로서,별도의 계량튜브(22)가 복수의 길다란 제 1 통로(17) 각각의 내측으로 삽입될 수 있다. 화학제 분배라인(13)은 각각의 계량튜브(22)에 부착된다.
도 5를 참조하면, 분배기(10)를 CVD챔버내에 위치시키기 위한 로케이터 릿지(21)가 가스 분배면(14)으로부터 수직하게 가스 분배면(14)을 따라 연장하고 분배채널(18)의 외측에 위치되게 제공된다. 온도 제어는 길다란 제 2 통로(19)에 의해 수행될 수 있다. 로케이터 릿지(21)는 분사기(10)를 CVD챔버내에 위치시키기 위한 기구를 제공한다.
이와같이, 제 4 실시예에서 화학제 분배라인(13)은 대응하는 계량 튜브(22), 또는 계량튜브(22) 및 길다란 제 1 통로(17)의 조합체에 부착되며 그곳에 가스 화학제를 이송한다. 상기 가스는 계량튜브(22)를 통해 대응하는 길다란 제 1 통로(17) 내측을 통과하며 대응 분배채널(18)을 통해 상기 표면의 길이방향을 따라 가스 분배면(14)으로 이송된다. 상기 분배채널(18)은 가스 유동을 기판(16)에 인접한 소정 영역으로 개별적으로 지향시킴으로써 가스의 분포를 개선한다. 상기 계량튜브(22)는 하나의 특정가스의 유동형태, 또는 기판에 인접한 소정영역에서 농도의 변화를 위해 가스를 분배하기 위한 가스의 수를 조절하는데 사용됨으로써 CVD챔버 내에서 발생하는 화학 반응율을 제어한다. 상기 화학반응율을 제어함으로써 더욱 균일한 박막이 기판(16)상에 증착될 수 있다.
가스의 유동패턴을 조절하기 위해서, 다수의 변형이 계량 튜브(22)에 수행될 수 있다. 계량 튜브가 개구(23)를 포함하는 경우에, 상기 개구는 분배채널(18)로부터 이격되게 지향될 수 있다. 이와는 달리, 상기 개구(23)는 분배채널(18)쪽으로 지향될 수 있다. 바람직한 실시예에서, 상기 개구들은 분배채널과 대향된다. 상기 계량튜브(22)의 다양한 형상들은 도 6 내지 도 10을 참고하면 더욱 명확히 이행될 수 있다.
도 6은 개구(23)를 포함하는 계량튜브(22)의 횡단면도이다. 가스는 계량튜브를 통해 이송되어 개구(23)를 통해 출력된다. 개구(23)의 형상은 가스 출력 흐름형상을 제어한다.
도 7 내지 도 10은 소정의 가스유동 형태를 조절하기 위해 본 발명에서 고려된 다양한 개구의 형상을 도시한다. 도 7을 참조하면, 상기 개구(23)는 계량 튜브(22)의 길이방향으로 따라 연장하는 복수의 정렬 구멍(24)을 포함한다. 본 실시예에서, 상기 구멍(24)은 동일한 직경을 가지며 상기 튜브(22)를 따라 동일하게 이격되어 있다.
다른 개구패턴이 도 8에 도시되어 있으며, 상기 도 8의 개구(23)는 계량튜브(22)의 길이방향을 따라 연장하는 복수의 정렬 슬롯(25)을 포함한다. 상기 슬롯은 동일한 직경을 가지며 튜브(22)를 따라 동일하게 이격되어 있다.
또다른 개구패턴이 도 9에 도시되어 있으며, 상기 도 9에는 연속 슬롯(26)이 계량튜브(22)의 길이방향을 따라 연장한다.
또다른 개구 형상이 도 10에 도시되어 있다. 상기 개구(27)는 길이를 따라 칫수, 또는 피치 또는 이들 칫수 또는 피치를 조합한 다양한 복수의 개구들을 포함한다. 상기 개구들은 구멍 또는 슬롯일 수 있다. 일 실시예에서, 상기 개구는 계량튜브(22)의 각각 단부에서 작은 칫수로 시작하여 상기 계량튜브(22)의 중앙을 향해 칫수가 점진적으로 증가한다. 상기 가스 체적유동비는 개구를 크게하여 높임으로써 가스 출구 유동패턴을 제어할 수 있다.
개구 형상의 또다른 실시예가 도 11에 도시되어 있다. 상기 개구(28)는 계량 튜브(22)의 길이를 따라 동일한 칫수와 피치를 갖는 복수의 개구를 포함한다. 상기 계량튜브(22)의 중심 근처에는 추가의 개구(29)가 제공되어서 체적 유동비가 계량튜브(22)로부터 커지게 된다.
최종적으로, 계량 튜브(22)와 화학제 분배라인(13) 사이의 부착기구는 부착기구와 분사기의 계량튜브를 부분 확대 측면도로 도시하는 도 12를 참조하여 더욱 명확히 이해할 수 있다. 계량 튜브(22)는 길다란 통로(17) 내측에 삽입되어 단부면(15) 사이로 연장한다. 플랜지(30)는 화학제 분배라인(13)에 부착되고 나서 분사기(10)의 단부면(15)에 부착된다. 플랜지와 단부면 사이에는 밀봉제(31)가 제공된다. 상기 계량튜브(22)는 플랜지에 부착되어 기밀한 밀봉을 제공한다.
다양한 화학제가 CVD공정에 사용된다. 본 발명은 다양한 화학제 분배라인을 제공한다. 일 실시예에서 상기 화학제 분배라인(13)은 실리콘 이산화물 층을 형성하도록 제 1 라인으로 테트라에톡시실란(TEOS) 및 질소를, 제 2 라인으로 질소를, 그리고 제 3 라인으로 산소화 혼합되는 오존을 이송할 수 있다.
전술한 바와 같이, 본 발명을 실행하기 위한 여러 변형예가 있을 수 있다. 바람직한 실시예로 내부에 삽입된 5 개의 계량튜브를 갖는 5개의 길다란 제 1 통로를 포함한다. 그러나 상기 칫수는 바람직한 실시예에서 변화될 수 있으며, 각각의 통로는 대략 3/8 인치의 직경을 가지며, 각 계량 튜브의 외경은 대략 1/4 인치의 직경을 가진다. 상기 계량튜브는 계량튜브의 길이를 따라 동일한 칫수의 50개의 이격된 구멍을 포함한다.
본 기술분야에 공지된 다양한 제작기술이 분배채널(18)을 형성하는데 사용될 수 있다. 바람직한 실시예에서 상기 분배채널은 와이어 전자 방출기(EDM)에 의해 형성된다.
본 발명의 또다른 실시예에서, 에칭제를 가스 분배면으로 이송하여 웨이퍼 인접영역으로 배출하도록 제공된다. 특별한 장점 중에서, 상기 에칭제는 분사기 표면 및 웨이퍼의 처리중에 축적되는 분사기 주위의 기타 영역상에 싸이는 어떤 반응 증착물을 제거하는 역할을 한다. 도 13을 참조하면, 정면, 후면(도시않음), 상부면(109) 및 단부면(115)을 갖는 단일 부재 및 바닥 가스 분배면(114)으로 구성된 분사기(100)의 실시예가 도시되어 있다. 기판(116)은 분사기(100) 아래에 위치된다.
상기 분사기(100)는 분사기(100)내에 단부면(115) 사이로 연장하도록 형성된 길다란 제 1 통로(117)도 포함한다. 또한, 분사기(100)내에는 길다란 통로(117)와 가스 분배면(114) 사이로 연장하는 분배채널(118)이 형성되어 있다. 분사기(100)의 길이방향을 따라 보면 분배채널(118)이 기판(116)을 횡단해 연장되어 있다. 전술한 바와 같이, 가스가 화학제 분배라인으로부터 통로(117) 내측으로 유동할 때 상기 가스는 얇은 분배채널(118)을 따라 가스 분배면(114)으로 통로(117)를 따라 유동한다. 가스는 분배채널(118)로부터 유출되어 가스 분배면(114)의 길이를 따라 분사기(100)를 빠져나감으로써 상기 가스는 실질적으로 제어된 방식으로 기판으로 분배된다. 분사기(100)내에 형성된 또다른 길다란 통로(119)가 분사기(100)의 온도를 제어하기 위한 액체 또는 가스의 순환을 위해 제공될 수 있다. 전술한 바와 같이, 길다란 통로(117)내측에 삽입된 개구(123)를 갖는 계량 튜브(122)도 제공될 수 있다.
증착 공정중, 반응물과 반응 부산물은 분사기의 외측 표면뿐만 아니라 CVD 시스템내의 다른 표면상에 축적되려고 한다. 그러한 축적물이 증가되면, 축적물들은 분사기의 작동을 방해하게 되고 증착된 박막에 싸이는 오염원이 된다. 특별한 장점중에도 본 발명의 본 실시예는 상기 증착물의 제어를 위한 에칭제를 유입한다. 특히, 적어도 하나의 길다란 통로(135)가 분사기(100)내에 단부면(115) 사이로 연장하게 형성된다. 분배 슬롯(137)도 분사기(100)내에 길다란 통로(135) 사이에서 가스 분배면(114)으로 연장되게 형성된다. 예시적인 실시예에서 두 개의 통로(135)를 도시하였지만, 이는 하나 이상의 통로(135)가 사용될 수 있다고 이해해야 한다. 길다란 통로(135)는 불화 수소산(HF)과 같은 에칭제를 수용하는 역할을 한다. 상기 에칭제는 통로(135)내측 및 분배 슬롯(137)을 통해 유동하여 가스 분배면(114)으로 이송된다. 상기 에칭제는 분배면(114)과 접촉하여 분배면(114)을 따라 축적되는 증착물을 에칭하여 제거한다. 이러한 세정공정을 위해, 분사기 표면의 온도를 제어하는 것이 중요하다. 기판의 양호한 온도 제어를 달성하기 위해, 냉각 통로(119)가 사용되고 상기 세정공정 동안에 상기 통로를 통해 냉각제가 순환된다. 바람직하게, 상기 에칭제는 증착공정의 수행 전후에 유입된다. 이와는 달리, 상기 에칭제는 증착물의 축적을 최소화하기 위해 증착공정 중에 이송될 수도 있다.
도 14는 복수의 가스를 이송하기 위해 길다란 복수의 통로(117)가 사용되는 본 발명의 다른 실시예를 도시한다. 길다란 통로(135)와 분배 슬롯(137)은 에칭제를 로케이터 릿지(121)를 갖는 분배면(114)으로 분배한다. 전술한 바와 같이, 계량 튜브가 가스의 분배형태를 제어하기 위해 하나 이상의 길다란 통로(117) 내측으로 삽입될 수 있다.
본 발명의 또다른 실시예가 도 15a 및 도 15b에 도시되어 있다. 분사기(100)는 전술한 바와 같이 적어도 하나의 길다란 통로(117) 및 분배 슬롯(118)을 포함하나, 본 실시예의 경우에 상기 가스 분배면(114)은 상이하다. 가스 분배면(114)은 일반적으로 하나, 바람직하게 두 개의 둥근 측면영역(140) 및 중앙의 오목영역(142)을 포함한다. 바람직하게, 상기 분배슬롯(118)은 각각의 길다란 통로(117)로부터 가스 분배면(114)의 중앙 오목영역(142)으로 연장한다. 가스는 통로(117) 및 분배슬롯(118)을 통해 유동하여 분사기(100)의 길이를 따라 가스 분배면(114)의 중앙 오목부(142)로 이송된다. 상기 가스는 가스가 가스 분배면(114) 아래에 놓인 기판(116) 표면과 반응하여 층을 형성하는 가스 분배면을 따라 제어된 방식으로 분포된다. 분사기의 온도제어를 위해, 냉각제를 수용하기 위한 길다란 통로(119)가 제공될 수 있으며 상기 분사기(100)내에 형성된 열전쌍 벽(143)이 온도를 측정하는데 사용될 수 있다.
도 15b에 있어서, 계량 튜브(22)는 길다란 통로(117)중의 적어도 하나의 내측에 삽입되어 단부면(115) 사이로 연장한다. 계량 튜브(22)는 통로(117)의 벽으로부터 이격되어 있고 분배 슬롯(118)으로부터 이격되도록 지향된 개구(123)와 접촉한다. 전술한 바와 같이, 개구(123)는 도 6 내지 도 11에 도시된 바와 같은 다양한 패턴으로 구성될 수 있다. 본 실시예의 변형예로서, 별도의 계량튜브(22)가 제공된 복수의 길다란 제 1 통로(17) 각각에 삽입될 수 있다. 화학제 분배라인(도시않음)은 각각의 가스 유입용 계량 튜브(22)에 부착된다.
도 15c는 또다른 실시예의 다른 변형예를 도시한다. 도시된 바와 같이, 상기 가스 분배면(114)은 둥근 측면영역(140)만을 포함한다. 상기 가스 분배면(114)의 나머지부는 도 15a 및 도 15b에 도시한 바와 같이 거의 평탄하며 오목하지 않다.
본 발명의 또다른 실시예가 도 16a에 도시되어 있다. 도시된 바와 같이, 상기 분사기(100)는 복수의 가스를 수용하기 위한 복수의 길다란 제 1 통로(117)를 포함한다. 상기 복수의 길다란 제 1 통로(117)는 단부면(115) 사이로 각각 연장하며 화학제 분배라인(도시않음)이 가스의 별도 이송을 위한 각각의 통로(117)에 부착된다. 복수의 분배채널(118)은 분사기(100)내에 형성되고 서로로부터 이격되어 있다. 각각의 분배채널(118)은 길다란 별도의 제 1 통로(117) 및 가스 분배면(114) 사이로 연장한다. 가스 분배면(114)은 두 개의 둥근 영역(140)을 포함하며, 가스 분배면(114)의 나머지는 거의 평탄하다. 가스는 통로(117)로 진입하여 분배채널(118)을 통해 가스 분배면(114)으로 이송되며, 상기 가스는 길이방향을 따라 균일하게 혼합되며 기판(116)상에 박막 또는 층을 제공한다.
분사기(100) 표면상의 증착물을 제거하기 위해, 에칭제를 수용하기 위한 길다란 제 2 통로(135)도 분사기(100) 내측에 단부면(115) 사이로 연장되게 형성된다. 분배 슬롯(137)은 분사기 내에 길다란 제 2 통로(135)와 상기 가스 분배면(114)의 둥근 측면영역 사이로 형성된다. 예시적인 실시예에서, 분배 슬롯(137)은 상기 둥근 측면영역(140)의 시점에서 직각으로 가스 분배면(114)과 교차하고 상기 분사기(100)의 수직면과 각을 이룬다. 상기 각도는 에칭제의 소정의 분배지점에 따라 변화된다. 이러한 구성은 증착물이 일반적으로 가장 풍부한 분사기의 측면쪽으로 에칭제의 분포를 촉진하게 된다. 이와는 달리, 분배 슬롯(137)은 가스 분배면의 평탄부와 교차될 수 있다.
양호한 실시예인 본 발명의 변형예가 도 16b에 도시되어 있다. 여기서 상기 가스 분배면(114)은 두 개의 둥근 측면영역(140) 및 중앙 오목부를 포함한다. 복수의 분배 슬롯(118)은 각각의 길다란 제 1 통로(117)와 중앙 오목부(142) 사이로 연장한다. 에칭제를 제공하기 위해, 길다란 제 2 통로(135)가 분사기(100)내에 단부면(115) 사이로 연장하게 형성된다. 분배 슬롯(137)은 분사기 내에, 바람직하게는 길다란 제 2 통로(135)와 가스 분배면(114)의 둥근 측면영역 사이로 연장한다. 본 발명의 다른 장점이 에칭제의 계량튜브에 제공되어 외측면으로의 에칭제 분배를 가능하게 한다. 에칭제를 계량하기 위해, 계량 튜브(22)가 길다란 제 2 통로(135)중 적어도 하나의 내측에 단부면(115) 사이로 연장하게 삽입된다. 상기 계량 튜브(22)는 통로(135)의 벽으로부터 이격되어 있고 분배 슬롯(137)으로부터 이격되게 지향된 개구(123)를 포함한다. 전술한 바와 같이, 상기 개구(123)는 도 6 내지 도 11에 도시한 바와 같은 다양한 패턴으로 구성될 수 있다. 본 실시예의 변형예로서, 별도의 계량튜브(22)가 제공된 길다란 복수의 제 2 통로(135) 각각의 내측에삽입될 수 있다. 화학제 분배라인(도시않음)은 에칭제의 유입을 위해 각각의 계량 튜브(22)에 부착된다.
전술한 설명으로부터 본 기술분야의 숙련자들에 의해 이해될 수 있는 바와 같이, 다양한 실시예들이 본 발명으로부터 실현될 수 있다. 예를들어, 분사기는 중앙 오목부의 유무에 따른 둥근 측면영역, 계량 튜브의 유무, 에칭제 통로내의 계량튜브의 유무, 에칭제 통로의 유무, 및 이들의 조합의 경우가 있을 수 있다.
다른 신규 실시예
특별한 장점중에서, 본 발명은 통합된 단일체 분사기를 갖는 개선된 증착챔버를 제공하는 것이다. 도 17 내지 도 19를 참조하면, 통합된 분사기 조립체(160)를 갖는 증착챔버(155)가 도시되어 있다. 통상적으로, 증착챔버(155)는 도 17에 도시된 커다란 화학 증착(CVD)장치(200)의 일부이다. 도 17은 단일 웨이퍼 왕복운동 장치로 구성된 CVD장치의 한 형태를 도시하며, 이러한 형태의 장치는 본원과 동일 출원되어 공동 계류중이며 본원에 참조된 미국 특허 출원 제 09/113,730 호에 충분히 설명되어 있다. CVD의 일예가 도시되고 설명되었지만, 본 기술분야의 숙련자들은 다른 형태의 장치도 본원에 사용될 수 있다고 이해할 수 있다. 예를들어, 분사기 및 증착챔버는 대기압 및 약 대기압형 반응로를 갖는 본 기술분야에 공지된 컨베이어식 CVD장치에 사용될 수 있다.
도 17을 다시 참조하면, CVD장치(200)는 반응성(때론 불활성) 가스 화학제를 증착챔버(155)내의 증착영역으로 분사하기 위한 분사기 조립체(160)를 갖는 증착 챔버(155)를 지지하고 있는 주 챔버(210)를 포함한다. 상기 분사기 조립체(160)는 하나 이상의 개별적인 분사기 또는 도포기로 구성된다. 도 17에 있어서, 상기 분사기 조립체(160)는 3개의 증착영역(124)을 형성하는 3개의 분사기(105)를 가진다. 그러나, 상기 3개의 분사기 조립체(160)는 하나 또는 어떠한 갯수의 증착영역을 형성할 수 있다. 각각의 증착영역(124)은 상세히 후술하는 바와 같이 분사기(105) 및 웨이퍼 또는 기판표면(116)에 의해 형성된다. 상기 웨이퍼 또는 기판(116)은 척(120)에 의해 지지된 지지대(122)상에 장착된다. 상기 웨이퍼는 분사기 아래를 통과하여 웨이퍼 표면 전체가 박막으로 증착된다. 바람직하게, 상기 지지대(122)는 웨이퍼(116)를 유지하는 밀봉판이며 상기 밀봉판내에는 오목부가 형성되어 있다. 웨이퍼의 상부면은 밀봉판의 상부면과 동일한 평면을 가지나 밀봉판은 웨이퍼(116)보다 크다.
상기 지지대(122)는 웨이퍼를 정위치에 유지하도록 척내의 개구를 통해 진공을 웨이퍼 아래에 인가함으로써 척(120)상에 유지된다. 척(120)은 주 챔버(210)내에 지지된 구동 조립체(218)상에 장착되는 척 지지조립체 또는 플랫폼(216)상에 지지된다. 상기 플랫폼(216)은 레일에 의한 선형운동을 위해 안내된다. 바람직하게, 상기 플랫폼(216)은 센서 등을 보호하고 열팽창을 최소화하도록 수냉된다. 상기 플랫폼(216)은 모터(222)에 의해 구동되는 리드 스크류에 의해 이동되며, 모터의 구동 트레인(224)은 진공 시일을 통해 연장된다. 상기 척(120) 및 구동 조립체(218)는 챔버의 하부벽을 통해 연장하여 베어링과 결합하는 승강 스크류(226)상에 지지된다. 상기 승강 스크류(226)는 척을 승강시키도록 모터(228)에 의해 구동된다. 상기 주 챔버(210)는 가스, 바람직하게 불활성 가스를 주 챔버(210)로 이송하기 위한 하나 이상의 가스 입구라인(230)을 가진다. 이러한 가스 입구라인(230)은 "내향 유동 퍼지"로서 작용하는 증착 챔버(155)내측으로 내향 유동가스를 형성하는데 사용될 수 있다. 후술하는 바와 같이 적절한 조건하에서, 이러한 퍼지는 반응가스를 증착영역내에 한정하여 먼지가 바람직하지 않게 주 챔버내에 증착되는 것을 방지하고 반응성 가스에 의해 주 챔버 성분을 침식하는 것을 방지한다. 주 챔버(210)를 위한 배기가 상세히 후술하는 바와 같이 분사기 조립체(160)를 통과한다. 배기 매니폴드(158)는 스크류에 의해 웰을 통해 분사기에 부착된다.
상기 증착챔버(155)는 도 18에 더욱 상세히 도시되어 있다. 일반적으로, 상기 증착챔버(155)는 분사기 조립체(160) 및 기판(116)을 지지하는 본 실시예의 경우에 척(120)인 지지대를 포함한다. 바람직하게, 상기 분사기 조립체(160)는 하나 이상의 분사기(105) 및 통풍 블록(106)이 형성되어 있는 단일 블록재로 제조된다. 상기 통풍 블록(106)은 분사기(105)의 각각의 측면에 인접 이격되게 위치되어 상기 측면 사이에 배기채널(107)을 형성한다. 증착영역(124)은 분사기의 가스 분배면(114)과 기판(116) 사이에 형성된다. 일반적으로 상기 증착영역(124)은 길다란 장방형 형상이다.
특히, 상기 분사기(105)는 단일 부재이고 부드러운 곡선의 가스 분배면(114)으로 제작된다. 예시적인 실시예에 있어서, 상기 가스 분배면(114)은 두 개의 둥근 측면 영역(140) 및 중앙 오목영역(142)을 포함한다. 바람직하게, 상기 분사기(105)는 도 16b에서 분사기(100)와 같이 전술했다. 상기 곡선의 가스 분배면(114)의 정확한 칫수는 본 기술분야에 공지된 컴퓨터 유체 동력학(CFD) 기술을 사용하거나 스케일 모델을 사용함으로써 얻을 수 있다. 바람직하게, 그러한 기술은 증착챔버내에 가스 유동의 순환을 최소화하는 칫수를 얻기 위해 부분적으로 사용될 수 있다. 이는 반응가스의 평균 잔류시간을 제어한다. 상기 통풍 블록(106)은 정면 및 후면(즉, 측면들, 하나의 측면은 도면부호 121로 도시되어 있음), 상부면 및 단부면, 및 바닥 외측면(150)을 갖는 단일부재로 구성된다.
특별한 장점중에서, 각각의 분사기(105)의 분배면(114)이 증착챔버(155)의 상부로서 사용된다. 증착챔버(155)의 하부는 지지대(122) 및/또는 상기 지지대(122)상에 놓인 기판(116)에 의해 형성된다. 상기 증착영역(124)은 가스 분배면(114)과 기판(116)과 지지대(122)사이에 형성된다.
반응 생성물을 제거하기 위해 배기채널(107)이 사용된다. 상기 분배기(105)의 수직측면(119) 및 가스 분배면(114)은 배기채널(107)의 내측면을 형성한다. 배기채널(107)을 형성하는 외측면은 통풍 블록(106)의 하나의 외측면(121)에 의해 형성된다. 상기 통풍 블록(106)의 측면(121)은 분사기(105)와 대향되게 이격되어 있으며 배기채널(107)을 통해 흐르는 가스의 바람직하지 않은 정체 또는 분리를 최소화할 수 있는 형상이다. 특히, 통풍 블록의 측면(121)은 가스 분배면(114)으로부터 인접 이격되게 위치된 볼록 영역(152) 또는 노우즈부를 포함한다. 이러한 볼록영역(152)은 통풍 블록에 부착되는 별도의 볼록영역 또는 노우즈로 제조되거나 통풍 블록(106)의 일체부로서 형성될 수 있다.
바람직하게, 본 발명은 각각의 증착영역을 고립시키는 역할을 하는 반-밀봉영역을 제공한다. 특히, 통풍 블록(106)의 외측면(150)은 반-밀봉영역(153)을 형성하도록 기판의 평탄면에 근접위치된다. 상기 반-밀봉영역(153)은 외측면(150)의 일부분을 따라 연장하는 길이 및 후술하는 바와 같은 높이를 갖는 구역이다. 입구(230)를 경유하여 주 챔버(210)로 공급되는 가스로부터의 내향 유동퍼지와 관련하여, 상기 반-밀봉영역(153)은 증착영역(124)내에 반응성 가스를 포함하는데 효과적인 것으로 간주된다. 이러한 특징은 증착챔버(155) 내부 이외의 영역에 있어서 분말 및 입자 오염의 형성을 최소화는데 도움이 된다. 특히, 상기 내향 유동퍼지는 가스 입구(230)를 경유하여 주 챔버(210) 내측으로 가스를 분사하고 증착 챔버(155)내의 배기채널을 통해 시스템을 배기시킴으로써 형성된다. 이는 증착챔버(155)쪽으로 및 분사기 조립체(160)내측(이때문에 상기 용어를 내향이라함)으로의 가스 유동을 형성함으로써 증착영역을 고립시키는데 도움을 주는 내향 유동퍼지로서 작용한다. 반-밀봉영역(153)을 제공하기 위해서, 상기 면(150)과 기판(116) 사이의 간격 및 주 챔버로부터의 퍼지 유동율(가스 입구(230)를 경유하여 주 챔버(210) 내측으로 분사되는 가스의 가스 유동율)이 고려된다. 바람직하게, 상기 퍼지 유동율은 분사기(105)에 의해 이송되는 가스 유동율에 비해 작으나 반응성 가스의 특정 확산길이가 반-밀봉영역(153)의 길이보다 작은 퍼지 속도"V퍼지"를 확정할 수 있도록 선택된다. 도 18에 도시된 바와 같이, 반-밀봉영역(153)은 외측면(150) 및 기판면(116)이 본 실시예에서 볼록영역(152)의 둥근 엣지에 평행하게 인접한, 즉 외측면(150)의 외측 엣지를 형성하는 영역내에 형성된다. 상기 확산길이"L확산"는 다음과 같이 주어진다.
L확산= Dab/V퍼지
여기서, Dab는 주위가스내에서 반응성 가스의 효과적인 2차 확산계수이다.
2차 확산계수가 0.2 ㎠/초이고 퍼지 속도가 1 ㎝/초인 하나의 실시예에 상기 식을 적용하면, 상기 확산 길이는 2 ㎜이다. 따라서, 확산길이보다 큰 길이를 갖는 반-밀봉영역은 증착영역(124)으로부터 탈출하는 반응성 가스의 농도를 감소시킨다. 따라서, 이러한 실시예에서 반-밀봉영역(153)의 길이는 2 ㎜보다 크게 선택되는데, 예를들어 1.0 내지 1.5 ㎝의 길이가 적절하다.
본 발명자들은 웨이퍼 상부면 또는 기판(116)과 가스 분배면(114)의 최근접부(즉, 가스 분배면의 최하부이며 기판에 가장 근접해 있음) 사이의 최소거리가 5 내지 6 ㎜이고, 분사기의 깊이(즉 도면에 수직한 면)가 대략 22 내지 25 ㎝이고 분사기(105)로부터의 총 가스유동율이 분당 10 내지 20 표준리터(slpm)에 대해서, 반-밀봉 간격"h"은 1.0 ㎜이하, 바람직하게 약 0.5 내지 1.0 ㎜이다. 상기 반-밀봉 간격"h"은 기판(116)의 상면으로부터 통풍 블록(106)의 외측면까지의 거리이다. 또한, 주 챔버(210)로부터의 가스의 내향 퍼지 유동은 바람직하게 약 2 내지 4 slpm이다. 특히, 본 발명자는 반-밀봉 간격"h"이 3 ㎜ 이상이면 상기 밀봉은 반응성 가스를 증착영역(124)에 한정하는데 비효과적이라는 것을 알아냈다. 대조적으로, 바람직한 간격을 사용하면, 증착챔버의 외측영역으로(통풍 블록(106)의 엣지를 초과한)의 반응성 가스의 무누출, 퍼지 가스 유동에 의해 증착반응의 최소분포, 및 기판(116)상의 증착범위의 양호한 제어를 초래한다. 증착범위는 증착영역(124)의 정도, 특히 증착반응이 볼록영역(152)을 지나 반-밀봉영역(153)으로 연장하는 정도를 의미한다. 상기 증착영역(124)의 엣지는 기판(116)상에 증착된 박막의 양호한 균일성과 재생성을 보장하도록 양호하게 제어되고 재생된다. 상기 증착이 반-밀봉 영역(153)으로 양호하게 연장되면, 먼지는 반-밀봉영역상에 증착되어 입자들을 유발하므로 세정 필요성을 부여하게 된다. 따라서, 두 개의 대향 블록영역(152)의 엣지들 사이의 분리영역만큼 넓게 증착영역(124)이 형성되도록 반-밀봉영역(153)의 높이와 퍼지가스 유동율을 선택하는 것이 바람직하다.
증착중, 반응가스의 부산물로부터의 먼지 또는 박막 층이 증착챔버(155)의 노출표면상에 형성된다. 본 발명자는 유동 냉각제로 채워진 냉각통로(119)를 사용하여 상기 표면의 온도를 제어하는 것에 의해 상기 표면상에 발생되는 증착의 양을 최소화하는데 도움을 줄 수 있다는 것을 발견했다. 실리콘 이산화물의 증기 에칭이 80 내지 100℃ 이하의 온도에서 가장 용이하게 진행하므로, 상기 가스 분배면(114)및 볼록면(152)이 통로(119)에 의해 냉각상태가 유지되면 가장 효과적으로 세정된다는 것이 공지되어 있다.
증착물의 제거를 더욱 용이하게 하기 위해, 본 발명은 에칭 통로를 사용한다. 예시적인 실시예에서, 에칭 통로(135,156)는 각각 분사기(105) 및 통풍 블록(106)내에 형성되어 있다. 분배 슬롯(137,157)을 갖춘 에칭통로(135,156)는 증착챔버(155) 및 분사기 조립체(160)의 분해 및 기계적 세정의 필요성없이 증착된 부산물의 제거에 도움을 주는 수산화 또는 무수화 HF 증기(실리콘 이산화물 증착물의 경우에 있어서)와 같은 에칭 가스의 필요성을 제거할 수 있다. 이러한 목적으로 에칭 통로(156) 및 슬롯(157)만을 사용하거나 심지어 가스 통로(117) 및 슬롯(118)을 사용하도록 선택될 수도 있다.
특히, 하나 이상의 에칭통로(135)는 분사기(105)내에 형성되어 단부들 사이로 연장한다. 하나 이상의 에칭 분배 슬롯(137)은 분사기(105)내에 형성되어 에칭 통로(135)와 가스 분배면(114) 사이로 연장된다. 상기 에칭 분배 슬롯(137)은 둥근 측면영역(140)에서 가스 분배면(114)을 빠져 나간다. 상기 에칭 분배 슬롯(137)은 에칭제의 소정의 유동 방향에 따라 다수의 각도로 가스 분배면(114)을 교차할 수 있다. 환언하면, 에칭 분배슬롯(137)의 방위는 에칭제가 분사기(105)와 증착챔버(155)상의 어떤 표면으로 지향되도록 변경될 수 있다.
바람직하게, 상기 통풍 블록(106)은 에칭제를 이송하기 위해 하나 이상의 에칭 통로(156)와 에칭 분배슬롯(157)을 사용한다. 상기 하나 이상의 통로(156)가 통풍 블록(106)내에 형성되어 단부 사이로 연장한다. 적어도 하나의 에칭 분배 슬롯(157)이 통풍 블록(106)내에 형성되어 통로(156)와 통풍 블록의 외측면(150)사이로 연장한다. 상기 에칭 분배슬롯(157)은 평탄영역에서 외측면(150)을 빠져나가 에칭제가 반-밀봉영역(153)쪽으로 지향되는 각도로 상기 표면과 교차한다. 이와는 달리, 상기 에칭 분배슬롯(157)은 볼록영역(152)으로 연장될 수 있다. 다른 실시예에서, 상기 에칭 분배슬롯(157)은 수직방향으로 상기 외측면(150)을 빠져나가도록 위치된다.
CVD 시스템의 작업 처리량을 증가시키기 위해, 다중 분사기(105)와 대응 다중 증착영역(124a-124c)을 갖는 분사기 조립체(160)를 구비한 증착챔버는 도 19에 도시한 바와 같이 단일의 대형 증착챔버(155)내측에 합체될 수 있다. 본 실시예에서, 다중 분사기를 갖춘 상기 분사기 조립체는 다중 헤드 분사기 조립체라 불린다. 바람직하게, 상기 증착챔버는 단부 캡(도시않음)을 갖춘 하나의 블록재료로 증착챔버(155)를 밀봉하도록 제조된다. 이와는 달리, 상기 증착챔버(155)는 서로 부착되는 별도의 부품으로 형성될 수 있다. 여기서, 분사기(105)와 통풍 블록(106)의 다수 세트(3개의 분사기와 4개의 통풍블록이 도시되어 있음)가 서로 근접 위치되어 있어 다중 배기 채널(107)을 형성한다. 이러한 예시적인 배열형태는 3개의 증착영역(124a-124c)을 형성한다. 상기 증착영역 중 두개의 영역(124a,124c)은 외측영역으로서, 중앙의 증착영역(124b)은 내측영역으로서 특정된다. 따라서, 상기 분사기의 표면들과 다른 특징들은 대응하는 증착영역과 일치하는 내외측으로서 특징된다. 이러한 경우에, 상기 외측면(150)(즉, 두 외측 증착영역의 외측면)은 주 챔버(210)에 대한 반-밀봉을 형성한다. 배기를 없애기 위해서, 배기 출구라인(159)을 갖는 배기 매니폴드(158)가 복수의 분사기 및 통풍 블록(105,106)의 상부에 장착된다. 예시적인 실시예에 도시된 바와 같이, 에칭제를 수용하기 위한 상기 통로(135)는 분사기(105)로부터 생략된다. 예시적인 실시예에 도시된 바와 같이, 증착챔버(210)는 분사기 조립체(160) 및 웨이퍼 지지대(122)로 구성되며, 분사기 조립체는 3 개의 분사기(105) 및 4 개의 통풍 블록(106)을 포함한다. 그러나, 본 기술분야의 숙련자들은 전술한 분사기 및 통풍 블록의 실시예에 다른 숫자로 사용될 수 있다고 이해할 수 있다.
기판(116) 표면상에 박막을 증착할 때 균일한 박막을 증착하는 것이 바람직하다. 상기 지지대(122)는 이러한 노력에 도움을 준다. 특히, 상기 지지대(122)는 바람직하게 기판을 갖는 밀봉판이며 상기 기판은 기판과 밀봉판의 상부면이 동일 평면상에 있도록 밀봉판의 오목부내에 지지된다. 따라서, 상기 밀봉판은 기판면(116)의 연장부이며 이는 외측면(150)과 함께 반-밀봉영역(153)을 형성하는 역할을 하는 평탄면을 제공한다. 또한, 상기 밀봉판은 분사기(105)가 기판의 엣지를 지나 연장하도록 허용하여 기판의 엣지에도 균일한 코팅을 용이하게 제공한다. 밀봉판 형태의 지지대(122)는 코팅될 기판이 둥글때 사용된다. 그러나, 기판이 장방형이면 밀봉판 형태의 지지대가 사용되어야 한다.
복수의 분사기(105)가 사용되면, 모든 분사기(105)에 동일한 성능을 부여하기 위해 분사기 사이에 내측 슬롯(161)을 포함하여 가스, 바람직하게 불활성 가스가 분사기 사이로 분배됨으로써 분사기(105)의 외측 엣지 및 외측 반-밀봉영역(153a,153d)에서 챔버 퍼지에 의해 형성될 때 분사기(105)의 내측엣지에 실질적으로 동일한 가스 유동을 제공하는 것이 필요하다. "슬롯 퍼지"의 가스 유동은 다수의 분사기 사이에 거의 동일한 증착두께를 제공하도록 조절된다. 이는 내측 분사기를 고립시키는 내측 반-밀봉영역(153b,153c)을 제공한다. 또한, 증착범위는 증착영역을 의미하며, 특히 증착반응이 볼록 엣지(152)를 지나 반-밀봉영역(153) 내측으로 연장되는 정도를 의미한다. 내측 슬롯(161)도 분사기(105) 및 통풍블록(106)의 표면으로부터 부산물을 제거하도록 전술한 바와 같이 세정가스를 교대로 분배하는데 사용될 수 있다.
또다른 실시예에서, 전술한 계량튜브는 분사기(105)의 통로(117) 및 에칭 통로(135)내에 사용될 수 있다. 게다가, 상기 계량튜브는 통풍 블록(106)의 에칭 통로(156,161)에 사용될 수 있다. 하나의 계량튜브를 단순히 분리하고 구멍위치 또는 직경을 달리한 다른 계량튜브를 삽입함으로써 소정의 가스흐름으로 변경시키도록 계량튜브를 대체할 수 있다. 분사기의 분리를 필요로하지 않는다. 게다가, 모델링 또는 경험에 의해서 계량튜브를 특정 적용예나 기계용으로 제작할 수 있다.
본 발명은 분사기 조립체 및 증착챔버를 제조하기 위한 방법도 제공한다. 바람직하게, 상기 증착챔버(155)는 단일 블록재료 및 EDM공정에 의해 일부 형성된다. 상기 증착챔버는 두 개의 주요 구성부품, 즉 분사기 조립체와 단부 캡으로 구성된다. 상기 단부캡은 분사기 조립체에 부착되며 분사기(105)를 거쳐 증착영역으로 이송되는 가스를 제공하는 가스 분배 매니폴드(도시않음)용 부착지점을 제공한다. 전술한 바와 같이, 상기 분사기 조립체(160)는 하나 또는 다수의 분사기(105)로 구성된다. 명료함을 위해, 단일 분사기를 포함하는 분사기 조립체는 단일 헤드 분사기로, 다수의 분사기를 포함하는 분사기 조립체를 다중 헤드 분사기라 지칭한다. 단일 헤드 또는 다중 헤드 분사기에 관계없이 상기 분사기 조립체는 바람직하게 단일 블록재료로 제조된다. 이와는 달리, 상기 분사기 조립체는 별도의 부품으로 제조될 수 있으나 이는 분사기 조립체의 정렬 및 조립을 어렵게 한다. 블록재료는 스테인레스 스틸로 제조되나 다른 합금재료가 사용될 수도 있다. 분사기 조립체를 조립하기 위해, 블록은 먼저 소정의 크기로 연마되고 난후에 길다란 통로를 형성하도록 블록의 길이를 따라 관통구멍이 천공된다. 바람직하게 길다란 통로는 건에 의해 천공된다. 블록은 응력이 제거되며 최종 칫수로 기계가공된다. 다음에 블록은 블록내부의 모든 분사기 가스 분배슬롯 및 형상체를 성형하도록 와이어 EDM공정이 수행된다. 상기 와이어 EDM공정은 다음과 같은 여러 장점을 제공한다. 1) 접촉재료의 제거로 인해 극히 얇고 높은 허용공차의 슬롯이 블록의 전체 길이에 걸쳐 형성될 수 있다. 2) 표면 마무리에 있어서 바람직하지 않은 금속 버르(bur)를 제거할 수 있다. 3) 단지 미세한 응력만이 분사기 블록몸체에 남아 있게 된다. 상기 배기 채널은 EDM와이어로 절단되지만 절단시에 완전하게 절단되지 않을 수도 있다. 오히려, 상기 부품은 블록에 연결된채로 남아 있으며 단부캡은 전술한 대로 먼저 부착된다.
분사기 조립체의 단부캡은 계량튜브를 위한 밀봉면을 수용하며 모든 가스 분배 매니폴드에 대한 연결지점이다. 분사기 조립체로의 블록의 조립시, 단부캡은 소정의 크기로 기계가공되고 응력이 제거된다. 그후 단부캡은 분사기 조립체의 각각의 단부에 납땜된다. 분사기 조립체의 제작에 있어서 두 번째로 중요한 조립공정은 단부캡을 분사기 조립체에 접합시키는 것이다. 바람직하게, 단부캡은 니켈 황동 합금을 사용하여 납땜되어, 1) 분사기 가스 통로 사이에 밀봉을 형성하며, 2) 밀봉면으로서 사용될 수 있는 기계가공가능한 경계면을 형성하며, 3) 스테인레스 스틸 모재보다 동등 이상인 내식성 무공 접합을 제공한다. 이러한 공정은 3 개의 주요성분, 즉 두 개의 단부캡과 하나의 분사기 조립체를 단일체로 융접함으로써 완전한 단일 조립체를 형성한다.
단부캡이 분사기 조립체에 납땜된 후에, 모든 밀봉면을 매끄럽게 하기 위한최종 기계가공이 수행되고 적합한 표면 마무리 가공이 수행된다. 이러한 최종 기계가공단계는 배기채널내에 남아 있는 재료의 제거단계도 포함한다. 배기채널의 외형은 EDM 공정에 있어서 초기에 형성되며 재료를 제거함으로써 배기채널을 천공하게 된다. 이 시점에서, 분사기 조립체는 하나의 균일한 블록이며 누출을 완전히 방지한다.
가스 분배 매니폴드는 다중헤드 분사기 조립체에 가스를 균일하게 분배해야 한다. 예를들어, 가스 분배 매니폴드는 일련의 적층판이 가스를 분배하여 각각의 분사기(105)에 균등하게 분할하는 동등한 길이의 가스통로를 제공하도록 기계가공되는 곳에 사용될 수 있다. 예를들어, 두 개의 분사기는 4 개의 질소 입구를 필요로 한다. 가스 분배 매니폴드는 하나의 입구를 가지며 4 개의 계량튜브로 균등하게 분할한다. 매니폴드내에 형성된 각각의 분기관은 길이를 따라 동일하게 형성되어 각각의 계량튜브에 균일한 가스 분배를 수행할 수 있게 하는 것이 중요하다. 상기 다중판은 소형 매니폴드를 형성하도록 적층식으로 납땜된다. 상기 가스 분배 매니폴드는 금속 c-링으로 단부캡에 밀봉될 수 있다.
설계를 간단하게 하기 위해 부품을 정밀하게 성형하여 가스 분배를 보다 양호하게 하는 것이 바람직하다. 전술한 설명으로부터 기판을 처리하기 위한 개선된 분사기 및 증착챔버가 전술한 것 이외의 추가의 목적, 장점 및 목표를 갖는다는 것을 이해할 수 있다.
본 발명을 특정 실시예와 관련하여 설명하였지만, 본 기술분야의 숙련자들은 전술한 설명에 비추어 다수의 변형, 대체, 대안, 및 변경예들이 있을 수 있다는 것을 명확히 이해할 수 있다. 따라서, 전술한 설명은 첨부된 청구범위의 사상내에 있는 모든 변형, 대체, 대안, 및 변경예들을 포함한다고 이해해야 한다.

Claims (50)

  1. 기판에 가스를 분배하기 위한 분사기로서,
    단부면 및 둥근 측면 영역과 중앙 오목 영역을 구비한 길다란 외측 가스 분배면을 갖는 단일의 길다란 부재와,
    상기 길다란 부재내에 형성되고 가스를 수용하도록 단부면들 사이로 연장하는 하나 이상의 길다란 제 1 통로, 및
    상기 단일의 길다란 부재내에 형성되고 상기 길다란 외측 가스 분배면을 따라 가스를 분배하기 위해 상기 길다란 통로로부터 가스를 직접 이송하도록 상기 길다란 제 1 통로와 상기 가스 분배면의 중앙 오목영역 사이로 연장하는 하나 이상의 얇고 길다란 제 1 분배슬롯을 포함하며,
    상기 가스 분배면은 상기 기판과 대향하는 상기 부재의 길이를 따라 연장하는 기판에 가스를 분배하기 위한 분사기.
  2. 기판에 가스를 분배하기 위한 분사기로서,
    단부면 및 둥근 측면 영역과 중앙 오목 영역을 구비한 길다란 외측 가스 분배면을 갖는 단일의 길다란 부재와,
    상기 길다란 부재내에 형성되고 가스를 수용하도록 상기 단부면들 사이로 연장하는 복수의 길다란 제 1 통로, 및
    상기 단일의 길다란 부재내에 형성된 복수의 이격된 얇고 길다란 제 1 분배슬롯을 포함하며,
    상기 가스 분배면은 상기 기판과 대향하는 상기 부재의 길이를 따라 연장하고, 상기 복수의 슬롯중 하나는 상기 분배면에 인접 이격된 기판을 따라 가스를 분배하기 위해 상기 각각의 통로로부터 가스 분배면으로 가스를 직접 이송하도록 각각의 상기 길다란 제 1 통로와 가스 분배면의 중앙 오목영역 사이로 똑바로 연장하는 기판에 가스를 분배하기 위한 분사기.
  3. 제 2 항에 있어서,
    상기 단일의 길다란 부재내에 형성되고 에칭제를 수용하는 역할을 하는 단부면들 사이로 연장하는 복수의 길다란 제 2 통로, 및
    상기 단일의 길다란 부재내에 형성되고 복수의 얇고 길다란 제 2 분배슬롯을 더 포함하며,
    복수의 슬롯중 하나는 상기 각각의 통로로부터 상기 가스 분배면으로 에칭제를 이송하기 위해 각각의 상기 길다란 제 2 통로와 가스 분배면 사이로 똑바로 연장하는 기판에 가스를 분배하기 위한 분사기.
  4. 제 2 항에 있어서,
    상기 복수의 길다란 제 1 통로 내측으로 삽입되고 상기 길다란 제 1 통로의 벽으로부터 이격되어 단부 사이로 연장하며 상기 가스를 수용하여 상기 분배슬롯을 통해 상기 기판으로 유동하는 길다란 통로를 따라서 상기 가스를 분배하는 역할을 하는 하나 이상의 계량 튜브를 더 포함하는 기판에 가스를 분배하기 위한 분사기.
  5. 제 4 항에 있어서,
    상기 복수의 계량튜브 중 하나 이상은 다공질 재료를 포함하는 기판에 가스를 분배하기 위한 분사기.
  6. 제 4 항에 있어서,
    상기 복수의 계량튜브 중 하나 이상은 상기 계량튜브의 길이를 따라 연장하는 슬롯을 포함하며, 상기 슬롯은 분배슬롯으로부터 이격되게 지향되어 있는 기판에 가스를 분배하기 위한 분사기.
  7. 제 4 항 또는 제 5 항에 있어서,
    상기 복수의 계량튜브 중 하나 이상은 상기 계량튜브의 길이를 따라 복수의 개구를 포함하며, 상기 개구는 분배슬롯으로부터 이격되게 지향되어 있는 기판에 가스를 분배하기 위한 분사기.
  8. 제 7 항에 있어서,
    상기 복수의 개구는 상기 계량튜브의 길이를 따라 칫수가 변화되는 기판에 가스를 분배하기 위한 분사기.
  9. 제 7 항에 있어서,
    상기 복수의 개구는 상기 계량튜브의 길이를 따라 간격이 변화되는 기판에 가스를 분배하기 위한 분사기.
  10. 기판에 가스를 분배시키기 위한 증착챔버로서,
    단부면, 상기 기판에 가스를 분배하기 위해 상기 부재의 길이를 따라 연장하는 하나 이상의 길다란 가스 분배면, 상기 분사기내에 형성되고 가스를 수용하도록 상기 단부면들 사이로 연장하는 하나 이상의 길다란 제 1 통로, 및 상기 분사기내에 형성되고 상기 각각의 통로로부터 상기 분배면에 인접되게 놓인 기판을 따라 가스를 분배하는 가스 분배면으로 가스를 이송하도록 상기 각각의 길다란 제 1 통로 중 하나와 상기 길다란 가스 분배면 사이로 똑바로 연장하는 하나 이상의 이격된 얇고 길다란 제 1 분배슬롯을 갖는 단일 부재로 형성된 하나 이상의 단일 분사기로 구성된 분사기 조립체와,
    단부면, 및 길이를 따라 연장하는 하나 이상의 길다란 외측면을 갖는 복수의 통풍 블록, 및
    상기 분사기 및 통풍 블록 아래에 위치되어 상기 분사기와 통풍블록 사이에 증착영역을 형성하며 기판을 지지하기 위한 지지대를 포함하며,
    상기 통풍 블록은 상기 하나 이상의 단일 분사기의 각각의 한 측면에 인접 위치되고 가스를 제거하기 위한 배기채널을 형성하도록 상기 분사기로부터 이격되어 있는 기판에 가스를 분배시키기 위한 증착챔버.
  11. 제 10 항에 있어서,
    상기 지지대와 하나 이상의 길다란 외측면 사이의 거리는 상기 증착영역으로부터의 가스 유동을 방지하는 반-밀봉이 형성되도록 선택되는 기판에 가스를 분배시키기 위한 증착챔버.
  12. 제 10 항에 있어서,
    상기 지지대상에 놓인 기판의 상부면과 하나 이상의 길다란 외측면 사이의 거리가 1.0 ㎜ 이하인 기판에 가스를 분배시키기 위한 증착챔버.
  13. 제 10 항에 있어서,
    상기 지지대상에 놓인 기판의 상부면과 하나 이상의 길다란 외측면 사이의 거리가 0.5 내지 1.0 ㎜ 범위내에 있는 기판에 가스를 분배시키기 위한 증착챔버.
  14. 제 10 항에 있어서,
    상기 분사기 부재의 길다란 가스분배면은 하나 이상의 길다란 측면영역을 가지며, 상기 분사기 부재에 인접한 각각의 상기 통풍 블록의 한 측면은 윤곽진 하나 이상의 측면영역을 포함하며, 상기 영역 사이에 형성된 배기채널은 가스의 균일한 제거를 가능하게 하도록 둥근 형상인 기판에 가스를 분배시키기 위한 증착챔버.
  15. 제 10 항에 있어서,
    상기 분사기는
    중앙 오목영역을 갖는 상기 길다란 가스 분배면과,
    상기 분사기 내에 형성되고 가스를 수용하도록 단부면 사이로 연장하는 상기 복수의 길다란 제 1 통로, 및
    상기 분사기내에 형성된 상기 복수의 이격된 얇고 길다란 제 1 분배슬롯을 더 포함하며,
    상기 복수의 슬롯중 하나는 상기 각각의 통로로부터 상기 분배면에 인접되게 놓인 기판을 따라 가스를 분배하는 가스 분배면으로 가스를 이송하도록 각각의 상기 길다란 제 1 통로와 상기 가스 분배면의 중앙 오목영역 사이로 똑바로 연장하는 기판에 가스를 분배시키기 위한 증착챔버.
  16. 제 10 항에 있어서,
    상기 분사기내에 형성되고 에칭제를 수용하기 위해 단부면들 사이로 연장하는 하나 이상의 길다란 제 2 통로, 및
    상기 분사기 내부에 형성되고 상기 길다란 외측 가스분배면을 따라 가스를 분배하기 위해 상기 길다란 통로로부터 에칭제를 이송하도록 상기 하나 이상의 길다란 제 2 통로와 상기 가스 분배면 사이로 똑바로 연장하는 하나 이상의 이격된 얇고 길다란 제 2 분배슬롯을 더 포함하는 기판에 가스를 분배시키기 위한 증착챔버.
  17. 제 10 항에 있어서,
    상기 통풍 블록은
    상기 통풍블록내에 형성되고 에칭제를 수용하기 위해 단부면들 사이로 연장하는 하나 이상의 길다란 통로, 및
    상기 통풍블록 내부에 형성되고 상기 길다란 외측 가스분배면을 따라 가스를 분배하기 위해 상기 길다란 통로로부터 에칭제를 이송하도록 상기 하나 이상의 길다란 통로와 상기 가스 분배면 사이로 연장하는 하나 이상의 얇고 길다란 분배슬롯을 더 포함하는 기판에 가스를 분배시키기 위한 증착챔버.
  18. 제 16 항에 있어서,
    상기 분사기내에 형성되고 상기 분사기의 온도 제어용 매체를 수용하는 역할을 하는 단부들 사이로 연장하는 하나 이상의 길다란 제 3 통로, 및
    중앙 오목 영역을 갖는 상기 길다란 가스 분배면을 더 포함하는 기판에 가스를 분배시키기 위한 증착챔버.
  19. 제 15 항에 있어서,
    상기 복수의 길다란 제 1 통로 중 하나 이상의 통로 내측으로 삽입되고 상기 길다란 제 1 통로의 벽으로부터 이격되어 단부 사이로 연장하며 상기 가스를 수용하여 상기 분배슬롯을 통해 상기 기판으로 유동하는 길다란 통로를 따라서 상기 가스를 분배하는 역할을 하는 하나 이상의 계량 튜브를 더 포함하는 기판에 가스를 분배시키기 위한 증착챔버.
  20. 제 19 항에 있어서,
    상기 하나 이상의 계량튜브는 다공질 재료를 포함하는 기판에 가스를 분배시키기 위한 증착챔버.
  21. 제 19 항에 있어서,
    상기 하나 이상의 계량튜브는 상기 계량튜브의 길이를 따라 연장하는 슬롯을 포함하며, 상기 슬롯은 분배슬롯으로부터 이격되게 지향되어 있는 기판에 가스를 분배시키기 위한 증착챔버.
  22. 제 19 항에 있어서,
    상기 하나 이상의 계량튜브는 상기 계량튜브의 길이를 따라 복수의 개구를 포함하며, 상기 개구는 분배슬롯으로부터 이격되게 지향되어 있는 기판에 가스를 분배시키기 위한 증착챔버.
  23. 기판에 가스를 분배시키기 위한 증착챔버로서,
    단일 부재로 형성되고 단부면 및 상기 기판에 가스를 분배하기 위해 상기 부재의 길이를 따라 연장하고 둥근 측면영역과 중앙 오목영역을 갖춘 하나 이상의 길다란 가스 분배면을 갖는 하나 이상의 분사기로 구성된 분사기 조립체와,
    두 개 이상의 통풍블록으로서, 상기 각각의 통풍 블록은 단부면과 측면 및 상기 통풍블록의 길이를 따라 연장하는 하나 이상의 길다란 외측면을 가지며, 상기 측면 중 하나 이상은 윤곽진 측면영역을 가지며, 상기 통풍블록은 사이에 배기채널을 형성하도록 상기 분사기 부재로부터 인접 이격되게 위치되어 있으며, 상기 배기채널은 균일한 방식으로 가스를 제거하기 위해 상기 둥글고 윤곽진 영역 사이에 형성되는 둥근 부분을 갖는 두 개 이상의 통풍블록, 및
    기판을 지지 및 이동시키기 위한 지지대로서, 상기 지지대, 각각의 분사기 및 두 개 이상의 통풍블록은 상기 기판을 처리하기 위한 증착영역을 사이에 형성하는 지지대를 포함하며,
    상기 분사기는 상기 단일 부재내에 가스를 수용하기 위해 단부면 사이로 연장하는 복수의 길다란 제 1 통로 및 복수의 이격된 얇고 길다란 제 1 분배슬롯을 포함하며, 상기 복수의 슬롯중 하나는 각각의 상기 통로로부터 상기 가스 분배면으로 가스를 직접 이송하기 위해 각각의 상기 길다란 제 1 통로와 상기 가스 분배면의 중앙 오목영역 사이로 똑바로 연장하며,
    상기 통풍블록 중 하나 이상은 상기 통풍 블록내에 형성되고 에칭제를 수용하기 위해 단부면들 사이로 연장하는 하나 이상의 길다란 제 2 통로 및 상기 길다란 외측면을 따라 가스를 분배하기 위해 상기 길다란 통로로부터 에칭제를 이송하도록 상기 외측면과 상기 길다란 제 2 통로 사이로 똑바로 연장하는 하나 이상의 얇고 길다란 제 2 분배슬롯을 포함하는 기판에 가스를 분배시키기 위한 증착챔버.
  24. 제 23 항에 있어서,
    상기 분사기는
    상기 단일 부재내에 형성되고 에칭제를 수용하기 위해 단부면들 사이로 연장하는 하나 이상의 길다란 제 3 통로, 및
    상기 단일 부재내에 형성되고 상기 길다란 가스 분배면을 따라 가스를 분배하기 위해 길다란 통로로부터 에칭제를 이송하도록 상기 하나 이상의 길다란 제 3 통로와 상기 가스 분배면의 둥근 측면영역 사이로 똑바로 연장하는 하나 이상의 얇고 둥근 제 3 분배슬롯을 더 포함하는 기판에 가스를 분배시키기 위한 증착챔버.
  25. 제 23 항에 있어서,
    상기 하나 이상의 길다란 제 1 통로 내측으로 삽입되고 상기 길다란 제 1 통로의 벽으로부터 이격되어 단부 사이로 연장하며 가스를 수용하여 상기 분배슬롯을 통해 기판으로 유동하는 길다란 통로를 따라서 가스를 분배하는 하나 이상의 계량 튜브를 더 포함하는 기판에 가스를 분배시키기 위한 증착챔버.
  26. 제 10 항에 있어서,
    상기 증착챔버는 복수의 분사기 및 복수의 통풍블록을 더 포함하며, 각각의 상기 통풍블록은 사이에 복수의 배기채널을 형성하도록 상기 복수의 분사기 각각의 측면으로부터 인접 이격되게 위치되는 기판에 가스를 분배시키기 위한 증착챔버.
  27. 제 25 항에 있어서,
    3 개의 분사기와 4 개의 통풍블록을 더 포함하며, 상기 각각의 통풍블록은 상기 통풍블록중 2 개가 챔버의 내측에 있도록 3 개의 분사기 각각의 한 측면에 인접 위치되며, 상기 다른 두 개의 통풍블록은 챔버의 외측부를 형성하며, 상기 두 개의 내측 통풍블록은 분사기 사이에 가스를 분배하기 위한 길다란 내측 통로를 포함하는 기판에 가스를 분배시키기 위한 증착챔버.
  28. 제 23 항에 있어서,
    상기 분사기 조립체로부터 원격 위치된 가스 입구를 더 포함하며, 상기 가스 입구는 가스를 분사기 내측으로 분사하며, 상기 가스는 배기채널을 통해 제거됨으로써 상기 증착영역을 고립시키는 작용을 하는 내향 유동 퍼지를 형성하는 기판에 가스를 분배시키기 위한 증착챔버.
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
KR1020007000430A 1997-07-14 1998-07-10 단일체 분사기 및 증착 챔버 KR100355058B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US08/892,469 US6022414A (en) 1994-07-18 1997-07-14 Single body injector and method for delivering gases to a surface
US8/892,469 1997-07-14
US08/892,469 1997-07-14
PCT/US1998/014393 WO1999004059A1 (en) 1997-07-14 1998-07-10 Single body injector and deposition chamber

Publications (2)

Publication Number Publication Date
KR20010021866A KR20010021866A (ko) 2001-03-15
KR100355058B1 true KR100355058B1 (ko) 2002-10-05

Family

ID=25399994

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007000430A KR100355058B1 (ko) 1997-07-14 1998-07-10 단일체 분사기 및 증착 챔버

Country Status (10)

Country Link
US (1) US6022414A (ko)
EP (2) EP1017873B1 (ko)
JP (2) JP3607198B2 (ko)
KR (1) KR100355058B1 (ko)
CN (1) CN1122116C (ko)
AT (1) ATE472615T1 (ko)
AU (1) AU8299398A (ko)
DE (1) DE69841749D1 (ko)
TW (1) TW412597B (ko)
WO (1) WO1999004059A1 (ko)

Families Citing this family (359)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US6143080A (en) * 1999-02-02 2000-11-07 Silicon Valley Group Thermal Systems Llc Wafer processing reactor having a gas flow control system and method
US20010047756A1 (en) * 1999-05-17 2001-12-06 Bartholomew Lawrence Duane Gas distribution system
AU5144100A (en) 1999-05-21 2000-12-12 Silicon Valley Group Thermal Systems, Llc Protective gas shield apparatus
KR100394571B1 (ko) * 1999-09-17 2003-08-14 삼성전자주식회사 화학기상증착용 튜브
US20020134507A1 (en) * 1999-12-22 2002-09-26 Silicon Valley Group, Thermal Systems Llc Gas delivery metering tube
US6855370B2 (en) * 2001-05-04 2005-02-15 E. I. Du Pont De Nemours And Company Fluoropolymer interlayer dielectric by chemical vapor deposition
US6626997B2 (en) * 2001-05-17 2003-09-30 Nathan P. Shapiro Continuous processing chamber
US6890386B2 (en) * 2001-07-13 2005-05-10 Aviza Technology, Inc. Modular injector and exhaust assembly
US6808741B1 (en) * 2001-10-26 2004-10-26 Seagate Technology Llc In-line, pass-by method for vapor lubrication
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법
FR2834713B1 (fr) * 2002-01-15 2004-04-02 Snecma Moteurs Procede et installation pour la densification de substrats par infiltration chimique en phase vapeur
TW200402772A (en) * 2002-05-21 2004-02-16 Asml Us Inc Method of depositing an oxide film by chemical vapor deposition
EP1516360A1 (en) * 2002-06-21 2005-03-23 E.I. Du Pont De Nemours And Company Fluoropolymer interlayer dielectric by chemical vapor deposition
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
CN101003895B (zh) * 2006-01-16 2011-10-19 中微半导体设备(上海)有限公司 一种传送反应物到基片的装置及其处理方法
US20080260963A1 (en) * 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for pre and post treatment of atomic layer deposition
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US20100015731A1 (en) * 2007-02-20 2010-01-21 Lam Research Corporation Method of low-k dielectric film repair
US8048226B2 (en) * 2007-03-30 2011-11-01 Tokyo Electron Limited Method and system for improving deposition uniformity in a vapor deposition system
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
JP4573902B2 (ja) * 2008-03-28 2010-11-04 三菱電機株式会社 薄膜形成方法
FI122941B (fi) * 2008-06-12 2012-09-14 Beneq Oy Sovitelma ALD-reaktorin yhteydessä
GB0816186D0 (en) * 2008-09-05 2008-10-15 Aviza Technologies Ltd Gas delivery device
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
EP2180768A1 (en) * 2008-10-23 2010-04-28 TNO Nederlandse Organisatie voor Toegepast Wetenschappelijk Onderzoek Apparatus and method for treating an object
JP5062144B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 ガスインジェクター
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101172147B1 (ko) * 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
EP2256782B1 (en) * 2009-05-25 2018-08-29 Applied Materials, Inc. Plasma deposition source and method for depositing thin films
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
US8865259B2 (en) * 2010-04-26 2014-10-21 Singulus Mocvd Gmbh I.Gr. Method and system for inline chemical vapor deposition
TWI541378B (zh) 2010-10-16 2016-07-11 奧特科技公司 原子層沉積鍍膜系統及方法
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US20120225191A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
WO2012129358A1 (en) * 2011-03-23 2012-09-27 Pilkington Group Limited Method of depositing zinc oxide coatings by chemical vapor deposition
TR201903701T4 (tr) * 2011-03-23 2019-04-22 Pilkington Group Ltd İnce film kaplamaların çöktürülmesi için düzenek ve bu düzeneğin kullanılması için çöktürme usulü.
KR101311983B1 (ko) * 2011-03-31 2013-09-30 엘아이지에이디피 주식회사 가스 주입 장치, 원자층 증착장치 및 이 장치를 이용한 원자층 증착방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
DE102011077833A1 (de) * 2011-06-20 2012-12-20 Gebr. Schmid Gmbh Verfahren zur Bearbeitung von Substraten und Vorrichtung dazu
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130115867A1 (en) * 2011-11-08 2013-05-09 General Electric Company Enclosure system and method for applying coating
FI123320B (en) * 2012-02-17 2013-02-28 Beneq Oy Nozzle and nozzle head
PL2879999T3 (pl) * 2012-07-30 2020-01-31 Pilkington Group Limited Sposób osadzania powłoki z zastosowaniem aparatu do powlekania
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101409974B1 (ko) * 2012-09-03 2014-06-27 엘아이지에이디피 주식회사 가스흡배기유닛 및 이를 구비한 원자층 증착장치
KR20140038070A (ko) * 2012-09-20 2014-03-28 삼성코닝정밀소재 주식회사 가스 분사 장치 및 이에 사용되는 인젝터 파이프
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150360242A1 (en) * 2014-06-11 2015-12-17 Veeco Ald Inc. Linear Deposition Apparatus with Modular Assembly
US20150361548A1 (en) * 2014-06-12 2015-12-17 Veeco Ald Inc. Injection Assembly in Linear Deposition Apparatus with Bulging Ridges Extending along Bottom Openings
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102337807B1 (ko) * 2014-11-14 2021-12-09 삼성디스플레이 주식회사 박막 증착 장치
US10350728B2 (en) * 2014-12-12 2019-07-16 Applied Materials, Inc. System and process for in situ byproduct removal and platen cooling during CMP
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
NL2015215B1 (en) * 2015-07-23 2017-02-08 Meyer Burger (Netherlands) B V Programmable deposition apparatus.
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10704144B2 (en) * 2015-10-12 2020-07-07 Universal Display Corporation Apparatus and method for printing multilayer organic thin films from vapor phase in an ultra-pure gas ambient
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
WO2018075659A1 (en) * 2016-10-18 2018-04-26 Universal Display Corporation Organic vapor jet deposition device configuration
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10400332B2 (en) * 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
JP7325343B2 (ja) * 2020-01-08 2023-08-14 東京エレクトロン株式会社 ガス供給構造及び基板処理装置
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11724355B2 (en) 2020-09-30 2023-08-15 Applied Materials, Inc. Substrate polish edge uniformity control with secondary fluid dispense
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220073192A (ko) * 2020-11-26 2022-06-03 에스케이실트론 주식회사 연마 패드 세정 장치 및 연마 장치
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102572438B1 (ko) * 2022-11-17 2023-08-30 주식회사 피제이피테크 에피택셜 성장장치 및 그에 사용되는 가스공급조절 모듈

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE107723C (ko) *
GB1282866A (en) * 1968-08-16 1972-07-26 Pilkington Brothers Ltd Improvements in or relating to the production of glass having desired surface characteristics
GB1507996A (en) * 1975-06-11 1978-04-19 Pilkington Brothers Ltd Coating glass
GB1524326A (en) * 1976-04-13 1978-09-13 Bfg Glassgroup Coating of glass
DE2638270C2 (de) * 1976-08-25 1983-01-27 Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen Verfahren zur Herstellung großflächiger, freitragender Platten aus Silicium
US4203553A (en) * 1977-06-27 1980-05-20 Corning Glass Works Ribbon burner
US4136828A (en) * 1977-06-27 1979-01-30 Corning Glass Works Oxide depositing ribbon burner
JPS5437077A (en) * 1977-08-02 1979-03-19 Agency Of Ind Science & Technol Chemical evaporation method and apparatus for same
CA1138725A (en) * 1978-07-20 1983-01-04 Robert Terneu Glass coating
US4369031A (en) * 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
CH643469A5 (fr) * 1981-12-22 1984-06-15 Siv Soc Italiana Vetro Installation pour deposer en continu, sur la surface d'un substrat porte a haute temperature, une couche d'une matiere solide.
US4537795A (en) * 1982-09-16 1985-08-27 Sovonics Solar Systems Method for introducing sweep gases into a glow discharge deposition apparatus
US4499853A (en) * 1983-12-09 1985-02-19 Rca Corporation Distributor tube for CVD reactor
US5391232A (en) * 1985-12-26 1995-02-21 Canon Kabushiki Kaisha Device for forming a deposited film
US4756272A (en) * 1986-06-02 1988-07-12 Motorola, Inc. Multiple gas injection apparatus for LPCVD equipment
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US4834020A (en) * 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
EP0322466A1 (en) * 1987-12-24 1989-07-05 Ibm Deutschland Gmbh PECVD (plasma enhanced chemical vapor deposition) method for deposition of tungsten or layers containing tungsten by in situ formation of tungsten fluorides
GB8824104D0 (en) * 1988-10-14 1988-11-23 Pilkington Plc Process for coating glass
JPH02295116A (ja) * 1989-05-10 1990-12-06 Mitsubishi Electric Corp 半導体製造装置
US5136975A (en) * 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
DE4029268C2 (de) * 1990-09-14 1995-07-06 Balzers Hochvakuum Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung
DE69229870T2 (de) * 1991-09-27 2000-05-04 Komatsu Denshi Kinzoku Kk Vorrichtung zum einführen von gas, sowie gerät und verfahren zum epitaxialen wachstum.
FR2682047B1 (fr) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5393563A (en) * 1991-10-29 1995-02-28 Ellis, Jr.; Frank B. Formation of tin oxide films on glass substrates
JPH0653140A (ja) * 1992-07-30 1994-02-25 Kawasaki Steel Corp 連続式常圧cvd装置
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
GB9300400D0 (en) * 1993-01-11 1993-03-03 Glaverbel A device and method for forming a coating by pyrolysis
US6047713A (en) * 1994-02-03 2000-04-11 Applied Materials, Inc. Method for cleaning a throttle valve
JPH07245332A (ja) * 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
US5456740A (en) * 1994-06-22 1995-10-10 Millipore Corporation High-efficiency metal membrane getter element and process for making
TW359943B (en) * 1994-07-18 1999-06-01 Silicon Valley Group Thermal Single body injector and method for delivering gases to a surface
FR2724923B1 (fr) * 1994-09-27 1996-12-20 Saint Gobain Vitrage Technique de depot de revetements par pyrolyse de composition de gaz precurseur(s)
US5728224A (en) * 1995-09-13 1998-03-17 Tetra Laval Holdings & Finance S.A. Apparatus and method for manufacturing a packaging material using gaseous phase atmospheric photo chemical vapor deposition to apply a barrier layer to a moving web substrate
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors

Also Published As

Publication number Publication date
TW412597B (en) 2000-11-21
AU8299398A (en) 1999-02-10
CN1122116C (zh) 2003-09-24
JP2004235660A (ja) 2004-08-19
DE69841749D1 (de) 2010-08-12
JP3607198B2 (ja) 2005-01-05
US6022414A (en) 2000-02-08
EP1017873B1 (en) 2010-06-30
WO1999004059A1 (en) 1999-01-28
JP4216212B2 (ja) 2009-01-28
CN1265163A (zh) 2000-08-30
JP2001510242A (ja) 2001-07-31
KR20010021866A (ko) 2001-03-15
EP1889817A2 (en) 2008-02-20
EP1017873A4 (en) 2004-08-25
EP1017873A1 (en) 2000-07-12
EP1889817B1 (en) 2013-06-12
EP1889817A3 (en) 2008-02-27
ATE472615T1 (de) 2010-07-15

Similar Documents

Publication Publication Date Title
KR100355058B1 (ko) 단일체 분사기 및 증착 챔버
US6521048B2 (en) Single body injector and deposition chamber
EP0697376B1 (en) Single body injector and method for delivering gases to a surface
TWI484063B (zh) 化學氣相沉積流動入口元件及方法
JP4630226B2 (ja) シャワーヘッドを用いた化学気相蒸着方法及びその装置
US4834020A (en) Atmospheric pressure chemical vapor deposition apparatus
JP2003133243A (ja) モジュラインジェクタ/排出組立体
KR100972801B1 (ko) 샤워헤드를 구비한 반도체 소자 제조 장비
KR20100033991A (ko) 샤워헤드를 구비한 반도체 소자 제조 장비
KR20020006776A (ko) 원자층 박막 증착장치
JPH10312997A (ja) 常圧cvd装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee