JPWO2019147583A5 - - Google Patents

Download PDF

Info

Publication number
JPWO2019147583A5
JPWO2019147583A5 JP2020561576A JP2020561576A JPWO2019147583A5 JP WO2019147583 A5 JPWO2019147583 A5 JP WO2019147583A5 JP 2020561576 A JP2020561576 A JP 2020561576A JP 2020561576 A JP2020561576 A JP 2020561576A JP WO2019147583 A5 JPWO2019147583 A5 JP WO2019147583A5
Authority
JP
Japan
Prior art keywords
oxidation
substrate
silicon oxide
power
spacer layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020561576A
Other languages
English (en)
Other versions
JP7334185B2 (ja
JP2021512504A (ja
Publication date
Priority claimed from US15/881,506 external-priority patent/US10446394B2/en
Application filed filed Critical
Publication of JP2021512504A publication Critical patent/JP2021512504A/ja
Publication of JPWO2019147583A5 publication Critical patent/JPWO2019147583A5/ja
Application granted granted Critical
Publication of JP7334185B2 publication Critical patent/JP7334185B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

例示する酸素含有反応物または酸化は、酸素ガス、水、二酸化炭素、一酸化炭素、亜酸化窒素、一酸化窒素、酸化硫黄、二酸化硫黄、酸素含有炭化水素、オゾン、およびそれらの組合せを含む。いくつかの実装形態では、基板は、プラズマが点火されている間、酸素含有反応物およびキャリアガスに同時に暴露される。たとえば酸素は、プラズマが点火されている間、ヘリウム、アルゴン、またはそれらの混合物と共に基板に導入される。
図5に戻ると、処理500のブロック510で、パターン形成されたコア材料およびパターン形成されたコア材料の下にあるターゲット層を含む基板上にALDにより第1の厚さの酸化ケイ素スペーサ層を堆積させる。第1の厚さの酸化ケイ素スペーサ層を堆積させるステップは、第1の照射量のシリコン含有前駆物質に基板を暴露するステップ、および第1の酸化条件の下で酸化剤のプラズマに基板を暴露するステップを含む。第1の厚さの酸化ケイ素スペーサ層を堆積させるステップは、繰り返される複数のALDサイクルを含み、この場合、ALDの各サイクルは、図3、図4A、および図4Bに示すように、1つまたは複数の段階を含む。ある例として、ALDサイクルは、(i)照射ステップ、(ii)パージステップ、(iii)プラズマ暴露ステップ、および(iv)パージステップを含んでよい。照射ステップは、第1の照射量のケイ素含有前駆物質に基板を暴露して、基板表面上に自己制御的手法でケイ素含有前駆物質を吸収させるステップを含む。このステップはまた、飽和させるための、基板表面の「ソーキング(soaking)」とも呼ばれることがある。プラズマ暴露ステップは、酸化のラジカルまたは他の帯電種がケイ素含有前駆物質と反応して、ケイ素含有前駆物質を吸収制限された量の酸化物材料に変換するように、第1の酸化条件の下で酸化のプラズマ(たとえば、酸素プラズマ)に基板を暴露するステップを含んでよい。プラズマ暴露ステップはまた、プラズマ「変換」ステップとも呼ばれることがある。いくつかの実装形態では、酸化は、酸素ガス、水、二酸化炭素、一酸化炭素、亜酸化窒素、一酸化窒素、酸化硫黄、二酸化硫黄、酸素含有炭化水素、オゾン、およびそれらの組合せを含んでよいが、それらに限定されない酸素含有反応物である。いくつかの実装形態では、酸化は酸素ガスである。酸化は、比較的短い時間、RF電力配送に暴露されてよい。たとえば、約0.25秒~約5秒の間の期間、RF電力配送に酸化を暴露して、酸素プラズマを形成し、ケイ素含有前駆物質を変換する。このステップはまた、比較的短い時間に配送されるRF電力から得られるプラズマを使用して、基板表面上で前駆物質材料を変換するための「フラッシュ」動作とも呼ばれることがある。したがって、第1の酸化条件の下で酸化のプラズマに基板を暴露するステップは、ケイ素含有前駆物質を変換して、第1の厚さの酸化ケイ素スペーサ層を形成するステップを含む。第1の厚さの酸化ケイ素スペーサ層を堆積させるまで、照射ステップおよびプラズマステップを含むALDサイクルを繰り返してよい。
図5に戻ると、処理500のブロック520で、ALDにより基板上に第2の厚さの酸化ケイ素スペーサ層を堆積させる。第2の厚さの酸化ケイ素スペーサ層を堆積させるステップは、第2の照射量のシリコン含有前駆物質に基板を暴露するステップ、および第2の酸化条件の下で酸化剤のプラズマに基板を暴露するステップを含み、第2の酸化条件は、第1の酸化条件と異なる。第2の厚さの酸化ケイ素スペーサ層を堆積させるステップは、繰り返される複数のALDサイクルを含み、この場合、ALDの各サイクルは、(i)照射ステップ、(ii)パージステップ、(iii)プラズマ暴露ステップ、および(iv)パージステップを含んでよく、前述のステップについては、上記で記述されている。ブロック520中の照射ステップは、ブロック510中の照射ステップと同じケイ素含有前駆物質を使用してよい。ブロック520中のプラズマ暴露ステップは、ブロック510中のプラズマ暴露ステップと同じ酸化を使用してよい。第2の酸化条件の下で酸化のプラズマに基板を暴露するステップは、第2の照射量のケイ素含有前駆物質を変換して、第2の厚さの酸化ケイ素スペーサ層を形成するステップを含む。第2の厚さの組成は、第1の厚さの酸化ケイ素スペーサ層と同じであってよい。照射ステップおよびプラズマ暴露ステップを含むALDサイクルは、第2の厚さの酸化ケイ素スペーサ層を堆積させるまで繰り返してよい。ブロック520後に形成される層は、異なる材料からなる2重層では必ずしもなく、2つ以上の異なる堆積条件の下で形成された酸化ケイ素層である。
いくつかの実装形態では、処理900のブロック910で、任意選択で複数サイクル(Mサイクル)のALDを遂行して、パターン形成されたコア材料の上に酸化ケイ素スペーサ層を堆積させて、パターン形成されたコア材料のその後の消費を制限してよく、この場合、Mは整数値である。酸化ケイ素スペーサ層を堆積させるとき、長い継続期間、高いRF電力で酸化のプラズマに暴露することにより、パターン形成されたコア材料の一部分を不注意に消費することがある。したがって、ブロック910のALDの各サイクルは、プラズマ変換段階を有し、この段階では、酸化ケイ素材料の「ソフトランディング」を生み出すために、プラズマへの暴露は、短い継続期間であり、低いRF電力で遂行され、それにより、パターン形成されたコア材料のその後の消費を制限する。
処理900のブロック920で、XサイクルのALDを適用することにより、パターン形成されたコア材料の全面にわたり第1の厚さの酸化ケイ素スペーサ層を堆積させ、この場合、各サイクルは、(i)第1の照射量のケイ素含有前駆物質に基板を暴露するステップ、および(ii)第1の酸化条件の下で酸化のプラズマに基板を暴露するステップを含む。いくつかの実装形態では、Xは約10~約100の間の整数値である。第1の酸化条件は、第1の酸化時間、第1のRF電力、および第1の基板温度を含むことができる。いくつかの実装形態では、第1の酸化時間、第1のRF電力、または第1の基板温度のうちの少なくとも1つを、X回のサイクルにわたり徐々に変更してよい。言い替えれば、第1の酸化時間、第1のRF電力、および第1の基板温度などのパラメータは、第1の厚さの酸化ケイ素スペーサ層を堆積させる間、固定されているのでは必ずしもなく、時間をかけて変化してよい。
処理900のブロック930で、YサイクルのALDを適用することにより、第1の厚さの全面わたり第2の厚さの酸化ケイ素スペーサ層を堆積させ、この場合、各サイクルは、(i)第2の照射量のケイ素含有前駆物質に基板を暴露するステップ、および(ii)第2の酸化条件の下で酸化のプラズマに基板を暴露するステップを含む。いくつかの実装形態では、Yは約10~約100の間の整数値である。第2の酸化条件は、第2の酸化時間、第2のRF電力、および第2の基板温度を含むことができる。第2の酸化条件は、酸化時間、RF電力、または基板温度のうちの1つだけ第1の酸化条件と異なる。いくつかの実装形態では、第2の酸化時間、第2のRF電力、または第2の基板温度のうちの少なくとも1つを、Y回のサイクルにわたり徐々に変更してよい。言い替えれば、第2の酸化時間、第2のRF電力、および第2の基板温度などのパラメータは、第2の厚さの酸化ケイ素スペーサ層を堆積させる間、固定されているのでは必ずしもなく、時間をかけて変化してよい。
結論
前述の実施形態について、理解を明確にするためにいくらか詳細に記述してきたが、添付の特許請求の範囲内で一定の変更および修正を実施してよいことは明らかであろう。本実施形態の処理、システム、および装置を実装する代替方法が多くあることに留意されたい。したがって、本実施形態は、例示的であり、制限するものではないと考えるべきであり、実施形態は、本明細書で示す詳細に限定されるべきではない。また、本開示は以下の形態として実現できる。
[形態1]
方法であって、
プラズマチャンバ内で、パターン形成されたコア材料および前記パターン形成されたコア材料の下にあるターゲット層を含む基板上に原子層堆積(ALD)により第1の厚さの酸化ケイ素スペーサ層を堆積させるステップであって、第1の照射量のケイ素含有前駆物質に前記基板を暴露するステップ、および第1の酸化条件の下で酸化剤のプラズマに前記基板を暴露するステップを含むステップと、
前記プラズマチャンバ内で、前記基板上に前記ALDにより第2の厚さの前記酸化ケイ素スペーサ層を堆積させるステップであって、第2の照射量の前記ケイ素含有前駆物質に前記基板を暴露するステップ、および第2の酸化条件の下で前記酸化剤の前記プラズマに前記基板を暴露するステップを含み、前記第1の酸化条件は、前記第2の酸化条件と異なるステップと、
前記プラズマチャンバ内で、前記パターン形成されたコア材料をエッチングして、前記酸化ケイ素スペーサ層から前記ターゲット層用のマスクの役割を果たす複数のスペーサを形成するステップと
を備える方法。
[形態2]
形態1に記載の方法であって、
前記第2の酸化条件は、(1)酸化時間、(2)無線周波数(RF)電力、および(3)基板温度のうちの1つまたは複数だけ前記第1の酸化条件と異なる方法。
[形態3]
形態2に記載の方法であって、
前記酸化時間は、前記第1の酸化条件および前記第2の酸化条件ごとに約0.25秒~約5秒の間である方法。
[形態4]
形態2に記載の方法であって、
前記RF電力は、前記第1の酸化条件および前記第2の酸化条件ごとに約100ワット~約10,000ワットの間である方法。
[形態5]
形態2に記載の方法であって、
前記基板温度は、前記第1の酸化条件および前記第2の酸化条件ごとに約0℃~約100℃の間である方法。
[形態6]
形態1に記載の方法であって、
前記第2の酸化条件は、第2の酸化時間および第2のRF電力を含み、前記第1の酸化条件は、第1の酸化時間および第1のRF電力を含み、前記第2の酸化時間は、前記第1の酸化時間よりも長く、前記第2のRF電力は、前記第1のRF電力よりも大きい方法。
[形態7]
形態1に記載の方法であって、
前記第2の酸化条件は、第2の酸化時間および第2のRF電力を含み、前記第1の酸化条件は、第1の酸化時間および第1のRF電力を含み、前記第2の酸化時間は、前記第1の酸化時間よりも短く、前記第2のRF電力は、前記第1のRF電力よりも小さい方法。
[形態8]
形態1に記載の方法であって、
前記第2の酸化条件は、第2の基板温度を含み、前記第1の酸化条件は、第1の基板温度を含み、前記第2の基板温度は、前記第1の基板温度と異なる方法。
[形態9]
形態8に記載の方法であって、
基板支持物の温度を前記第1の基板温度から前記第2の基板温度へ傾斜させるステップ
をさらに備える方法。
[形態10]
形態1~9のいずれか一項に記載の方法であって、
前記第1の厚さの前記酸化ケイ素スペーサ層を堆積させる前記ステップの動作、前記第2の厚さの前記酸化ケイ素スペーサ層を堆積させる前記ステップの動作、および前記パターン形成されたコア材料をエッチングする前記ステップの動作は、前記動作の間に真空破壊を導入することなく前記プラズマチャンバ内で行われる方法。
[形態11]
形態1~9のいずれか一項に記載の方法であって、
前記プラズマチャンバ内の圧力は、約1mTorr(133.322mPa)~約100mTorr(13332.2mPa)の間である方法。
[形態12]
形態1~9のいずれか一項に記載の方法であって、
前記パターン形成されたコア材料をエッチングする前記ステップの前に前記酸化ケイ素スペーサ層の一部分をエッチングするステップ
をさらに備える方法。
[形態13]
形態1~9のいずれか一項に記載の方法であって、
前記第1の厚さの前記酸化ケイ素スペーサ層を堆積させる前記ステップは、(i)前記第1の照射量の前記ケイ素含有前駆物質に前記基板を暴露する前記ステップ、および(ii)前記第1の酸化条件の下で前記酸化剤の前記プラズマに前記基板を暴露する前記ステップからなるX回のサイクルを適用するステップを含み、前記第2の厚さの前記酸化ケイ素スペーサ層を堆積させる前記ステップは、(iii)前記第2の照射量の前記ケイ素含有前駆物質に前記基板を暴露する前記ステップ、および(iv)前記第2の酸化条件の下で前記酸化剤の前記プラズマに前記基板を暴露する前記ステップからなるY回のサイクルを適用するステップを含み、前記Xおよび前記Yは、互いに異なる整数値である方法。
[形態14]
形態13に記載の方法であって、
前記第1の酸化条件は、第1の酸化時間を含み、前記第2の酸化条件は、第2の酸化時間を含み、前記第1の酸化時間は、前記X回のサイクルにわたり徐々に変化し、前記第2の酸化時間は、前記Y回のサイクルにわたり徐々に変化する方法。
[形態15]
形態13に記載の方法であって、
前記第1の酸化条件は、第1のRF電力を含み、前記第2の酸化条件は、第2のRF電力を含み、前記第1のRF電力は、前記X回のサイクルにわたり徐々に変化し、前記第2のRF電力は、前記Y回のサイクルにわたり徐々に変化する方法。
[形態16]
形態13に記載の方法であって、
前記第1の酸化条件の下で前記酸化剤の前記プラズマに前記基板を暴露する前記ステップは、前記第1の照射量の前記ケイ素含有前駆物質を変換して、前記第1の厚さの前記酸化ケイ素スペーサ層を形成するステップを含み、前記第2の酸化条件の下で前記酸化剤の前記プラズマに前記基板を暴露する前記ステップは、前記第2の照射量の前記ケイ素含有前駆物質を変換して、前記第2の厚さの前記酸化ケイ素スペーサ層を形成するステップを含む方法。
[形態17]
形態1~9のいずれか一項に記載の方法であって、
前記酸化剤は酸素ガスを含む方法。
[形態18]
形態1~9のいずれか一項に記載の方法であって、
前記パターン形成されたコア材料は、スピンオン炭素、ダイヤモンド状炭素、およびギャップ充填灰化可能バードマスクからなるグループから選択される材料を含む方法。
[形態19]
形態1~9のいずれか一項に記載の方法であって、
前記複数のスペーサの各々の上側部分は、前記第1の酸化条件および前記第2の酸化条件に少なくとも一部は依存する傾きを有する方法。
[形態20]
複数の酸化ケイ素スペーサの傾きを制御するための装置であって、
プラズマチャンバと、
前記プラズマチャンバにRF電力を配送するように構成された、前記プラズマチャンバに連結されたRF電源と、
前記プラズマチャンバ内で、パターン形成されたコア材料および前記パターン形成されたコア材料の下にあるターゲット層を含む基板を支持するための基板支持物と、
コントローラであって、
(i)前記プラズマチャンバ内で、前記基板上に原子層堆積(ALD)により第1の厚さの酸化ケイ素スペーサ層を堆積させる命令であって、前記ALDにより前記第1の厚さの前記酸化ケイ素スペーサ層を堆積させるステップは、第1の照射量のケイ素含有前駆物質に前記基板を暴露するステップ、および第1の酸化条件の下で酸化剤のプラズマに前記基板を暴露するステップを含む命令、
(ii)前記プラズマチャンバ内で、前記第1の厚さの前記酸化ケイ素スペーサ層上に前記ALDにより第2の厚さの前記酸化ケイ素スペーサ層を堆積させる命令であって、前記ALDにより前記第2の厚さの前記酸化ケイ素スペーサ層を堆積させるステップは、第2の照射量の前記ケイ素含有前駆物質に前記基板を暴露するステップ、および第2の酸化条件の下で前記酸化剤の前記プラズマに前記基板を暴露するステップを含み、前記第2の酸化条件は、前記第1の酸化条件と異なる命令、ならびに
(iii)前記プラズマチャンバ内で、前記パターン形成されたコア材料をエッチングして、前記酸化ケイ素スペーサ層から前記ターゲット層用のマスクの役割を果たす複数のスペーサを形成する命令
を遂行するように構成されたコントローラと
を備える装置。
[形態21]
形態20に記載の装置であって、
前記第2の酸化条件は、(1)酸化時間、(2)無線周波数(RF)電力、および(3)基板温度のうちの1つまたは複数だけ前記第1の酸化条件と異なる装置。
[形態22]
形態20に記載の装置であって、
前記複数のスペーサの各々の上側部分は、前記第1の酸化条件および前記第2の酸化条件に少なくとも一部は依存する傾きを有する装置。

Claims (22)

  1. 複数の酸化ケイ素スペーサの傾きを制御するための方法であって、
    プラズマチャンバ内で、パターン形成されたコア材料および前記パターン形成されたコア材料の下にあるターゲット層を含む基板上に原子層堆積(ALD)により第1の厚さの酸化ケイ素スペーサ層を堆積させるステップであって、第1の照射量のケイ素含有前駆物質に前記基板を暴露するステップ、および第1の酸化条件の下で酸化剤のプラズマに前記基板を暴露するステップを含むステップと、
    前記プラズマチャンバ内で、前記基板上に前記ALDにより第2の厚さの前記酸化ケイ素スペーサ層を堆積させるステップであって、第2の照射量の前記ケイ素含有前駆物質に前記基板を暴露するステップ、および第2の酸化条件の下で前記酸化の前記プラズマに前記基板を暴露するステップを含み、前記第1の酸化条件は、前記第2の酸化条件と異なるステップと、
    前記プラズマチャンバ内で、前記パターン形成されたコア材料をエッチングして、前記酸化ケイ素スペーサ層から前記ターゲット層用のマスクの役割を果たす複数のスペーサを形成するステップと
    を備える方法。
  2. 請求項1に記載の方法であって、
    前記第2の酸化条件は、(1)酸化時間、(2)無線周波数(RF)電力、および(3)基板温度のうちの1つまたは複数だけ前記第1の酸化条件と異なる方法。
  3. 請求項2に記載の方法であって、
    前記酸化時間は、前記第1の酸化条件および前記第2の酸化条件ごとに0.25秒~5秒の間である方法。
  4. 請求項2に記載の方法であって、
    前記RF電力は、前記第1の酸化条件および前記第2の酸化条件ごとに100ワット~10,000ワットの間である方法。
  5. 請求項2に記載の方法であって、
    前記基板温度は、前記第1の酸化条件および前記第2の酸化条件ごとに0~100℃の間である方法。
  6. 請求項1に記載の方法であって、
    前記第2の酸化条件は、第2の酸化時間および第2のRF電力を含み、前記第1の酸化条件は、第1の酸化時間および第1のRF電力を含み、前記第2の酸化時間は、前記第1の酸化時間よりも長く、前記第2のRF電力は、前記第1のRF電力よりも大きい方法。
  7. 請求項1に記載の方法であって、
    前記第2の酸化条件は、第2の酸化時間および第2のRF電力を含み、前記第1の酸化条件は、第1の酸化時間および第1のRF電力を含み、前記第2の酸化時間は、前記第1の酸化時間よりも短く、前記第2のRF電力は、前記第1のRF電力よりも小さい方法。
  8. 請求項1に記載の方法であって、
    前記第2の酸化条件は、第2の基板温度を含み、前記第1の酸化条件は、第1の基板温度を含み、前2の基板温度は、前記第1の基板温度と異なる方法。
  9. 請求項8に記載の方法であって、
    基板支持物の温度を前記第1の基板温度から前記第2の基板温度へ傾斜させるステップ
    をさらに備える方法。
  10. 請求項1~9のいずれか一項に記載の方法であって、
    前記第1の厚さの前記酸化ケイ素スペーサ層を堆積させる前記ステップの動作、前記第2の厚さの前記酸化ケイ素スペーサ層を堆積させる前記ステップの動作、および前記パターン形成されたコア材料をエッチングする前記ステップの動作は、前記動作の間に真空破壊を導入することなく前記プラズマチャンバ内で行われる方法。
  11. 請求項1~9のいずれか一項に記載の方法であって、
    前記プラズマチャンバ内の圧力は、1mTorr(133.322mPa)~100mTorr(13332.2mPa)の間である方法。
  12. 請求項1~9のいずれか一項に記載の方法であって、
    前記パターン形成されたコア材料をエッチングする前記ステップの前に前記酸化ケイ素スペーサ層の一部分をエッチングするステップ
    をさらに備える方法。
  13. 請求項1~9のいずれか一項に記載の方法であって、
    前記第1の厚さの前記酸化ケイ素スペーサ層を堆積させる前記ステップは、(i)前記第1の照射量の前記ケイ素含有前駆物質に前記基板を暴露する前記ステップ、および(ii)前記第1の酸化条件の下で前記酸化剤の前記プラズマに前記基板を暴露する前記ステップからなるX回のサイクルを適用するステップを含み、前記第2の厚さの前記酸化ケイ素スペーサ層を堆積させる前記ステップは、(iii)前記第2の照射量の前記ケイ素含有前駆物質に前記基板を暴露する前記ステップ、および(iv)前記第2の酸化条件の下で前記酸化剤の前記プラズマに前記基板を暴露する前記ステップからなるY回のサイクルを適用するステップを含み、前記Xおよび前記Yは、互いに異なる整数値である方法。
  14. 請求項13に記載の方法であって、
    前記第1の酸化条件は、第1の酸化時間を含み、前記第2の酸化条件は、第2の酸化時間を含み、前記第1の酸化時間は、前記X回のサイクルにわたり徐々に変化し、前記第2の酸化時間は、前記Y回のサイクルにわたり徐々に変化する方法。
  15. 請求項13に記載の方法であって、
    前記第1の酸化条件は、第1のRF電力を含み、前記第2の酸化条件は、第2のRF電力を含み、前記第1のRF電力は、前記X回のサイクルにわたり徐々に変化し、前記第2のRF電力は、前記Y回のサイクルにわたり徐々に変化する方法。
  16. 請求項13に記載の方法であって、
    前記第1の酸化条件の下で前記酸化の前記プラズマに前記基板を暴露する前記ステップは、前記第1の照射量の前記ケイ素含有前駆物質を変換して、前記第1の厚さの前記酸化ケイ素スペーサ層を形成するステップを含み、前記第2の酸化条件の下で前記酸化の前記プラズマに前記基板を暴露する前記ステップは、前記第2の照射量の前記ケイ素含有前駆物質を変換して、前記第2の厚さの前記酸化ケイ素スペーサ層を形成するステップを含む方法。
  17. 請求項1~9のいずれか一項に記載の方法であって、
    前記酸化は酸素ガスを含む方法。
  18. 請求項1~9のいずれか一項に記載の方法であって、
    前記パターン形成されたコア材料は、スピンオン炭素、ダイヤモンド状炭素、およびギャップ充填灰化可能バードマスクからなるグループから選択される材料を含む方法。
  19. 請求項1~9のいずれか一項に記載の方法であって、
    前記複数のスペーサの各々は、前記第1の酸化条件および前記第2の酸化条件に少なくとも一部は依存する傾きを有する方法。
  20. 複数の酸化ケイ素スペーサの傾きを制御するための装置であって、
    プラズマチャンバと、
    前記プラズマチャンバにRF電力を配送するように構成された、前記プラズマチャンバに連結されたRF電源と、
    前記プラズマチャンバ内で、パターン形成されたコア材料および前記パターン形成されたコア材料の下にあるターゲット層を含む基板を支持するための基板支持物と、
    コントローラであって、
    (i)前記プラズマチャンバ内で、前記基板上に原子層堆積(ALD)により第1の厚さの酸化ケイ素スペーサ層を堆積させる命令であって、前記ALDにより前記第1の厚さの前記酸化ケイ素スペーサ層を堆積させるステップは、第1の照射量のケイ素含有前駆物質に前記基板を暴露するステップ、および第1の酸化条件の下で酸化のプラズマに前記基板を暴露するステップを含む命令、
    (ii)前記プラズマチャンバ内で、前記第1の厚さの前記酸化ケイ素スペーサ層上に前記ALDにより第2の厚さの前記酸化ケイ素スペーサ層を堆積させる命令であって、前記ALDにより前記第2の厚さの前記酸化ケイ素スペーサ層を堆積させるステップは、第2の照射量の前記ケイ素含有前駆物質に前記基板を暴露するステップ、および第2の酸化条件の下で前記酸化の前記プラズマに前記基板を暴露するステップを含み、前記第2の酸化条件は、前記第1の酸化条件と異なる命令、ならびに
    (iii)前記プラズマチャンバ内で、前記パターン形成されたコア材料をエッチングして、前記酸化ケイ素スペーサ層から前記ターゲット層用のマスクの役割を果たす複数のスペーサを形成する命令
    を遂行するように構成されたコントローラと
    を備える装置。
  21. 請求項20に記載の装置であって、
    前記第2の酸化条件は、(1)酸化時間、(2)無線周波数(RF)電力、および(3)基板温度のうちの1つまたは複数だけ前記第1の酸化条件と異なる装置。
  22. 請求項20に記載の装置であって、
    前記複数のスペーサの各々は、前記第1の酸化条件および前記第2の酸化条件に少なくとも一部は依存する傾きを有する装置。
JP2020561576A 2018-01-26 2019-01-22 マルチプルパターンニング処理での原子層堆積を使用するスペーサプロファイル制御 Active JP7334185B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/881,506 US10446394B2 (en) 2018-01-26 2018-01-26 Spacer profile control using atomic layer deposition in a multiple patterning process
US15/881,506 2018-01-26
PCT/US2019/014580 WO2019147583A1 (en) 2018-01-26 2019-01-22 Spacer profile control using atomic layer deposition in a multiple patterning process

Publications (3)

Publication Number Publication Date
JP2021512504A JP2021512504A (ja) 2021-05-13
JPWO2019147583A5 true JPWO2019147583A5 (ja) 2023-07-14
JP7334185B2 JP7334185B2 (ja) 2023-08-28

Family

ID=67393651

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020561576A Active JP7334185B2 (ja) 2018-01-26 2019-01-22 マルチプルパターンニング処理での原子層堆積を使用するスペーサプロファイル制御

Country Status (5)

Country Link
US (1) US10446394B2 (ja)
JP (1) JP7334185B2 (ja)
KR (2) KR102660290B1 (ja)
CN (1) CN111656488A (ja)
WO (1) WO2019147583A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US20190378725A1 (en) * 2018-06-08 2019-12-12 Lam Research Corporation Method for transferring a pattern from an organic mask
US11414755B2 (en) * 2019-02-19 2022-08-16 Meidensha Corporation Atomic layer deposition method and atomic layer deposition device
US11782346B2 (en) * 2019-09-25 2023-10-10 Tokyo Electron Limited Method of patterning a substrate using a sidewall spacer etch mask

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US7250371B2 (en) 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7459363B2 (en) 2006-02-22 2008-12-02 Micron Technology, Inc. Line edge roughness reduction
WO2009085597A2 (en) 2007-12-21 2009-07-09 Lam Research Corporation Cd bias loading control with arc layer open
US7998872B2 (en) 2008-02-06 2011-08-16 Tokyo Electron Limited Method for etching a silicon-containing ARC layer to reduce roughness and CD
JP5223364B2 (ja) 2008-02-07 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法及び記憶媒体
US8866254B2 (en) 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
JP4972594B2 (ja) 2008-03-26 2012-07-11 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8298949B2 (en) 2009-01-07 2012-10-30 Lam Research Corporation Profile and CD uniformity control by plasma oxidation treatment
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9685320B2 (en) * 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8334083B2 (en) 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
EP2694700B1 (en) 2011-04-07 2016-11-16 Picosun Oy Atomic layer deposition with plasma source
US8298951B1 (en) * 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8716149B2 (en) * 2012-05-29 2014-05-06 GlobalFoundries, Inc. Methods for fabricating integrated circuits having improved spacers
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US8815685B2 (en) 2013-01-31 2014-08-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits having confined epitaxial growth regions
US9184233B2 (en) 2013-02-27 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for defect passivation to reduce junction leakage for finFET device
US9412871B2 (en) 2013-03-08 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with channel backside passivation layer device and method
US9287262B2 (en) 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
KR101674972B1 (ko) * 2013-12-26 2016-11-10 한국과학기술원 나노 스케일 패터닝 방법 및 이로부터 제조된 전자기기용 집적소자
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN105470132B (zh) 2014-09-03 2018-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US9443716B2 (en) * 2014-10-08 2016-09-13 Applied Materials, Inc. Precise critical dimension control using bilayer ALD
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9659929B2 (en) 2014-10-31 2017-05-23 Infineon Technologies Dresden Gmbh Semiconductor device with enhancement and depletion FinFET cells
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9991132B2 (en) * 2015-04-17 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique incorporating varied pattern materials
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9653571B2 (en) * 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US20170053793A1 (en) * 2015-08-17 2017-02-23 Tokyo Electron Limited Method and system for sculpting spacer sidewall mask
CN108076667A (zh) 2015-09-18 2018-05-25 英特尔公司 非平面晶体管界面的基于氘的钝化
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10483109B2 (en) * 2016-04-12 2019-11-19 Tokyo Electron Limited Self-aligned spacer formation
US9997631B2 (en) 2016-06-03 2018-06-12 Taiwan Semiconductor Manufacturing Company Methods for reducing contact resistance in semiconductors manufacturing process
US10074543B2 (en) * 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation

Similar Documents

Publication Publication Date Title
JP6352771B2 (ja) 低減されたトリミングレートで炭素含有膜をトリミングする方法
US8551891B2 (en) Remote plasma burn-in
TWI463566B (zh) 低溫氧化矽轉換
US9136108B2 (en) Method for restoring porous surface of dielectric layer by UV light-assisted ALD
JP6689565B2 (ja) 硫黄ドープ炭素ハードマスク
CN110892332B (zh) 除去光致抗蚀剂图案化浮渣的原子层清洁
JP2018186269A5 (ja)
JP2018152554A (ja) シリコン酸化物の選択的堆積
JP2020536387A (ja) 有機ラジカルを使用したシリコンまたはシリコンゲルマニウム表面の表面処理
TW201214563A (en) Plasma-activated deposition of conformal films
JP2016216817A5 (ja)
JP2011228707A (ja) 半導体素子の微細パターン形成方法
KR20150037638A (ko) 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
CN111501013A (zh) 用于先进图案化的软着陆纳米层压层
WO2012048094A2 (en) Atomic layer deposition of photoresist materials and hard mask precursors
JP2015070270A5 (ja)
EP1991373A2 (en) Enhancement of remote plasma source clean for dielectric films
JP6735408B2 (ja) 酸ハロゲン化物を用いた原子層エッチング
JP2009545895A5 (ja)
JP7334185B2 (ja) マルチプルパターンニング処理での原子層堆積を使用するスペーサプロファイル制御
JP7447093B2 (ja) 準安定活性ラジカル種を使用する原子層処置プロセス
JPWO2019147583A5 (ja)
JPH0778629B2 (ja) ポジ型レジスト膜及びそのレジストパターンの形成方法
JP7299887B2 (ja) 窒化ケイ素膜のドライエッチング速度の低減
KR20210024199A (ko) 하드마스크 막들 상의 ALD SiO2 증착 시 탄소 손실 최소화