JPH1197380A - デバイスの製造方法 - Google Patents

デバイスの製造方法

Info

Publication number
JPH1197380A
JPH1197380A JP10197846A JP19784698A JPH1197380A JP H1197380 A JPH1197380 A JP H1197380A JP 10197846 A JP10197846 A JP 10197846A JP 19784698 A JP19784698 A JP 19784698A JP H1197380 A JPH1197380 A JP H1197380A
Authority
JP
Japan
Prior art keywords
region
amorphous silicon
ion implantation
polysilicon
dopant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10197846A
Other languages
English (en)
Other versions
JP3529634B2 (ja
Inventor
Bebutsuku Jiyoze
ベヴック ジョゼ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia of America Corp
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Publication of JPH1197380A publication Critical patent/JPH1197380A/ja
Application granted granted Critical
Publication of JP3529634B2 publication Critical patent/JP3529634B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 深いドーパントの濃度プロファイルを与えク
ロスドーピングによる問題を解決する半導体の製造方法
を提供すること。 【解決手段】 本発明は、シリコン製基板10の上に誘
電体領域18を形成するステップと、前記誘電体領域1
8の上に第1のアモルファスシリコンまたはポリシリコ
ンの領域20を形成するステップと、前記領域20の上
にドーパントを注入するステップと、前記ステップの
後、前記領域20の上に第2のアモルファスシリコンま
たはポリシリコンの領域50を形成するステップとを有
する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、集積回路の製造方
法に関する。
【0002】
【従来の技術】様々なアプリケーションで、CMOS集
積回路が用いられている。多くのCMOS集積回路は図
7にその一部を示すような二重ゲート構造である。一般
的に二重ゲート構造の形成は、ゲート誘電体領域108
をn型領域102とp型領域104とを有するシリコン
製基板100上に形成することにより開始される。(誘
電体材料は電気的絶縁材料であり、即ち、106 オーム
cm以上の抵抗率を有する材料を意味する。)フィール
ド誘電体領域106が形成され、デバイスの反対の極性
でドーピングされた複数の領域を絶縁している。
【0003】ポリシリコン製領域110が、ゲート誘電
体領域108とフィールド誘電体領域106の上に堆積
される。n型領域102の上のポリシリコン製領域11
0の一部にはボロンあるいはBF2 のようなp型ドーパ
ントが注入され、p型領域104の上のポリシリコン製
領域110の一部の上にはPまたはAsのようなn型ド
ーパントが注入される。このような二重ゲートCMOS
構造は、ドープしたポリシリコン上に耐火金属珪化物層
112を有する。この耐火金属珪化物層は、ゲート構造
体の抵抗を下げるよう機能し、素子と回路の性能を向上
させる。
【0004】しかし、n型ドーパントとp型ドーパント
は、シリコン内よりも耐火金属珪化物内の方がより容易
に拡散する傾向がある。このため例えばドーパントは、
n型領域102上のポリシリコン製領域110の領域か
ら耐火金属珪化物層112の中に拡散し、そして耐火金
属珪化物層112内を横方向に移動して再び反対極性に
ドープされたp型領域104の上の領域でポリシリコン
製領域110内に戻る傾向がある。
【0005】このようにしてn型ドーパントは、p型に
ドープしたポリシリコン領域に移動し、そしてその逆も
行われる。このような現象をクロスドーピングと称す
る。このようなクロスドーピングするクロスドーパント
が下のゲート誘電体に隣接するポリシリコン領域内に拡
散することにより、CMOS設計とその動作において重
要なパラメータであるしきい値電圧を好ましからざる方
向にシフトさせることになる。
【0006】さらにまたこのクロスドーピングの問題
は、CMOSデバイスが小型化するにつれて、例えば
0.25μm長のデバイス、さらにはまた0.18μm
長デバイスに移行するにつれてよりシビアになる。デバ
イスが小さくなるにつれてクロスドーパントのしきい値
電圧のような素子特性への影響は大きくなり、デバイス
同士が近付くにつれて隣接するデバイスと干渉するよう
にドーパントが横方向に移動する距離が短くなる。
【0007】ポリシリコン製領域110のドーパント注
入領域内のドーパントが分散することにより問題が引き
起こされる。最終製品では注入ドーパントがその下のゲ
ート誘電体領域108の近傍にあるのが好ましい。しか
し一般的に大部分のドーパントは、ポリシリコン110
の上部に近接した位置にあり、アニール(熱処理)を用
いてこのドーパントをゲート誘電体領域108の方向に
拡散させている。
【0008】しかし、ドーパントをこの距離だけ拡散さ
せるのに必要なアニール時間と温度により、ポリシリコ
ン製領域110内の一部のドーパントが横方向に拡散し
てポリシリコン製領域110の反対極にドープされた領
域内に入り込みクロスドーピングを引き起こしてしま
う。ポリシリコン製領域110内のこの横方向の拡散
は、珪化物層が存在するか否かにかかわらず問題であ
る。このクロスドーピングのメカニズムは、特に隣接す
るデバイスの活性領域間の距離の半分がポリシリコン製
領域110のドープ領域の厚さと同程度になる場合に問
題である。
【0009】さらにまたより薄いゲート絶縁層を使用す
ることによりデバイスの性能は改善されるが、これは比
較的高濃度のドーパント(例えば、1020ドーパント/
cm 3 以上)がゲート誘電体層に隣接してある場合に限
られる(この要件は低ポリデプレーション(low poly-d
epletion)として公知である)。十分な量のドーパント
がこの誘電体層の近傍に存在しない場合には、より薄い
ゲート誘電体層を用いることはせいぜい素子の性能をか
ろうじて改善する程度である。
【0010】また珪化物形成プロセスにより耐火金属珪
化物を形成する場合には、ドーパント分布が問題を引き
起こす可能性がある。一般的な珪化物形成プロセスにお
いては、耐火金属はポリシリコン製ゲート構造体とソー
スとドレインと二酸化シリコン製スペーサが形成した後
堆積される。そしてこの素子を加熱して金属とシリコン
とを反応させ、それにより耐火金属珪化物を形成してい
る。耐火金属と二酸化シリコン製スペーサとの間の結合
力が弱いために、この珪化物は通常スペーサの上には形
成されず、従来珪化物構造体の自己整合と呼ばれる現象
を引き起こす。
【0011】このような珪化物形成プロセスにおける珪
化物層の成長は、多くのドーパントあるいはドーパント
ベースの沈澱物がポリシリコン製ゲート構造体の上部領
域(そこに珪化物が形成される)内にある場合には悪影
響を及ぼす。さらにまた、珪化物形成プロセスを用いた
場合には、ポリシリコン製領域は、一般的にはより厚い
ためにゲート誘電体層へのドーパントの拡散距離が増加
し、これによりその下にあるチャネル領域の蚕食が行わ
れ、これがデバイスの短絡原因となる。
【0012】このような理由により、ポリシリコン製層
内にドーパントを深く入り込ませるプロセスが望まれて
いる。しかし、このようなディープ注入方法は、達成す
るのが望ましい。一般的に前述したように大部分のドー
パントは、ポリシリコン領域の上部表面近くにある。そ
のため好ましからざる影響を避けながらドーパントをポ
リシリコン内により深く注入することは困難である。
【0013】例えばドーパント(特にボロン)はイオン
注入プロセス中にポリシリコン内に貫通し、その下のシ
リコン領域内に移動するかあるいはチャネリング現象と
して公知のポリシリコンのある結晶方向に沿って移動し
てしまう。(これら両方のメカニズムはペネトレーショ
ンと称する。)シリコン製基板のチャネル領域内にボロ
ンが存在することによりしきい値電圧に悪影響を及ぼ
す。かくして注入(implantation)は、ペネトレーショ
ン(penetration) を低減するのに十分な程度低いエネ
ルギでもって行われる。しかし注入エネルギが低いと、
濃度プロファイルは上記した問題を回避するのに十分な
程度深くはない。
【0014】
【発明が解決しようとする課題】したがって本発明の目
的は、小型化された二重ゲートCMOSデバイスにおい
て、比較的深いあるドーパントの濃度プロファイルを与
え、クロスドーピングによる問題を解決する半導体の製
造方法を提供することである。
【0015】
【課題を解決するための手段】本発明の一実施例によれ
ば、本発明のデバイスは、シリコン基板のp型領域とn
型領域の上に形成されたゲート誘電体材料領域の上に第
1の比較的薄い(例、300〜1000Å(オングスト
ロームは、以下Åで表す))アモルファスシリコン領域
を形成することにより用意される。この場合ポリシリコ
ンを使用することも可能である。(ここで「アモルファ
ス」とは、広い範囲に亘って無秩序状態であることを意
味する。)
【0016】n型ドーパントを基板のp型領域の上の第
1のアモルファスシリコン領域の第1部分に注入する。
このn型ドーパントは、ドーパントの大部分が第1のア
モルファスシリコン領域内に存在するようにそして下の
誘電体領域基板内に貫通しないように(penetrate) 注
入するのが好ましい。ここで「大部分が貫通しない」と
は、注入されたドーパントの最大0.001%以下しか
注入プロセス中にその下の誘電体層あるいは基板内に貫
通しないことを意味する。
【0017】このような結果は、例えばAsは2〜30
keVで、Pは1〜20keVで注入するような低エネ
ルギイオン注入方法により達成される。その後p型ドー
パントを基板のn型領域の上の第1のアモルファスシリ
コン領域の第2領域に注入する。ここで再び大部分のp
型ドーパント種は第1のアモルファスシリコン領域内に
留まるのが好ましい。このような結果は、ボロンを0.
25〜5keVのような低エネルギ注入法を用いて注入
することにより同様に達成できる。
【0018】所望のドーパントが第1シリコン領域に注
入されると、第2のアモルファスシリコン(またはポリ
シリコン)領域が第1シリコン領域の上に形成され、注
入されたドーパントを埋設する。一般的に耐火金属珪化
物層が、第2アモルファスシリコン領域の上に形成され
る。その後、このデバイスが従来公知の方法によりこの
構造体の上に形成される。この埋設注入層の形成がドー
パントの埋設された特徴により珪化物を貫くようなクロ
スドーピングを阻止する点で重要である。
【0019】例えば逆に、このような好ましくないクロ
スドーピングを発生させるためには、ドーパントは、第
1のアモルファスシリコン領域のp型ドープ領域から第
2のアモルファスシリコン領域を貫通し、さらに耐火金
属製珪化物層内に入り、さらにこの耐火金属製珪化物層
内を横方向に拡散して反対極性でドーピングされたアモ
ルファスシリコンの上の領域に入り、さらにまた第2ア
モルファスシリコン領域を通って第1アモルファスシリ
コンの反対極性にドーピングされた領域内に拡散し、そ
して第1アモルファスシリコン領域を通って下のゲート
誘電体層に沿った領域に移動しなければならない。
【0020】さらにまたドーパントは、ゲート誘電体の
上に形成された比較的薄い層内に注入されるため、ゲー
ト誘電体のへの拡散距離は比較的短い。かくして、ドー
パントは、シリコン内の横方向への拡散あるいはチャネ
ル内での低減なしにゲート誘電体に隣接する領域に拡散
することができる。同様に本発明のプロセスによれば、
ゲート誘電体の近傍に比較的高濃度のドーパントを提供
でき、これにより薄いゲート誘電体の使用が可能とな
る。さらにまたドーパントが埋設されたことにより、
珪化物形成プロセスによるドーパントの干渉が少なくな
る。
【0021】ある環境下では、この第2シリコン領域を
省略して耐火金属珪化物層を第1シリコン領域の上に形
成し、その後この珪化物層に窒素を注入することも可能
である。この窒素が、通常p型ドーパントであるボロン
を珪化物領域内に閉じ込め、これによりデバイス内のボ
ロンのクロスドーピングを低減できる。p型ドーパント
によるクロスドーピングは、ボロンによるクロスドーピ
ングよりもはるかに問題が少ないが、その理由は、ボロ
ンは小さいために大部分のn型ドーパントに比較して拡
散が容易だからである。
【0022】かくして、窒素はボロンだけを捕獲し、n
型ドーパントは捕獲しないものと考えられているが、窒
素は従来のプロセスに比較してクロスドーピングを低減
させる。かくして、クロスドーピングを所望の程度に制
御するために、第2のシリコン領域および/または窒素
含有珪化物層を用いることが可能である。
【0023】本発明のプロセスは、ウェハを900℃か
ら1050℃で、約2秒から10秒の間加熱するような
急速熱アニール(rapid thermal anneal)を含む。(こ
こで、急速熱アニールは、ハイパワーの水晶フィラメン
トのような熱源を用いて100〜200℃/秒のような
急速な温度上昇を与える、ここで測定した温度とはシリ
コンウェハの温度であることを意味する。)この急速熱
アニールは、素子のドープ領域内のドーパントの好まし
い分布を達成するため、およびドーパントを活性化させ
るために有効である。
【0024】(「活性化させる」とは、ドーパントがシ
リコン格子内の独自のサイトに移動する(シリコン格子
内の間にあるシリコン原子を置換する)ことにより、電
気的に活性状態になることを意味する。)この急速熱ア
ニールの短い時間の処理が特に小型の素子に対して好ま
しいが、その理由は、ゲート領域とチャネル領域内の横
方向の拡散およびゲート誘電体層を貫通するドーパント
の拡散が低減されるからである。
【0025】かくして本発明のプロセスは、ドーパント
が埋め込まれたことにより、好ましくないクロスドーピ
ングを低減でき、かつ好ましいドーパントの分布を与え
ることができる。
【0026】
【発明の実施の形態】本発明は二重ゲートCMOSデバ
イスの製造プロセスに関する。このデバイスの製造に関
する一般的な原理および標準的な手順は、例えば、Van
Zant著の“Microchip Fabrication,”3d Ed., McGraw-H
ill, 1997 に記載されている。将来のプロセス技術で
は、例えば本発明のプロセスにおけるより薄い層および
より低い注入エネルギを用いることができ、そして本発
明の概念はこのような将来の改善にも適用できる。
【0027】本発明のプロセスを図1の(A)から
(D)に示した実施例を用いて説明する。n型領域12
とp型領域14を有する半導体基板10を用意する、あ
るいは形成することにより本発明のデバイスが形成され
る。これらの領域は、従来公知の標準的なプロセス技
術、例えば、米国特許第4,435,596号に開示さ
れたような方法により形成することができる。
【0028】フィールド誘電体領域16が基板の上に形
成され、n型領域12とp型領域14とを分離してい
る。フィールド誘電体領域16は、例えば表面絶縁
(例、LOCOS−localized oxidation of silicon)
あるいはトレンチ絶縁(例、STI−shallow trench i
solation)を構成する。通常、フィールド誘電体領域1
6はLOCOS絶縁で200から300Å(オングスト
ローム、以下同じ)の厚さを有する。
【0029】ゲート誘電体領域18(これは通常二酸化
シリコン製である)が、n型領域12の一部とp型領域
14の一部の上でかつフィールド誘電体領域16により
覆われてない部分の上に形成される。このゲート誘電体
領域18は、標準的なプロセス技術により形成される
が、二酸化シリコンで形成される場合にはその厚さは1
0から100Åが好ましい。フィールド誘電体領域16
とゲート誘電体領域18の組み合わせを誘電体材料領域
を構成するものとして考えることもできる。
【0030】図1(A)に示すように、第1アモルファ
スシリコン領域20がその後フィールド誘電体領域16
とゲート誘電体領域18の上に形成される。この場合、
ポリシリコンを用いることも可能である。アモルファス
シリコンはチャネリング現象を低減させ、その結果より
薄い層が使用できる点で好ましい。第1アモルファスシ
リコン領域20の厚さは、300から1000Åであ
る。この第1アモルファスシリコン領域20は、従来公
知の標準のプロセス技術例えばCVD(前記のVan Zant
著の12章)に記載されている。
【0031】図1(B)に示すように、マスク30をそ
の後第1アモルファスシリコン領域20の上に標準のリ
ソグラフ技術を用いて形成する。このマスク30は、半
導体基板10のp型領域14の上にある第1アモルファ
スシリコン領域20の一部を露出する。n型ドーパント
32が第1アモルファスシリコン領域20のこの露出部
分に注入される。適当なn型ドーパントは砒素とリンで
ある。
【0032】この注入は、貫通(penetration) を低減
させるようなエネルギでもってイオン注入を行うことに
より実行される。砒素の好ましい注入エネルギは2〜3
0keVで、リンは1〜20keVである。砒素の有効
なドーパント注入ドーズ量は、1.5×1015〜5×1
15ドーパント/cm2 であり、リンは3×1015〜8
×1015ドーパント/cm2 である。このようなエネル
ギ量とドーズ量では、注入時間は数秒から数分(例、5
分)の間で行われる。
【0033】その後、マスク30が除去される。再び標
準的なリソグラフ技術を用いて、今度は逆に、第2のマ
スク40が図1(C)に示すように第1アモルファスシ
リコン領域20の上に形成される。この第2マスク40
は、半導体基板10のn型領域12の上にある第1アモ
ルファスシリコン領域20の一部を露出する。p型ドー
パント42が第1アモルファスシリコン領域20のこの
露出領域に注入される。適切なp型ドーパントはボロン
である。
【0034】このp型ドーパントの注入は、貫通を低減
させるようなエネルギでもってイオン注入を行うことに
より実行される。ボロンの好ましい注入エネルギは0.
25〜5keVで、そのドーパントドーズ量は1.5×
1015〜4×1015ドーパント/cm2 である。このよ
うなエネルギ量とドーズ量は、注入時間は数秒から数分
(例、5分)の間で行われる。ボロンは、n型ドーパン
トよりもより長い注入時間が必要である。
【0035】n型ドーパントとp型ドーパントの両方の
注入エネルギとドーパントのドーズ量とは、第1アモル
ファスシリコン領域20の厚さに一部依存する。一般的
により厚い層においては、より高い注入エネルギとドー
ズ量を用いても貫通を引き起こすことがない。
【0036】その後マスク40を除去し(図1
(D))、第2のアモルファスシリコン領域50を第1
アモルファスシリコン領域20の上に形成する。この場
合、ポリシリコンを使用することも可能である。しか
し、アモルファスシリコンを使用する利点は、ドーパン
トの拡散は堆積したポリシリコン内よりも再結晶化した
アモルファスシリコン内の方が一般的に遅いためであ
る。第2アモルファスシリコン領域50の厚さは200
〜1000Åが好ましい。この第2アモルファスシリコ
ン領域50は、例えばCVDのような当業者に公知の標
準のプロセス技術を用いて形成できる。
【0037】選択的事項として、耐火金属珪化物層52
が第2アモルファスシリコン領域50の上にスパッタリ
ングあるいはCVDのような公知のプロセス技術を用い
て形成される。このような耐火金属珪化物の例は、タン
グステン珪化物,タンタル珪化物,コバルト珪化物であ
る。耐火金属珪化物層52の厚さは800〜2000Å
が好ましい。本発明のプロセスでは、窒素をこの耐火金
属珪化物層内に注入するステップを含むのが好ましい。
【0038】窒素をイオン注入する際においては、その
好ましい注入エネルギは10〜50keV(厚さに依存
する)で、さらに好ましくは30keVで、ドーパント
の注入ドーズ量は1×1015〜2×1015原子/cm2
である。この窒素は珪化物層内でボロン原子を捕獲し、
その結果ボロンの側面方向の拡散とクロスドーピングを
低減できる。
【0039】前述したように、ある状況下においては、
この第2のシリコン領域50を省略してその代わりに第
1のシリコン領域(オプションとして第2シリコン領域
が存在しない場合には、この厚さは1500Åが好まし
い)の上に耐火金属珪化物層52を形成し、この珪化物
層に窒素を注入することも可能である。具体的に説明す
ると、ある種のアプリケーションにおいては、この珪化
物層内に導入された窒素は、クロスドーピングをある許
容可能なレベルまで低減できる。別のアプリケーション
においては、特にデバイス内のスペースが減少するよう
なアプリケーションにおいては、珪化物層内と第2シリ
コン領域内の両方の窒素がデバイスの許容可能な特性を
与えるために好ましい。
【0040】シリサイドプロセスは、サリサイドプロセ
ス(salicide process)により形成することも可能であ
る。耐火金属珪化物層以外の金属層を用いることも可能
である。
【0041】好ましくは、アニール処理を第2アモルフ
ァスシリコン領域50の形成の後に実行して、第2アモ
ルファスシリコン領域50と第1アモルファスシリコン
領域20を再結晶化する、即ち、これらの領域50,2
0をポリシリコンに変換するのが好ましい。第2アモル
ファスシリコン領域50を形成した後アニール処理をす
ること、あるいは耐火金属珪化物層52を形成した後ア
ニール処理をすること、あるいは耐火金属珪化物層52
に窒素を注入した後アニール処理をすることも可能であ
る。このアニール処理は、温度が580℃〜650℃
で、1〜5時間で、窒素雰囲気中で実行するのが好まし
い。さらに好ましいアニール処理は、650℃で3時間
窒素雰囲気中で実行する。
【0042】このようにして得られた構造体をその後、
さらに処理をして基板のn型領域とp型領域の上にゲー
ト積層体を形成する。好ましくはこのような処理ステッ
プは、ゲート積層体の形成した後、急速熱アニールを含
む。この急速熱アニールは、ウェハが2〜10秒の間に
900〜1050℃の温度に急激に上昇させるような方
法で行われる。さらに好ましくはウェハは、5秒間に1
000℃の温度まで上昇させる。この急速熱アニール
は、デバイスのドープ領域内にドーパントの好ましい分
布を達成するために、かつドーパントを活性化するため
に好ましい。
【0043】耐火金属珪化物層52の形成と注入の後の
一般的なプロセスは、次の通りである。 − ゲートハードマスクを堆積する。このマスクは、例
えば、テトラエチルオルトシリケート(tetraethyl ort
hosilicate−PETEOS)のプラズマ強化堆積により
堆積された酸化シリコン、またはプラズマ強化(PEC
VD)により形成された窒化物層またはスピンオンガラ
ス(SOG)層から形成される。
【0044】− ゲートフォトレジストを形成して、ゲ
ートハードマスクの選択的エッチングと、ハードマスク
のエッチングと、フォトレジストの除去を行う。 − 耐火金属珪化物層52と第1アモルファスシリコン
領域20,第2アモルファスシリコン領域50のエッチ
ング。 − 低ドープドレイン領域(LDD)の形成と、このL
DDへの注入を行うためのフォトレジストの形成と、そ
の後のこのフォトレジストの除去を行う。
【0045】− ゲートスペーサの形成用に例えばPE
TEOSによる酸化シリコンのような誘電体層の形成
と、この誘電体層のアニールとスペーサのエッチングを
行う。 − n型ソースとドレインの形成と、このn型ソースと
ドレインのイオン注入を行うためのフォトレジストの形
成と、そしてこのフォトレジストの除去を行う。 − p型ソースとドレインの形成と、このp型ソースと
ドレインのイオン注入を行うためのフォトレジストの形
成と、そしてこのフォトレジストの除去を行う。
【0046】この急速熱アニールは、p型ソースとドレ
インの形成(implantation)の後行うのが好ましい。サ
リサイドプロセスを用いる場合には、このプロセスはn
型とp型のソースとドレインの形成の後行われ、そして
この急速熱アニールはポリシリコンゲート構造体上に耐
火金属を堆積する前に行われる。
【0047】次に本発明の具体的実施例を以下に示す。実施例1 シリコンウェハを従来公知の方法により処理して薄くド
ープしたn型領域とp型領域を有するシリコン基板を形
成した。2000Å厚のLOCOSフィールド酸化物領
域をドライ/ウェット/ドライ酸化により基板の選択し
た領域上に成長させてn型領域とp型領域を絶縁した。
【0048】60Å厚さのシリコン酸素窒化物製のゲー
ト誘電体層をLOCOS領域によりカバーされていない
シリコンの部分の領域の上に850℃のN2O における
熱酸化により形成した。500Å厚のアモルファスシリ
コン層を低圧CVDによりフィールド層とゲート誘電体
層の上に形成した。標準的な手法によりフォトレジスト
層をシリコンの上に形成し、基板の薄くドープしたp型
領域の上のシリコンの一部を露出させた。その後この露
出した部分を8keVと2.5×1015原子/cm2
ドーズ量でもって砒素を注入した。
【0049】フォトレジストを除去し、第2のフォトレ
ジスト層を形成して、基板の薄くドープしたn型領域の
上のシリコン領域の部分を露出させた。その後この露出
した部分を2keVと2×1015原子/cm2 のドーズ
量でもってボロンを注入した。SIMS(secondary io
n mass spectroscopy ・二次イオン質量分光計)により
測定した砒素の注入プロファイルを図2に示し、ボロン
のSIMS注入プロファイルを図3に示す。
【0050】(同一の実施例において、砒素の代わりに
5keVのエネルギ量と4×1015原子/cm2 のドー
ズ量のリンの注入プロファイルを図4に示す。)
【0051】実施例2 シリコンウェハを砒素とボロンのドーパントを用いて実
施例1のステップにしたがって処理した。インプランテ
ーション(implantation)の後、500Åの厚さを有す
る第2アモルファスシリコン領域を低圧CVDにより形
成した。アニール処理を窒素雰囲気中で650℃で3時
間実行し、その後アモルファスシリコンを結晶化した。
このアニール処理の後、1000Å厚のタングステン珪
化物層をスパッタリングにより第2アモルファスシリコ
ン領域の上に形成し、窒素をこの珪化物層内に30ke
Vと1×1015原子/cm2 のドーズ量でもって注入し
た。
【0052】上記した標準的なプロセスにより、ゲート
積層体を形成した。具体的に説明すると、1500Å厚
の二酸化シリコン製のハードマスクを珪化物層の上にP
ETEOSにより形成し、このハードマスクをエッチン
グし、そしてこの珪化物領域とシリコン領域をエッチン
グし、砒素の低ドープドレイン領域を形成し、二酸化シ
リコンをゲートスペーサ用に形成してこのスペーサをエ
ッチングし、アニール処理を酸素雰囲気中で750℃で
30分間実行して二酸化シリコン製スペーサを緻密化
し、n型のドレインとソースを形成し、p型のドレイン
とソースを形成した。
【0053】その後ウェハを急速熱アニール処理(ウェ
ハをハイパワーの水晶フィラメントを用いて5秒間で1
000℃に加熱)した。図5,6は、砒素ドーパントと
ボロンドーパントのその後のSIMSプロファイルを示
す。図6はまた窒素プロファイルも示している。
【0054】図5において、砒素の注入ピークは、第1
アモルファスシリコンと第2アモルファスシリコンの両
方から形成されたポリシリコン領域(これは650℃の
アニールによりポリシリコンになったもの)の中央部に
ある。注入ピークからポリシリコン/ゲート酸化物のイ
ンタフェースへの拡散距離が短いために、このインタフ
ェースにおける砒素の濃度は高い(約2×1020原子/
cm3) 。
【0055】砒素の濃度はタングステン珪化物(WSi
x) 層の方向に向かって減少し、その濃度はポリシリコ
ン/ゲート酸化物のインタフェースにおけるよりも一桁
低い。このように珪化物内の元素の数が少ないことは、
砒素の横方向の拡散とそれに伴うクロスドーピングが低
減できる点で好ましい。
【0056】図6も同様な結果を示している。ボロンの
濃度は、ポリシリコン/ゲート酸化物のインタフェース
で高く、珪化物層の方に向かって減少している。タング
ステン珪化物層内のボロンの濃度は、比較的高い。この
ボロンのノードプロファイルは、窒素プロファイルに応
答して、その結果窒素原子が珪化物層内にボロン原子を
捕獲し、それによりボロン原子の横方向の拡散とクロス
ドーピングを低減させている。
【0057】図5,6のドーパントの濃度の定量化は、
SiO2 層では正確ではない。
【図面の簡単な説明】
【図1】本発明の一実施例による各ステップを表す図
【図2】本発明の一実施例の方法によりアモルファスシ
リコン領域内に注入された砒素のドーパント分布プロフ
ァイルを表す図
【図3】本発明の一実施例の方法によりアモルファスシ
リコン領域内に注入されたボロンのドーパント分布プロ
ファイルを表す図
【図4】本発明の一実施例の方法によりアモルファスシ
リコン領域内に注入されたリンのドーパント分布プロフ
ァイルを表す図
【図5】本発明の一実施例の方法によりドーパント注入
プロセスの後、様々な処理ステップが実行された後の砒
素のドーパント分布プロファイルを表す図
【図6】本発明の一実施例の方法によりドーパント注入
プロセスの後、様々な処理ステップが実行された後の窒
素とボロンのドーパント分布プロファイルを表す図
【図7】二重ゲート構造を形成する従来プロセスを表す
【符号の説明】
10 半導体基板 12 n型領域 14 p型領域 16 フィールド誘電体領域 18 ゲート誘電体領域 20 第1アモルファスシリコン領域 30 マスク 32 n型ドーパント 40 第2マスク 42 p型ドーパント 50 第2アモルファスシリコン領域 52 耐火金属珪化物層 100 シリコン製基板 102 n型領域 104 p型領域 106 フィールド誘電体領域 108 ゲート誘電体領域 110 ポリシリコン製領域 112 耐火金属珪化物層
フロントページの続き (71)出願人 596077259 600 Mountain Avenue, Murray Hill, New Je rsey 07974−0636U.S.A.

Claims (40)

    【特許請求の範囲】
  1. 【請求項1】 (A) シリコン製基板(10)の上に
    誘電体領域(18)を形成するステップと、 (B) 前記誘電体領域(18)の上に第1のアモルフ
    ァスシリコンまたはポリシリコンの領域(20)を形成
    するステップと、 (C) 前記第1のアモルファスシリコンまたはポリシ
    リコン領域(20)の上に1種類あるいは複数種類のド
    ーパント(32,42)を注入するステップと、 (D) 前記(C)のステップの後、前記第1のアモル
    ファスシリコンまたはポリシリコンの領域(20)の上
    に第2のアモルファスシリコンまたはポリシリコンの領
    域(50)を形成するステップとを有することを特徴と
    するデバイスの製造方法。
  2. 【請求項2】 前記(C)のステップは、 第1のアモルファスシリコンまたはポリシリコン領域の
    第1部分にn型ドーパント(32)を注入するステップ
    と、 前記第1のアモルファスシリコンまたはポリシリコン領
    域の第2部分にp型ドーパント(42)を注入するステ
    ップとを含むことを特徴とする請求項1記載の方法。
  3. 【請求項3】 前記第1部分は、シリコン製基板のp型
    領域(14)の上にあり、 前記第2部分は、シリコン製基板のn型領域(12)の
    上にあることを特徴とする請求項2記載の方法。
  4. 【請求項4】 前記第1と第2のアモルファスシリコン
    またはポリシリコン領域は、アモルファスシリコン製で
    あることを特徴とする請求項1記載の方法。
  5. 【請求項5】 (E) 第2アモルファスシリコンまた
    はポリシリコンの領域の少なくとも一部の上に耐火金属
    珪化物層(52)を形成するステップをさらに有するこ
    とを特徴とする請求項1記載の方法。
  6. 【請求項6】 (F) 前記耐火金属珪化物層(52)
    内に窒素を導入するステップをさらに有することを特徴
    とする請求項5記載の方法。
  7. 【請求項7】 窒素のイオン注入は、10〜50keV
    とそのドーズ量が1×1015〜2×1015原子/cm2
    で行われることを特徴とする請求項6記載の方法。
  8. 【請求項8】 (G) 前記(D)ステップの後、アニ
    ール処理を行うステップをさらに有することを特徴とす
    る請求項1記載の方法。
  9. 【請求項9】 前記アニール処理は、580〜650℃
    の温度で1〜5時間の間行われることを特徴とする請求
    項8記載の方法。
  10. 【請求項10】 前記第1アモルファスシリコンまたは
    ポリシリコン領域は(20)、アモルファスシリコン製
    であり、その厚さは300〜1000Åであることを特
    徴とする請求項1記載の方法。
  11. 【請求項11】 前記第2アモルファスシリコンまたは
    ポリシリコン領域(50)は、アモルファスシリコン製
    であり、その厚さは200〜1000Åであることを特
    徴とする請求項1記載の方法。
  12. 【請求項12】 前記n型ドーパントは、砒素とリンか
    ら選択されたものであることを特徴とする請求項2記載
    の方法。
  13. 【請求項13】 前記p型ドーパントは、ボロンである
    ことを特徴とする請求項2記載の方法。
  14. 【請求項14】 前記耐火金属珪化物(52)は、タン
    グステン珪化物とタンタル珪化物とコバルト珪化物から
    選択されたものであることを特徴とする請求項5記載の
    方法。
  15. 【請求項15】 前記n型ドーパントは、砒素であり、 前記砒素は、2〜30keVのイオン注入により注入さ
    れることを特徴とする請求項12記載の方法。
  16. 【請求項16】 前記イオン注入は、1.5×1015
    ら5×1015原子/cm2 のドーズ量でもって行われる
    ことを特徴とする請求項15記載の方法。
  17. 【請求項17】 前記n型ドーパントは、リンであり、 前記リンは、1〜20keVのイオン注入により注入さ
    れることを特徴とする請求項12記載の方法。
  18. 【請求項18】 前記イオン注入は、3×1015から8
    ×1015原子/cm 2 のドーズ量でもって行われること
    を特徴とする請求項17記載の方法。
  19. 【請求項19】 前記ボロンは、0.25〜5keVの
    イオン注入により注入されることを特徴とする請求項1
    3記載の方法。
  20. 【請求項20】 前記イオン注入は、1.5×1015
    ら4×1015原子/cm2 のドーズ量でもって行われる
    ことを特徴とする請求項19記載の方法。
  21. 【請求項21】 前記イオン注入は、5分以下の時間で
    行われることを特徴とする請求項19記載の方法。
  22. 【請求項22】 (H) 前記(D)ステップの後、9
    00〜1050℃の温度で、2〜10秒の時間で急速熱
    アニールを実行するステップをさらに含むことを特徴と
    する請求項1記載の方法。
  23. 【請求項23】 (A) シリコン製基板の上に誘電体
    領域を形成するステップと、 (B) 前記誘電体領域の上に第1のアモルファスシリ
    コンまたはポリシリコンの領域を形成するステップと、 (C) 前記第1のアモルファスシリコンまたはポリシ
    リコン領域の上に1種類あるいは複数種類のドーパント
    を注入するステップと、 (D) 前記第1のアモルファスシリコンまたはポリシ
    リコンの領域の上に耐火金属珪化物層を形成するステッ
    プと、 (E) 窒素を前記耐火金属珪化物層内に導入するステ
    ップとを有することを特徴とするデバイスの製造方法。
  24. 【請求項24】 前記(C)のステップは、第1のアモ
    ルファスシリコンまたはポリシリコン領域の第1部分に
    n型ドーパントを注入するステップと、 前記第1のアモルファスシリコンまたはポリシリコン領
    域の第2部分にp型ドーパントを注入するステップとを
    含むことを特徴とする請求項23記載の方法。
  25. 【請求項25】 前記第1部分は、シリコン製基板のp
    型領域の上にあり、前記第2部分は、シリコン製基板の
    n型領域の上にあることを特徴とする請求項24記載の
    方法。
  26. 【請求項26】 前記(C)のステップは、殆ど全ての
    ドーパントが第1シリコンまたはポリシリコン領域内に
    留まるよう行われることを特徴とする請求項23記載の
    方法。
  27. 【請求項27】 (F) 前記(D)のステップの前
    に、第1アモルファスシリコンまたはポリシリコン層の
    上に第2のアモルファスシリコンまたはポリシリコン層
    を形成するステップをさらに有することを特徴とする請
    求項23記載の方法。
  28. 【請求項28】 窒素のイオン注入は、10〜50ke
    Vとそのドーズ量が1×1015から2×1015原子/c
    2 で行われることを特徴とする請求項23記載の方
    法。
  29. 【請求項29】 前記第1アモルファスシリコンまたは
    ポリシリコン領域は、アモルファスシリコン製であり、
    その厚さは300〜1500Åであることを特徴とする
    請求項23記載の方法。
  30. 【請求項30】 前記n型ドーパントは、砒素とリンか
    ら選択されたものであることを特徴とする請求項24記
    載の方法。
  31. 【請求項31】 前記p型ドーパントは、ボロンである
    ことを特徴とする請求項24記載の方法。
  32. 【請求項32】 前記耐火金属珪化物は、タングステン
    珪化物とタンタル珪化物とコバルト珪化物から選択され
    たものであることを特徴とする請求項23記載の方法。
  33. 【請求項33】 前記n型ドーパントは、砒素であり、 前記砒素は、2〜30keVのイオン注入により注入さ
    れることを特徴とする請求項30記載の方法。
  34. 【請求項34】 前記イオン注入は、1.5×1015
    ら5×1015原子/cm2 のドーズ量でもって行われる
    ことを特徴とする請求項33記載の方法。
  35. 【請求項35】 前記n型ドーパントは、リンであり、 前記リンは、1〜20keVのイオン注入により注入さ
    れることを特徴とする請求項30記載の方法。
  36. 【請求項36】 前記イオン注入は、3×1015から8
    ×1015原子/cm 2 のドーズ量でもって行われること
    を特徴とする請求項35記載の方法。
  37. 【請求項37】 前記ボロンは、0.25〜5keVの
    イオン注入により注入されることを特徴とする請求項3
    1記載の方法。
  38. 【請求項38】 前記イオン注入は、1.5×1015
    ら4×1015原子/cm2 のドーズ量でもって行われる
    ことを特徴とする請求項37記載の方法。
  39. 【請求項39】 前記イオン注入は、5分以下の時間で
    行われることを特徴とする請求項37記載の方法。
  40. 【請求項40】 (G) 前記(D)ステップの後、9
    00〜1050℃の温度で、2〜10秒の時間で急速熱
    アニールを実行するステップをさらに有することを特徴
    とする請求項23記載の方法。
JP19784698A 1997-07-14 1998-07-13 デバイスの製造方法 Expired - Fee Related JP3529634B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US5244097P 1997-07-14 1997-07-14
US60/052440 1997-07-14
US08/902044 1997-07-29
US08/902,044 US6406952B2 (en) 1997-07-14 1997-07-29 Process for device fabrication

Publications (2)

Publication Number Publication Date
JPH1197380A true JPH1197380A (ja) 1999-04-09
JP3529634B2 JP3529634B2 (ja) 2004-05-24

Family

ID=26730601

Family Applications (1)

Application Number Title Priority Date Filing Date
JP19784698A Expired - Fee Related JP3529634B2 (ja) 1997-07-14 1998-07-13 デバイスの製造方法

Country Status (3)

Country Link
US (1) US6406952B2 (ja)
EP (1) EP0892429A3 (ja)
JP (1) JP3529634B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008117464A1 (ja) * 2007-03-27 2008-10-02 Fujitsu Microelectronics Limited 半導体装置およびその製造方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW557500B (en) * 2002-01-23 2003-10-11 Promos Technologies Inc Method for producing semiconductor component
TW200614373A (en) * 2004-10-28 2006-05-01 Mosel Vitelic Inc Method for forming field oxide
US20070052021A1 (en) * 2005-08-23 2007-03-08 Semiconductor Energy Laboratory Co., Ltd. Transistor, and display device, electronic device, and semiconductor device using the same
KR100720484B1 (ko) * 2005-12-16 2007-05-22 동부일렉트로닉스 주식회사 반도체 소자의 구조 및 그 제조 방법
US8124515B2 (en) * 2009-05-20 2012-02-28 Globalfoundries Inc. Gate etch optimization through silicon dopant profile change
US8816473B2 (en) 2012-04-05 2014-08-26 International Business Machines Corporation Planar polysilicon regions for precision resistors and electrical fuses and method of fabrication

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS607180A (ja) 1983-06-25 1985-01-14 Toshiba Corp 半導体装置の製造方法
US4816893A (en) 1987-02-24 1989-03-28 Hughes Aircraft Company Low leakage CMOS/insulator substrate devices and method of forming the same
US4753895A (en) 1987-02-24 1988-06-28 Hughes Aircraft Company Method of forming low leakage CMOS device on insulating substrate
KR920010062B1 (ko) * 1989-04-03 1992-11-13 현대전자산업 주식회사 반도체 장치의 실리사이드 형성방법
JPH0758701B2 (ja) * 1989-06-08 1995-06-21 株式会社東芝 半導体装置の製造方法
US5355010A (en) * 1991-06-21 1994-10-11 Matsushita Electric Industrial Co., Ltd. Semiconductor device with a dual type polycide layer comprising a uniformly p-type doped silicide
US5147820A (en) * 1991-08-26 1992-09-15 At&T Bell Laboratories Silicide formation on polysilicon
KR0135166B1 (ko) * 1993-07-20 1998-04-25 문정환 반도체장치의 게이트 형성방법
US5476800A (en) 1994-01-31 1995-12-19 Burton; Gregory N. Method for formation of a buried layer for a semiconductor device
JPH0831931A (ja) 1994-07-11 1996-02-02 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
US5576579A (en) 1995-01-12 1996-11-19 International Business Machines Corporation Tasin oxygen diffusion barrier in multilayer structures
US5541433A (en) 1995-03-08 1996-07-30 Integrated Device Technology, Inc. High speed poly-emitter bipolar transistor
JPH08264660A (ja) * 1995-03-24 1996-10-11 Nec Corp 半導体装置の製造方法
US5550079A (en) 1995-06-15 1996-08-27 Top Team/Microelectronics Corp. Method for fabricating silicide shunt of dual-gate CMOS device
JPH0922999A (ja) 1995-07-07 1997-01-21 Seiko Epson Corp Mis型半導体装置及びその製造方法
JPH0951040A (ja) 1995-08-07 1997-02-18 Sony Corp 半導体装置の製造方法
US5759886A (en) * 1995-09-28 1998-06-02 National Semiconductor Corporation Method for forming a layer of metal silicide over the gates of a surface-channel CMOS device
JP3770954B2 (ja) * 1995-11-13 2006-04-26 エイ・ティ・アンド・ティ・コーポレーション 装置の製造方法
US5648287A (en) * 1996-10-11 1997-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of salicidation for deep quarter micron LDD MOSFET devices

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008117464A1 (ja) * 2007-03-27 2008-10-02 Fujitsu Microelectronics Limited 半導体装置およびその製造方法
JP5195747B2 (ja) * 2007-03-27 2013-05-15 富士通セミコンダクター株式会社 半導体装置の製造方法
US9786565B2 (en) 2007-03-27 2017-10-10 Fujitsu Semiconductor Limited Semiconductor device and method of manufacturing the semiconductor device

Also Published As

Publication number Publication date
US20010055862A1 (en) 2001-12-27
US6406952B2 (en) 2002-06-18
EP0892429A2 (en) 1999-01-20
JP3529634B2 (ja) 2004-05-24
EP0892429A3 (en) 2000-07-12

Similar Documents

Publication Publication Date Title
US6258680B1 (en) Integrated circuit gate conductor which uses layered spacers to produce a graded junction
US6104063A (en) Multiple spacer formation/removal technique for forming a graded junction
US6849516B2 (en) Methods of forming drain/source extension structures of a field effect transistor using a doped high-k dielectric layer
US7511350B2 (en) Nickel alloy silicide including indium and a method of manufacture therefor
US6660657B1 (en) Methods of incorporating nitrogen into silicon-oxide-containing layers
JPH05218081A (ja) 浅い半導体接合の形成方法
US6797593B2 (en) Methods and apparatus for improved mosfet drain extension activation
US6734109B2 (en) Method of building a CMOS structure on thin SOI with source/drain electrodes formed by in situ doped selective amorphous silicon
KR100718823B1 (ko) 실리콘-게르마늄 트랜지스터 및 관련 방법들
US6218251B1 (en) Asymmetrical IGFET devices with spacers formed by HDP techniques
US6258646B1 (en) CMOS integrated circuit and method for implanting NMOS transistor areas prior to implanting PMOS transistor areas to optimize the thermal diffusivity thereof
US6730556B2 (en) Complementary transistors with controlled drain extension overlap
JP3529634B2 (ja) デバイスの製造方法
US20050098818A1 (en) Drain/source extension structure of a field effect transistor including doped high-k sidewall spacers
JP3524461B2 (ja) Cmosデバイスのデュアル・ゲート構造を製造するプロセス
US7217625B2 (en) Method of fabricating a semiconductor device having a shallow source/drain region
JPH09172176A (ja) Mosデバイス製造方法
US6069046A (en) Transistor fabrication employing implantation of dopant into junctions without subjecting sidewall surfaces of a gate conductor to ion bombardment
KR100618058B1 (ko) 전계 효과 트랜지스터를 포함하는 반도체 디바이스의 제조방법
JP2733082B2 (ja) Mos装置の製法
US6238958B1 (en) Method for forming a transistor with reduced source/drain series resistance
US6500740B1 (en) Process for fabricating semiconductor devices in which the distribution of dopants is controlled
KR100861282B1 (ko) 반도체소자의 제조 방법
KR20040054919A (ko) 반도체 소자의 제조방법
KR100995332B1 (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040202

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040225

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080305

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090305

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100305

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100305

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110305

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120305

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120305

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130305

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130305

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140305

Year of fee payment: 10

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees