JPH10510953A - 製造に適した、低誘電性、低配線抵抗かつ高性能icを達成するための新規なプロセス技術 - Google Patents

製造に適した、低誘電性、低配線抵抗かつ高性能icを達成するための新規なプロセス技術

Info

Publication number
JPH10510953A
JPH10510953A JP8519801A JP51980196A JPH10510953A JP H10510953 A JPH10510953 A JP H10510953A JP 8519801 A JP8519801 A JP 8519801A JP 51980196 A JP51980196 A JP 51980196A JP H10510953 A JPH10510953 A JP H10510953A
Authority
JP
Japan
Prior art keywords
layer
metal
semiconductor device
thickness
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP8519801A
Other languages
English (en)
Inventor
チョウン,ロビン・ダブリュ
チャン,マーク・エス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JPH10510953A publication Critical patent/JPH10510953A/ja
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/10Lift-off masking
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/106Masks, special
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/95Multilayer mask including nonradiation sensitive layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/951Lift-off

Landscapes

  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 金属線(14)に接触する、半導体装置の配線(18)は銅、金、銀、またはプラチナのような低抵抗金属を含み、ベンゾシクロブテンまたはその派生物のような、低誘電率を有する材料(20)によって分離される。3層レジスト構造(16)がリフトオフプロセスとともに用いられて配線(18)を形成する。低誘電率材料(20)は低抵抗金属(18)の拡散に対して拡散バリアを与える。3層レジスト(16)は溶解可能なポリマーの第1の層(16a)と、ハードマスク材料の第2の層(16b)と、レジスト材料の第3の層(16c)とを含む。結果として生じる構造は、速度が高まり、かつ作製が容易な集積回路を与える。

Description

【発明の詳細な説明】 製造に適した、低誘電性、低配線抵抗かつ 高性能ICを達成するための新規なプロセス技術 技術分野 この発明は一般に集積回路(IC)における半導体装置に関し、特に、RC( 抵抗×容量)時定数が低減され、それゆえ速度がより速い半導体装置に関する。 背景技術 低誘電率と低配線抵抗とが統合した金属構造の概念は多くの科学技術者によっ て提案されてきた。これらの考えには「ピラープラグ」、「アンチコンタクト/ ビア」、スピンオン低誘電率絶縁体(有機および/または無機)、スピンオン層 状低誘電率材料および技術、金属配線の象眼、および金属配線の二重象眼が含ま れる。象眼とは、トレンチまたはコンタクト/ビア開口が形成され、次にCVD (化学蒸着)またはPVD(物理蒸着)または他の技術を用いて金属が充填され 、その後あふれた区域を取除くための研磨が行なわれるプロセスを意味する。こ の用語は、硬い表面上にパターンまたはデザインを作り、次に微細な金のワイヤ をそのデザインされたパターンへと打込むことを含む、古代ダマスカスの金細工 師によって発展されたプロセスに基づく。 低誘電率かつ低配線抵抗の金属構造を組合わすことに対する多くの考えが提案 されているが、現在のところ商業的に実行に移されたものはない。これらの新し い進歩した概念はBPDA−PDAの銅配線との統合についてIBMによって行 なわれた研究により唯一表わされる。「BPDA−PDA」とは、商品名PI− 2610の下でイー・アイ・デュポン・ドゥ・ヌムール(E.I.du Pont de Nem ours)から入手可能なポリイミドを指す。BPDA−PDAは二酸化シリコンに とって代わるためのものである。しかしながら、銅が汚染源であるので、銅がI C構造の他の部分に拡散し、故障を引き起こさないように特別な配慮がなされな ければならない。これは銅層とBPDA−PDA層とを分離するためにSi34 層を用いることによって行なわれる。しかしながら、Si34は、二酸化シリコ ンを用いる構造のものより容量を増加させる、約8の誘電率を有する。さら に、おおよそ1000Åの高融点金属クラッディングを含んだバリアメタルが付 加され、これは金属抵抗を増す。この技術は二重象眼と化学蒸着(CVD)され た銅とのような非常に進歩したプロセス技術を用い、信頼性のある配線を与える が、それにもかかわらず、最終結果は既存のシステムよりもごくわずかに改良さ れるにすぎない。従来のSiO2誘電体およびA1配線(それぞれ誘電率4.0 および抵抗2.8−3.2μΩ−cm)に比較して、BPDA−PDAの複合誘 電率は約3.8であり、銅/高融点金属は約2.6μΩ−cmの複合抵抗を有す る。全体の容量および抵抗におけるわずかな改良は高いプロセスコストで達成さ れ、したがってコスト面で容認可能ではない。 したがって、高まったデバイス速度を生じる比較的簡単なプロセスを与えるこ とが必要とされ続ける。 発明の開示 この発明に従って、金属線に接触する配線は低抵抗金属を含み、低誘電率を有 する材料によって分離される。ここで用いられるように、「低抵抗金属」とは現 在配線として用いられるアルミニウム合金のものよりも小さいシート抵抗を有し た金属を指す。たとえば、純粋なアルミニウムのシート抵抗は約2.8μΩ−c mであり、A1−1%Cuのそれは約3.3μΩ−cmである。「低誘電率」と は、誘電率がSiO2のものよりも小さい、または約4.0未満であることを意 味する。この発明のプロセスにおいて、3層抵抗構造がリフトオフプロセスとと もに用いられて配線を形成する。 半導体装置はウェハ上に形成され、ソースコンタクトおよびドレインコンタク トによってそれぞれ接触されるソース領域およびドレイン領域を含み、ソース領 域およびドレイン領域の各々はゲート電極によって接触されるゲート領域によっ て分離される。第1のレベルのパターニングされた配線は所望のパターンでソー スコンタクト、ドレインコンタクトおよびゲート電極に接触する。第2のレベル のパターニングされた配線は、第1の誘電材料によって分離された複数個の金属 線によって第1のレベルのパターニングされた配線に接触する。第2のレベルの パターニングされた配線は低抵抗金属を含み、配線は平坦化された低誘電率材料 によって分離される。低誘電率材料は低抵抗金属の拡散に対して不活性である。 この発明のプロセスにおいて、第2のレベルのパターニングされた配線は、金 属線の上部部分を露出するように、第1のレベル間誘電体層上に3層レジストを 形成し、かつパターニングすることによって作製される。3層レジストは溶解可 能なポリマーの第1の層と、ハードマスク材料の第2の層と、レジスト材料の第 3の層とを含む。ハードマスク材料の例はSiO2、Si34、シリコンオキシ ナイトライド、スパッタリングされたシリコン、(たとえばCVD法による)ア モルファスシリコン、および(たとえばPVDまたはCVD法による)アモルフ ァス炭素を含む。次に、わずか2.8μΩ−cmの抵抗を有した金属層がウェハ 上にブラケット堆積される。例にはCu(1.8μΩ−cm)、Au(2.5μ Ω−cm)、およびAg(1.7μΩ−cm)が含まれる。溶解可能なポリマー を含んだ、3層レジストの第1の層は金属を上にリフトオフするために除去され る。最後に、ベンゾシクロブテンまたはその派生物のコーティングが金属層を覆 うためにスピンオンされる。 ここに開示される発明は実際的な問題の開示される概念のすべてを解決する。 用いられる全技術は実証された製造技術である。プロセス上でのわずかな向上は 大量生産IC製造分野において簡単に実施されることを確実とするために組入れ られる。 この発明の他の目的、特徴および利点は後の詳細な説明および添付の図面を検 討することにより明らかになるであろう。そこで、同様の参照符号は図中におい て同じ特徴を表わす。 図面の簡単な説明 この説明において参照される図面は特に注目される場合を除き縮尺のために描 かれたとは理解されるべきではない。さらに、図面はこの発明に従って作製され た集積回路の一部のみを示すためのものである。 図1−6は、この発明に従う、ウェハのプロセスにおけるさまざまな段階での 断面図である。 図1は、能動素子が上に形成された基板上に形成されたコンタクトプラグおよ び第1の層間誘電体を備えた、ある段階でのウェハを示す。 図2は、3レベルレジストパターニングの後のウェハを示す。 図3は、適切な表面オーバーエッチングの後のウェハを示す。 図4は、金属蒸着の後のウェハを示す。 図5は、金属リフトオフの後のウェハを示す。 図6は、ベンゾシクロブテン(BCB)での誘電体回転塗布の後のウェハを示 す。 発明を実行するためのベストモード 発明者によって目下企図される、この発明を実行するためのベストモードを示 す、この発明の具体的な実施例を次に詳細に参照する。代替的な実施例もまた適 宜簡単に説明される。 入来するウェハは適切に作製され、最新の製造技術が用いられると想定する。 この発明は以下に概説されるプロセスに限定されず、主要な局面が容易に視覚化 できるように選択されることに注目されたい。 図1は入来するウェハを示す。能動素子、すなわちトランジスタは従来の技術 によって基板上に形成され、基板とその上に形成される能動素子とは集合的に1 0と示される。ソースコンタクトおよびドレインコンタクトは対応のソース領域 およびトルイン領域に接触し、ゲート電極は薄いゲート酸化物に接触してソース 領域とドレイン領域との間にゲート領域を形成する。ソースコンタクトおよびド レインコンタクトならびにゲート電極は酸化物によって分離され、互いに自己整 合され、酸化物で平坦化される。プロセスのこの局面の詳細は、ジェィコブ・デ ィー・ハスケル(Jacob D.Haskell)に発行され、かつこの出願と同じ譲受人に 譲渡された一連の特許に開示される(米国特許第4,974,055号、第4, 977,108号、第5,028,555号、第5,055,427号、第5, 057,902号、および第5,081,516号)。ここにおいても説明され るように、第1のレベル間誘電体層12が形成され、次に化学機械研磨(CMP )技術によって平坦化される。コンタクトが規定され、従来のタングステンプラ グ14がブランケット堆積およびCMP研磨によって形成される。ここで、ウェ ハは本明細書に開示される発明のための用意ができる。 ここで以下に示されるように、メタライゼーションが変更された従来のリフト オフ技術によって堆積される。 最初に、3層レジスト16が平坦化された表面12a上にコーティングされる 。第1の層16aは、平坦化を達成するのに適切な最適化が行なわれた、ポリメ タクリル酸メチル(PMMA)または他のポリマーの厚い層である。厚さはおお よそ0.5μmから3μmである。実際の厚さは金属配線の厚さおよび幅の要件 の設計上の選択に依存する。経験上、PMMA厚さ対配線厚さの比は良好な電気 的歩留り、すなわち欠陥のないことを確実とするため約2対1である。すなわち 、堆積される金属はPMMAの厚さのわずか約50%であるべきである。 SiO2またはSiの薄い層16bが次にプラズマ増速化学蒸着(PECVD )または物理蒸着(PVD)または回転塗布技術によって堆積される。薄い層1 6bのために用いられ得る他の材料には、Si34、シリコンオキシナイトライ ド、スパッタリングされたシリコン、(たとえばCVD法による)アモルファス シリコン、および(たとえばPVD法またはCVD法による)アモルファス炭素 が含まれる。厚さはおおよそ200Åから500Åであり、この層16bはパタ ーン伝達のためのハードマスクとなる。次に、従来のフォトレジストの薄い層1 6cがハードマスク層16b上に典型的におおよそ5,000Åから15,00 0Åの厚さにコーティングされる。薄い層16cの厚さは露光システム、たとえ ばGライン、Iライン、またはDUV(強度の紫外光)に用いられる波長の関数 である。科学技術者はスウィングカーブの最大または最小のいずれかを選択し得 る(スウィングカーブは厚さの関数である)。通常、科学技術者はスウィングカ ーブの最大または最小のいずれかに対応する最小のレジスト厚さを選択する。 従来のリソグラフィ技術が従来のフォトレジスト層16cをパターニングする ために用いられる。イメージはドライエッチング技術によって従来のプラズマ化 学を用いてハードマスク16bに伝達される。ドライエッチングはイメージをハ ードマスク16bからPMMAまたはポリマー層16aに伝達するために再び用 いられる。適切なプラズマ化学がわずかな再入角度θを生じるために用いられる 。一例として、化学は従来のCF4プラズマまたは単純なO2プラズマを用いるこ とかできるであろう。「わずかな再入角度」とは90°よりも大きい、好ましく は100°よりも大きい角度を示す。結果として生じる構造は図2に示される。 適切なプラズマ化学が次にウェハ表面12aをエッチングするために用いられ て、プラグまたは下の金属層14が所望の場所で露出されることを確実とする。 適切なプラズマ化学はCF4、CHF3または他のフッ素化学を酸素化学ありかな しかで用いることができるであろう。処理圧力は正確な輪郭を与えるように最適 化されなければならないであろうが、これは不適当な実験をなすとはみなされな い。ウェハ表面のエッチングは化学の選択に依存して上部フォトレジスト層16 cを有利に除去するが、除去は別個のステップにおいて行なわれてもよい。ハー ドマスク16bを用いるとエッチング中PMMAの完全さが守られる。結果とし て生じる構造は図3に示される。 上部フォトレジスト層16cの除去に続き、PMMA層16aの脱ガスが後述 される金属堆積ステップを妨げないことを確実とするように高温のベーキングが 行なわれる。高温ベーキングは層16cが除去された後で金属堆積の前に行なわ れる。具体的には、高温ベーキングはPMMA層16aのガラス転移温度(Tg )よりも低いが良好な歩留りのために金属堆積温度よりも高い温度で行なわれな ければならない。一例として、層16aとしてのPMMAの場合、ウェハは約3 50℃でベーキングされる。別のポリマーを用いると、上に与えられる制約内で 異なったベーキング温度が必要とされ得る。 金属層18、具体的には、銅、金、銀、プラチナまたは他の貴金属のような低 抵抗金属が抵抗加熱蒸着技術または低温蒸着技術によっていたるところに堆積さ れる。電子ビーム蒸着技術は放射損傷の問題のため勧められない。PVD技術は 、PMMAまたはポリマー16aがベーキング温度よりも高い比較的高いガラス 転移温度を有する場合許容可能である。 おおよそ2,000Åから10,000Åの範囲内で所望の厚さの金属層18 が堆積される。好ましくは、わずか200Åから300Åのタンタル、パラジウ ム、またはチタンまたは他の高融点金属の薄い層が、同じ技術を用いて、大量の 金属の堆積の前に最初に堆積される。高融点金属は金属間の接触抵抗を低減する のに役立つ。好ましい高融点金属はパラジウムである。結果として生じる構造は 図4に示される。 完成したウェハは、PMMAまたはポリマー16aと作用する適切な溶剤のタ ンクに浸される。PMMAまたはポリマー16aは膨張し、溶解し、ハードマス ク16bの表面上で金属18をリフトオフし、タングステンプラグ14と接触す る部分の金属18だけを残す。結果として生じる構造は図5に示される。 残りのPMMAまたはポリマー16aは、欠陥の密度を制御するために必要で あると見なされるならば、別の溶剤または適切なプラズマ化学によって清浄にさ れる。適切な溶剤の例はキシレンおよびメチルイソブチルケトン(MIBK)を 含む。このプロセスは、不所望のメタライゼーションのリフトオフを高めるため の振動を伴った超音波浴において行なわれることが望ましい。 ベンゾシクロブテン(BCB)層20またはBCBの類がウェハ上に回転塗布 され、硬化される。「BCB」は、ダウ・ケミカル(Dow Chemical(Midland,M I))によってすべてが製造される有機材料および派生物の種類を指す。BCB 派生物の一例はジビニルシロキサンビス−ベンゾシクロブテン(DVS−BCB )である。 BCB層20の適切な厚さは適切な誘電強度を与えるための設計に依存し、お およそ4,000Åから10,000Åの範囲内である。BCBは金属拡散に対 するその不活性特性のために選択される。銅および銀はBCBへと拡散せず、し たがって、BCBは良好なバリアとなることができる。同じ特性が他の貴金属お よび低抵抗金属に当てはまると推定される。さらに、BCBはおおよそ2.4か ら2.7の誘電率が報告されている。これは、二酸化シリコンのものよりも低い 所望の誘電率を与える。回転塗布プロセスはBCB層20のギャップ充填および 平坦化を生じる。 他の適切な低誘電性材料もまたこの発明の実行において用いられ得る。これら には、おおよそ2.2から3.4の範囲の誘電率を有する、ポリイミド、ポリイ ミドシロキサン、フルオロポリイミド、フロオロポリマー、十分に環化された複 素環ポリマー、およびポリシロキサンが含まれる。 上のステップは必要とされる多層金属配線構造を作製するために必要な回数だ け繰返される。同じ手順がプラグまたは配線のいずれかのために用いられ得る。 この発明のプロセスの利点は以下のとおりである。 1.変更された、工業規格の金属リフトオフ技術がメタライゼーションのパター ニングのために用いられる。困難な金属エッチング要件が除去される。 2.回転塗布されたBCBが空間を充填するために用いられる。これは大域的な 平坦化および局所的な平坦化を同時に達成する。 3.プロセス手順はプラグおよび配線の両方にとって同一であり、製造の容易さ をもたらす。 4.プロセスは現在利用可能な製造技術で達成できる。 5.有機誘導体を備えた貴金属構造が、非常に困難な金属の研磨に頼らずに作製 され得る。 6.CVDメタライゼーション技術の必要がない(利用可能であれば、CVD金 属の温度がそれほど高くない、すなわち<350℃である限り、開示される技術 が両立可能である)。 7.この発明のプロセスは、高価なハードウェアの再細工なしでどの金属システ ムも支持できる。 8.この発明のプロセスは、同じプロセスチャンバにおいて埋込式バリアメタル プロセスでの銅の堆積を支持できる。これは、銅を既存の技術へと統合させるコ ストを下げる。 9.この発明のプロセスは金の堆積を支持できる。金は低誘電強度(ε)および 低抵抗(ρ)の応用のために理想的な金属システムであると考えられる。金では 、腐食の問題およびストレス誘起型のボイド問題がない。低いεおよび低いρは どのIC、特にマイクロプロセッサに対する速度−出力性能をも高める。消費電 力はICの動作周波数と容量Cの2乗とに比例する。Rは線の抵抗であり、RC 時定数はある状態から別の状態の回路の切換速度、すなわち1または0を決定す る。さらに、現在用いられているA1合金と比較して、優れたエレクトロマイグ レーション特性が金で実現されると期待される。 産業上の利用可能性 層間二酸化シリコンが除去され、空気または他の低誘電率材料に置換えられた 、 この発明の多層配線構造のプロセスは半導体装置の作製において用いられると期 待される。 この発明の好ましい実施例の上の説明は例示および説明の目的のために与えら れた。これは網羅的であるともこの発明を開示された正確な形態に制限するとも 意図されない。明らかに、多くの変更および変形が当業者には明らかである。こ の発明がMOSまたはバイポーラプロセスの他の作製技術において実行される事 が可能である。同様に、説明されたどのプロセスステップも同じ結果を達成する ために他のステップと交換可能であり得る。実施例は、この発明の原理とその実 用的な応用とを最もよく説明し、それによって、企図される特定的な用途に適切 なように、さまざまな実施例に対して、またさまざまな変更で、他の当業者にこ の発明を理解させるように選択された。この発明の範疇は添付の請求の範囲およ びその均等物によって規定されると意図される。
【手続補正書】特許法第184条の8第1項 【提出日】1996年12月16日 【補正内容】請求の範囲 1.それぞれソースコンタクトおよびドレインコンタクトによって接触されるソ ース領域およびドレイン領域を含んだウェハ上に形成され、ソース領域およびド レイン領域の各々はゲート電極によって接触されるゲート領域によって分離され 、第1のレベルのパターニングされた配線は所望のパターンで前記ソースコンタ クト、前記ドレインコンタクトおよび前記ゲート電極に接触し、第2のレベルの パターニングされた配線(18)は複数個の金属線(14)によって前記第1の レベルのパターニングされた配線に接触する半導体装置(10)であって、前記 金属線(14)は第1のレベル間誘電材料(12)によって分離され、前記第2 のレベルのパターニングされた配線(18)は約2.8μΩ−cm未満のシート 抵抗を有する低抵抗金属を含み、ベンゾシクロブテンまたはその派生物から本質 的になる平坦化された誘電材料(20)によって分離される、半導体装置。 2.前記低抵抗金属(18)はおおよそ2,000Åから10,000Åの範囲 内の厚さを有する、請求項1に記載の半導体装置。 3.前記低抵抗金属(18)の下に高融点金属の薄い層をさらに含み、前記薄い 層はおおよそ200Åから300Åの範囲内の厚さを有する、請求項2に記載の 半導体装置。 4.前記平坦化された誘電材料(20)はおおよそ4,000Åから10,00 0Åの範囲内の厚さを有する、請求項1に記載の半導体装置。 5.請求項1の半導体装置を作製するためのプロセスであって、前記第2のレベ ルのパターニングされた配線を形成するステップを含み、前記ステップは、 (a) 前記第1のレベル間誘電体層(12)上に3層レジスト(16)を形 成し、パターニングして、前記金属線(14)の上部部分を露出するステップを 含み、前記3層レジスト(16)は、溶解可能なポリマーの第1の層(16a) と、SiO2、Si34、シリコンオキシニトリド、スパッタリングされたシリ コン、アモルファスシリコン、およびアモルファス炭素からなるグループから選 択される材料を含むハードマスク材料の第2の層(16b)と、レジスト材料の 第3の層(16c)とを含み、さらに、 (b) 前記ウェハ上に前記低抵抗金属層(18)をブラケット堆積するステ ップと、 (c) 前記溶解可能なポリマーの前記第1の層(16a)を除去してその上 の金属(18)をリフトオフするステップと、 (d) 前記金属層(18)を覆うために、前記誘電材料のコーティングをス ピンオンして前記平坦化された誘電材料(20)を形成するステップとを含む、 プロセス。 6.前記溶解可能なポリマー(16a)はおおよそ0.5μmから3μmの範囲 内の厚さに形成される、請求項5に記載の方法。 7.前記ハードマスク材料(16b)はおおよそ200Åから500Åの範囲内 の厚さに形成される、請求項5に記載の方法。 8.前記レジスト材料(16c)は5,000Åから15,000Åの範囲内の 厚さに形成される、請求項5に記載の方法。 9.前記金属層(18)は第1の温度で堆積され、前記溶解可能なポリマー(1 6a)は前記第1の温度よりも高いガラス転移温度を有し、前記ウェハは、前記 第1の温度よりも高いが前記ガラス転移温度よりも低い温度でベーキングされ、 前記ベーキングは前記溶解可能なポリマー(16a)のレジストパターン規定お よびエッチングに続いて行なわれる、請求項5に記載の方法。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 チャン,マーク・エス アメリカ合衆国、95024 カリフォルニア 州、ロス・アルトス、ファーンドン・アベ ニュ、1881 【要約の続き】

Claims (1)

  1. 【特許請求の範囲】 1.それぞれソースコンタクトおよびトルインコンタクトによって接触されるソ ース領域およびドレイン領域を含んだウェハ上に形成され、ソース領域およびド レイン領域の各々はゲート電極によって接触されるゲート領域によって分離され 、第1のレベルのパターニングされた配線は所望のパターンで前記ソースコンタ クト、前記ドレインコンタクトおよび前記ゲート電極に接触し、第2のレベルの パターニングされた配線(18)は複数個の金属線(14)によって前記第1の レベルのパターニングされた配線に接触する半導体装置(10)であって、前記 金属線(14)は第1の誘電材料(12)によって分離され、前記第2のレベル のパターニングされた配線(18)は低抵抗金属を含み、前記低抵抗金属の拡散 に対して不活性の平坦化された誘電材料(20)によって分離される、半導体装 置。 2.前記低抵抗金属(18)は約2.8μΩ−cm未満のシート抵抗を有する、 請求項1に記載の半導体装置。 3.前記低抵抗金属(18)はおおよそ2,000Åから10,000Åの範囲 内の厚さを有する、請求項2に記載の半導体装置。 4.前記低抵抗金属(18)の下に高融点金属の薄い層をさらに含み、前記薄い 層はおおよそ200Åから300Åの範囲内の厚さを有する、請求項3に記載の 半導体装置。 5.前記平坦化された誘電材料(20)はベンゾシクロブテンまたはその派生物 から本質的になる、請求項1に記載の半導体装置。 6.前記平坦化された誘電材料(20)はおおよそ4,000Åから10,00 0Åの範囲内の厚さを有する、請求項5に記載の半導体装置。 7.請求項1の半導体装置を作製するためのプロセスであって、前記第2のレベ ルのパターニングされた配線を形成するステップを含み、前記ステップは、 (a) 前記第1のレベル間誘電体層(12)上に3層レジスト(16)を形 成し、パターニングして、前記金属線(14)の上部部分を露出するステップを 含み、前記3層レジスト(16)は、溶解可能なポリマーの第1の層(16a) と、ハードマスク材料の第2の層(16b)と、レジスト材料の第3の層(16 c)とを含み、さらに、 (b) 前記ウェハ上に前記低抵抗金属層(18)をブラケット堆積するステ ップと、 (c) 前記溶解可能なポリマーの前記第1の層(16a)を除去してその上 の金属(18)をリフトオフするステップと、 (d) 前記金属層(18)を覆うために、前記誘電材料のコーティングをス ピンオンして前記平坦化された誘電材料(20)を形成するステップとを含む、 プロセス。 8.前記溶解可能なポリマー(16a)はおおよそ0.5μmから3μmの範囲 内の厚さに形成される、請求項7に記載の方法。 9.前記ハードマスク材料(16b)は、SiO2、シリコンオキシナイトライ ド、スパッタリングされたシリコン、アモルファスシリコン、およびアモルファ ス炭素からなるグループから選択される材料を含み、前記ハードマスク材料(1 6b)はおおよそ200Åから500Åの範囲内の厚さに形成される、請求項7 に記載の方法。 10.前記レジスト材料(16c)は5,000Åから15,000Åの範囲内 の厚さに形成される、請求項7に記載の方法。 11.前記金属層(18)は第1の温度で堆積され、前記溶解可能なポリマー( 16a)は前記第1の温度よりも高いガラス転移温度を有し、前記ウェハは、前 記第1の温度よりも高いが前記ガラス転移温度よりも低い温度でベーキングされ 、前記ベーキングは前記溶解可能なポリマー(16a)のレジストパターン規定 およびエッチングに続いて行なわれる、請求項7に記載の方法。
JP8519801A 1994-12-21 1995-11-22 製造に適した、低誘電性、低配線抵抗かつ高性能icを達成するための新規なプロセス技術 Ceased JPH10510953A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/360,856 1994-12-21
US08/360,856 US5550405A (en) 1994-12-21 1994-12-21 Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS
PCT/US1995/015251 WO1996019830A1 (en) 1994-12-21 1995-11-22 Novel processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ic

Publications (1)

Publication Number Publication Date
JPH10510953A true JPH10510953A (ja) 1998-10-20

Family

ID=23419674

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8519801A Ceased JPH10510953A (ja) 1994-12-21 1995-11-22 製造に適した、低誘電性、低配線抵抗かつ高性能icを達成するための新規なプロセス技術

Country Status (7)

Country Link
US (3) US5550405A (ja)
EP (1) EP0799497B1 (ja)
JP (1) JPH10510953A (ja)
KR (1) KR100383392B1 (ja)
DE (1) DE69514686T2 (ja)
TW (1) TW301043B (ja)
WO (1) WO1996019830A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010532817A (ja) * 2007-04-03 2010-10-14 コミッサリア ア レネルジー アトミーク エ オ ゼネルジ ザルタナテイヴ 局所皮膜の堆積方法
US8344379B2 (en) 2005-11-17 2013-01-01 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method of the same

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5550405A (en) * 1994-12-21 1996-08-27 Advanced Micro Devices, Incorporated Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS
US6652922B1 (en) * 1995-06-15 2003-11-25 Alliedsignal Inc. Electron-beam processed films for microelectronics structures
JP2845176B2 (ja) * 1995-08-10 1999-01-13 日本電気株式会社 半導体装置
US5650667A (en) * 1995-10-30 1997-07-22 National Semiconductor Corporation Process of forming conductive bumps on the electrodes of semiconductor chips using lapping and the bumps thereby created
DE19543540C1 (de) * 1995-11-22 1996-11-21 Siemens Ag Vertikal integriertes Halbleiterbauelement mit zwei miteinander verbundenen Substraten und Herstellungsverfahren dafür
US6294799B1 (en) * 1995-11-27 2001-09-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating same
US5940732A (en) * 1995-11-27 1999-08-17 Semiconductor Energy Laboratory Co., Method of fabricating semiconductor device
US5994220A (en) * 1996-02-02 1999-11-30 Micron Technology, Inc. Method for forming a semiconductor connection with a top surface having an enlarged recess
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US6168983B1 (en) 1996-11-05 2001-01-02 Power Integrations, Inc. Method of making a high-voltage transistor with multiple lateral conduction layers
US6207994B1 (en) 1996-11-05 2001-03-27 Power Integrations, Inc. High-voltage transistor with multi-layer conduction region
US6800903B2 (en) * 1996-11-05 2004-10-05 Power Integrations, Inc. High-voltage transistor with multi-layer conduction region
JPH10242271A (ja) * 1997-02-28 1998-09-11 Sony Corp 半導体装置及びその製造方法
US6025116A (en) * 1997-03-31 2000-02-15 Siemens Aktiengesellschaft Etching of contact holes
JP2897827B2 (ja) * 1997-04-08 1999-05-31 日本電気株式会社 半導体装置の多層配線構造
US5976979A (en) * 1997-06-10 1999-11-02 Industrial Technology Research Institute Sequential oxygen plasma treatment and chemical mechanical polish (CMP) planarizing method for forming planarized low dielectric constant dielectric layer
US5962067A (en) 1997-09-09 1999-10-05 Lucent Technologies Inc. Method for coating an article with a ladder siloxane polymer and coated article
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6211073B1 (en) 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
JP3469771B2 (ja) * 1998-03-24 2003-11-25 富士通株式会社 半導体装置およびその製造方法
WO1999052135A1 (en) 1998-04-02 1999-10-14 Applied Materials, Inc. Method for etching low k dielectrics
TW374224B (en) * 1998-04-03 1999-11-11 United Microelectronics Corp Dual damascene process for manufacturing low k dielectrics
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6232235B1 (en) 1998-06-03 2001-05-15 Motorola, Inc. Method of forming a semiconductor device
US6153521A (en) * 1998-06-04 2000-11-28 Advanced Micro Devices, Inc. Metallized interconnection structure and method of making the same
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6023327A (en) * 1998-08-10 2000-02-08 Advanced Micro Devices, Inc. System and method for detecting defects in an interlayer dielectric of a semiconductor device
US6177802B1 (en) 1998-08-10 2001-01-23 Advanced Micro Devices, Inc. System and method for detecting defects in an interlayer dielectric of a semiconductor device using the hall-effect
US6288442B1 (en) 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
US6174803B1 (en) 1998-09-16 2001-01-16 Vsli Technology Integrated circuit device interconnection techniques
US6284149B1 (en) 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6326300B1 (en) 1998-09-21 2001-12-04 Taiwan Semiconductor Manufacturing Company Dual damascene patterned conductor layer formation method
US6277203B1 (en) 1998-09-29 2001-08-21 Lam Research Corporation Method and apparatus for cleaning low K dielectric and metal wafer surfaces
CN1325560C (zh) * 1998-11-24 2007-07-11 陶氏环球技术公司 含可交联基质前体和致孔剂的组合物及由此组合物制成的多孔性基质
US6309926B1 (en) 1998-12-04 2001-10-30 Advanced Micro Devices Thin resist with nitride hard mask for gate etch application
US6359328B1 (en) 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
US6287961B1 (en) 1999-01-04 2001-09-11 Taiwan Semiconductor Manufacturing Company Dual damascene patterned conductor layer formation method without etch stop layer
US6153514A (en) * 1999-01-04 2000-11-28 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6291887B1 (en) 1999-01-04 2001-09-18 Advanced Micro Devices, Inc. Dual damascene arrangements for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6291339B1 (en) * 1999-01-04 2001-09-18 Advanced Micro Devices, Inc. Bilayer interlayer dielectric having a substantially uniform composite interlayer dielectric constant over pattern features of varying density and method of making the same
US6331481B1 (en) 1999-01-04 2001-12-18 International Business Machines Corporation Damascene etchback for low ε dielectric
US6255735B1 (en) 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
JP2000199968A (ja) * 1999-01-06 2000-07-18 Sony Corp 多層レジスト構造およびこれを用いた3次元微細構造の作製方法
EP1157059A1 (en) 1999-01-08 2001-11-28 The Dow Chemical Company Low dielectric constant polymers having good adhesion and toughness and articles made with such polymers
US6380091B1 (en) 1999-01-27 2002-04-30 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with oxide dielectric layer and low K dielectric constant layer
US6207577B1 (en) 1999-01-27 2001-03-27 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with oxide dielectric layer and low k dielectric constant layer
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6492186B1 (en) * 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6137126A (en) * 1999-08-17 2000-10-24 Advanced Micro Devices, Inc. Method to reduce gate-to-local interconnect capacitance using a low dielectric constant material for LDD spacer
US6413854B1 (en) 1999-08-24 2002-07-02 International Business Machines Corp. Method to build multi level structure
US6309962B1 (en) 1999-09-15 2001-10-30 Taiwan Semiconductor Manufacturing Company Film stack and etching sequence for dual damascene
US6406999B1 (en) * 1999-09-16 2002-06-18 Agere Systems Guardian Corp. Semiconductor device having reduced line width variations between tightly spaced and isolated features
US6297139B1 (en) * 2000-01-10 2001-10-02 United Microelectronics Corp. Method of forming a contact hole in a semiconductor wafer
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6469775B1 (en) * 2000-01-31 2002-10-22 Micron Technology, Inc. Reticle for creating resist-filled vias in a dual damascene process
US6184128B1 (en) 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
US6674167B1 (en) 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6423629B1 (en) 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6461963B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Utilization of disappearing silicon hard mask for fabrication of semiconductor structures
US6851319B2 (en) * 2000-09-27 2005-02-08 Digital Wave Corporation Device and method designed for ultrasonically inspecting cylinders for longitudinal and circumferential defects and to measure wall thickness
US6768171B2 (en) 2000-11-27 2004-07-27 Power Integrations, Inc. High-voltage transistor with JFET conduction channels
US6509220B2 (en) 2000-11-27 2003-01-21 Power Integrations, Inc. Method of fabricating a high-voltage transistor
US6424007B1 (en) 2001-01-24 2002-07-23 Power Integrations, Inc. High-voltage transistor with buried conduction layer
US6605519B2 (en) * 2001-05-02 2003-08-12 Unaxis Usa, Inc. Method for thin film lift-off processes using lateral extended etching masks and device
US6630406B2 (en) 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US20030008129A1 (en) 2001-06-27 2003-01-09 International Business Machines Corporation Dielectric material and process of insulating a semiconductor device using same
US6503835B1 (en) * 2001-08-28 2003-01-07 Silicon Integrated Systems, Corp. Method of making an organic copper diffusion barrier layer
US6635544B2 (en) * 2001-09-07 2003-10-21 Power Intergrations, Inc. Method of fabricating a high-voltage transistor with a multi-layered extended drain structure
US6555873B2 (en) * 2001-09-07 2003-04-29 Power Integrations, Inc. High-voltage lateral transistor with a multi-layered extended drain structure
US7786533B2 (en) 2001-09-07 2010-08-31 Power Integrations, Inc. High-voltage vertical transistor with edge termination structure
US7221011B2 (en) * 2001-09-07 2007-05-22 Power Integrations, Inc. High-voltage vertical transistor with a multi-gradient drain doping profile
US6573558B2 (en) * 2001-09-07 2003-06-03 Power Integrations, Inc. High-voltage vertical transistor with a multi-layered extended drain structure
EP1493182B1 (en) * 2002-04-02 2013-01-23 Dow Global Technologies LLC Tri-layer masking architecture for patterning dual damascene interconnects
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6989332B1 (en) 2002-08-13 2006-01-24 Advanced Micro Devices, Inc. Ion implantation to modulate amorphous carbon stress
US6875664B1 (en) 2002-08-29 2005-04-05 Advanced Micro Devices, Inc. Formation of amorphous carbon ARC stack having graded transition between amorphous carbon and ARC material
US7521304B1 (en) 2002-08-29 2009-04-21 Advanced Micro Devices, Inc. Method for forming integrated circuit
US7084071B1 (en) 2002-09-16 2006-08-01 Advanced Micro Devices, Inc. Use of multilayer amorphous carbon ARC stack to eliminate line warpage phenomenon
US6818285B2 (en) * 2002-12-31 2004-11-16 International Business Machines Corporation Composition and method to achieve reduced thermal expansion in polyarylene networks
US20060252906A1 (en) * 2003-02-20 2006-11-09 Godschalx James P Method of synthesis of polyarylenes and the polyarylenes made by such method
US20040209383A1 (en) * 2003-04-17 2004-10-21 Industrial Technology Research Institute Lift-off process for protein chip
US7015124B1 (en) 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US6992371B2 (en) * 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
CN100456462C (zh) * 2003-10-09 2009-01-28 飞思卡尔半导体公司 具有增强光刻胶黏性的无定形碳层的器件及其制造方法
US20050136648A1 (en) * 2003-12-23 2005-06-23 Mariah Sharma Method and system for forming a contact in a thin-film device
US7157925B2 (en) * 2004-04-09 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Test structure for speeding a stress-induced voiding test and method of using same
US7229745B2 (en) * 2004-06-14 2007-06-12 Bae Systems Information And Electronic Systems Integration Inc. Lithographic semiconductor manufacturing using a multi-layered process
US7538434B2 (en) * 2005-03-08 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Copper interconnection with conductive polymer layer and method of forming the same
KR100770541B1 (ko) * 2005-12-29 2007-10-25 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
JP4788415B2 (ja) * 2006-03-15 2011-10-05 ソニー株式会社 半導体装置の製造方法
US8653583B2 (en) 2007-02-16 2014-02-18 Power Integrations, Inc. Sensing FET integrated with a high-voltage transistor
TW200836322A (en) * 2007-02-16 2008-09-01 Touch Micro System Tech Method of fabricating micro connectors
US7595523B2 (en) * 2007-02-16 2009-09-29 Power Integrations, Inc. Gate pullback at ends of high-voltage vertical transistor structure
US7468536B2 (en) 2007-02-16 2008-12-23 Power Integrations, Inc. Gate metal routing for transistor with checkerboarded layout
US7859037B2 (en) 2007-02-16 2010-12-28 Power Integrations, Inc. Checkerboarded high-voltage vertical transistor layout
US7557406B2 (en) * 2007-02-16 2009-07-07 Power Integrations, Inc. Segmented pillar layout for a high-voltage vertical transistor
US20080197493A1 (en) * 2007-02-16 2008-08-21 Stefan Geyer Integrated circuit including conductive bumps
US8030733B1 (en) 2007-05-22 2011-10-04 National Semiconductor Corporation Copper-compatible fuse target
US7964934B1 (en) 2007-05-22 2011-06-21 National Semiconductor Corporation Fuse target and method of forming the fuse target in a copper process flow
JP2009079137A (ja) * 2007-09-26 2009-04-16 Fujifilm Corp 膜形成用組成物及び膜の製造方法
US7709956B2 (en) * 2008-09-15 2010-05-04 National Semiconductor Corporation Copper-topped interconnect structure that has thin and thick copper traces and method of forming the copper-topped interconnect structure
KR101932665B1 (ko) * 2011-10-10 2018-12-27 삼성전자 주식회사 반도체 패키지
US20130207111A1 (en) 2012-02-09 2013-08-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, display device including semiconductor device, electronic device including semiconductor device, and method for manufacturing semiconductor device
US9660053B2 (en) 2013-07-12 2017-05-23 Power Integrations, Inc. High-voltage field-effect transistor having multiple implanted layers
US9543396B2 (en) 2013-12-13 2017-01-10 Power Integrations, Inc. Vertical transistor device structure with cylindrically-shaped regions
US10325988B2 (en) 2013-12-13 2019-06-18 Power Integrations, Inc. Vertical transistor device structure with cylindrically-shaped field plates
US10263114B2 (en) 2016-03-04 2019-04-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, method for manufacturing the same, or display device including the same
US10170419B2 (en) 2016-06-22 2019-01-01 International Business Machines Corporation Biconvex low resistance metal wire
US10283372B2 (en) * 2017-09-15 2019-05-07 Globalfoundries Inc. Interconnects formed by a metal replacement process
JP2021141153A (ja) * 2020-03-04 2021-09-16 キオクシア株式会社 パターン形成方法及び半導体装置の製造方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4219925A (en) * 1978-09-01 1980-09-02 Teletype Corporation Method of manufacturing a device in a silicon wafer
US4410622A (en) * 1978-12-29 1983-10-18 International Business Machines Corporation Forming interconnections for multilevel interconnection metallurgy systems
US4367119A (en) * 1980-08-18 1983-01-04 International Business Machines Corporation Planar multi-level metal process with built-in etch stop
JPS5982746A (ja) * 1982-11-04 1984-05-12 Toshiba Corp 半導体装置の電極配線方法
US4507333A (en) * 1982-11-22 1985-03-26 International Business Machines Corporation Biphenylene end-capped quinoxaline polymers and their use as insulating coatings for semiconductor devices
US4493855A (en) * 1982-12-23 1985-01-15 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
JPS60142545A (ja) * 1983-12-27 1985-07-27 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション 多層複合構造体
US4508812A (en) * 1984-05-03 1985-04-02 Hughes Aircraft Company Method of applying poly(methacrylic anhydride resist to a semiconductor
US4523372A (en) * 1984-05-07 1985-06-18 Motorola, Inc. Process for fabricating semiconductor device
WO1989005519A1 (en) * 1987-12-02 1989-06-15 Advanced Micro Devices, Inc. Self-aligned interconnects for semiconductor devices
US5028555A (en) * 1987-12-02 1991-07-02 Advanced Micro Devices, Inc. Self-aligned semiconductor devices
US5057902A (en) * 1987-12-02 1991-10-15 Advanced Micro Devices, Inc. Self-aligned semiconductor devices
US5081516A (en) * 1987-12-02 1992-01-14 Advanced Micro Devices, Inc. Self-aligned, planarized contacts for semiconductor devices
US5055427A (en) * 1987-12-02 1991-10-08 Advanced Micro Devices, Inc. Process of forming self-aligned interconnects for semiconductor devices
US4977108A (en) * 1987-12-02 1990-12-11 Advanced Micro Devices, Inc. Method of making self-aligned, planarized contacts for semiconductor devices
JPH01302842A (ja) * 1988-05-31 1989-12-06 Nec Corp 多層配線構造の半導体装置
JP2532589B2 (ja) * 1988-06-29 1996-09-11 松下電器産業株式会社 微細パタ―ン形成方法
US5057399A (en) * 1989-03-31 1991-10-15 Tony Flaim Method for making polyimide microlithographic compositions soluble in alkaline media
US5246782A (en) * 1990-12-10 1993-09-21 The Dow Chemical Company Laminates of polymers having perfluorocyclobutane rings and polymers containing perfluorocyclobutane rings
US5034801A (en) * 1989-07-31 1991-07-23 W. L. Gore & Associates, Inc. Intergrated circuit element having a planar, solvent-free dielectric layer
US5282922A (en) * 1989-11-16 1994-02-01 Polycon Corporation Hybrid circuit structures and methods of fabrication
US5239746A (en) * 1991-06-07 1993-08-31 Norton Company Method of fabricating electronic circuits
US5116463A (en) * 1991-06-19 1992-05-26 Microelectroncs And Computer Technology Corporation Detecting completion of electroless via fill
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5486493A (en) * 1994-02-25 1996-01-23 Jeng; Shin-Puu Planarized multi-level interconnect scheme with embedded low-dielectric constant insulators
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
DE59510873D1 (de) * 1994-06-27 2004-04-22 Infineon Technologies Ag Verbindungs- und Aufbautechnik für Multichip-Module
US5550405A (en) * 1994-12-21 1996-08-27 Advanced Micro Devices, Incorporated Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8344379B2 (en) 2005-11-17 2013-01-01 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method of the same
JP2010532817A (ja) * 2007-04-03 2010-10-14 コミッサリア ア レネルジー アトミーク エ オ ゼネルジ ザルタナテイヴ 局所皮膜の堆積方法
US8815108B2 (en) 2007-04-03 2014-08-26 Commissariat A L'energie Atomique Method of depositing localized coatings

Also Published As

Publication number Publication date
DE69514686T2 (de) 2000-08-31
EP0799497B1 (en) 2000-01-19
WO1996019830A1 (en) 1996-06-27
US5965934A (en) 1999-10-12
DE69514686D1 (de) 2000-02-24
KR100383392B1 (ko) 2003-07-18
KR980700690A (ko) 1998-03-30
EP0799497A1 (en) 1997-10-08
US5679608A (en) 1997-10-21
US5550405A (en) 1996-08-27
TW301043B (ja) 1997-03-21

Similar Documents

Publication Publication Date Title
JPH10510953A (ja) 製造に適した、低誘電性、低配線抵抗かつ高性能icを達成するための新規なプロセス技術
US6509258B2 (en) Etch stop in damascene interconnect structure and method of making
TWI229412B (en) Method for forming metal interconnect structures
US7545045B2 (en) Dummy via for reducing proximity effect and method of using the same
US6573572B2 (en) Damascene structure and method of making
JP5334616B2 (ja) 相互接続を作製するための方法
US20020155693A1 (en) Method to form self-aligned anti-via interconnects
KR20010072034A (ko) 집적회로에 있어서 배선구조 형성방법
KR20020009211A (ko) 듀얼 다마신 배선구조의 반도체 소자 및 그 제조방법
JP2009135518A (ja) 相互接続の製造方法
KR100860133B1 (ko) 이온 주입에 의한 측벽 밀도의 국부적 증가
TWI292933B (en) Method of manufacturing a semiconductor device having damascene structures with air gaps
US20020160604A1 (en) Double-layered low dielectric constant dielectric dual damascene method
US8053359B2 (en) Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
TW488028B (en) Manufacturing method for dual damascene with low barrier loss by partial etching
JP2004508713A (ja) サブトラクティブ金属化構造及びその製造方法
JPH11111842A (ja) 多層配線構造およびその製造方法
JPH11186274A (ja) デュアル・ダマスク技術
KR100219062B1 (ko) 반도체 장치의 금속배선 형성방법
TW494534B (en) Method of fabricating a dual damascene structure
JP2003152074A (ja) 半導体装置の製造方法
JP2000183067A (ja) 半導体装置の製造方法
TW409411B (en) Process of utilizing hybride low resistance dielectrics structure to increase the performance of damascene copper interconnect
CN113972186A (zh) 半导体结构及其制备方法
JP2000277606A (ja) 電子装置およびその製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060829

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20061128

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070221

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20071115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081028

A313 Final decision of rejection without a dissenting response from the applicant

Free format text: JAPANESE INTERMEDIATE CODE: A313

Effective date: 20090310

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090519