JPH10326010A - フォトマスクのパターン補正方法およびそれにより補正されたフォトマスク、並びにフォトマスクのパターン補正装置 - Google Patents

フォトマスクのパターン補正方法およびそれにより補正されたフォトマスク、並びにフォトマスクのパターン補正装置

Info

Publication number
JPH10326010A
JPH10326010A JP6744198A JP6744198A JPH10326010A JP H10326010 A JPH10326010 A JP H10326010A JP 6744198 A JP6744198 A JP 6744198A JP 6744198 A JP6744198 A JP 6744198A JP H10326010 A JPH10326010 A JP H10326010A
Authority
JP
Japan
Prior art keywords
pattern
correction
photoresist
photomask
development
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6744198A
Other languages
English (en)
Other versions
JP3406506B2 (ja
Inventor
Katsuhiko Harasaki
克彦 原崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Priority to JP06744198A priority Critical patent/JP3406506B2/ja
Priority to US09/046,564 priority patent/US6137901A/en
Publication of JPH10326010A publication Critical patent/JPH10326010A/ja
Priority to US09/657,167 priority patent/US6335981B1/en
Application granted granted Critical
Publication of JP3406506B2 publication Critical patent/JP3406506B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

(57)【要約】 【課題】 フォトレジストのパターンを形成するための
フォトマスクのパターンを高精度かつ高速に補正できる
フォトマスクのパターン補正方法およびそれにより補正
されたフォトマスク、並びにフォトマスクのパターン補
正装置を提供する。 【解決手段】 複数のフォトマスクのパターンデータを
一括して取り込み(S1)、各フォトマスクの全領域に
ついてフォトレジスト内の光近接効果に対する補正を行
い(S2)、各フォトマスクの全領域からフォトレジス
トの下地構造及び材質による光近接効果に対する補正を
行うべき下地補正領域を自動的に抽出して(S3、S
4)、下地補正領域のみにフォトレジストの下地構造及
び材質に対する補正を行い(S5)、各フォトマスクの
全領域からフォトレジスト現像時の端部後退及びパター
ン変形に対する補正を行うべき現像補正領域を自動的に
抽出して(S8、S9)、現像補正領域のみにフォトレ
ジストの現像に対する補正を行う(S10)。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置製造プ
ロセスの一つであるリングラフィ工程において半導体素
子等のパターン露光により所望のフォトレジストパター
ンを形成するために用いられるフォトマスクのパターン
補正方法およびそれにより補正されたフォトマスク、並
びにフォトマスクのパターン補正装置に関するものであ
る。
【0002】
【従来の技術】半導体装置の製造プロセスである一つの
リソグラフィ工程においては、可視光線、紫外線、電子
線等の各種エネルギー線を、所望のパターンを有するフ
ォトマスクを通して被露光体に照射し、該被露光体を露
光することにより、所望のパターンを被露光体上に転写
することが行なわれている。
【0003】近年、半導体素子の微細化および高集積化
が進むにつれ、リソグラフィ技術においては、最小描画
寸法を0.1μm以下にすることを目指し、露光波長と
同程度或いはそれ以下の加工寸法での超解像度リソグラ
フィ技術の実用化が進められている。
【0004】パターン露光の解像度の実用限界は各種要
因によって定まるが、近年のパターンの微細化により、
光近接効果が、解像限界を支配する大きな要因の一つと
なっている。近接効果とは、あるパターンに対して、そ
れに近接するパターンからの光などの照射エネルギーの
干渉効果が問題となることを言い、これには同一パター
ン内での干渉による転写パターンの変形も含まれる。
【0005】従来のリソグラフィ工程においては、転写
パターンのサイズが照射光の波長と比べて十分大きかっ
たため、近接効果が問題となるには至らなかったが、超
解像リソグラフィ技術においては、この近接効果現象が
大きな問題となっている。
【0006】転写パターンのサイズが露光波長と同程度
或いはそれ以下であると、近接効果現象やフォトレジス
ト現像時の端部後退及びパターン変形現象によってフォ
トマスクのパターンとフォトレジスト上に転写されたパ
ターンとの間に線幅や形状の差異が生じる。
【0007】このため、超解像度フォトリソグラフィ技
術においては、所望のパターンを有するフォトレジスト
を形成するために、光近接効果等による転写の際の変形
を正確に見積もってフォトマスクのパターンを補正する
ことが、重要な技術の一つとなっている。これは、相互
作用の大きい電子線やその他の各種エネルギー線を用い
たリソグラフィ技術においても同様である。
【0008】これまでに、光近接効果を正確に見積もっ
てフォトマスクのパターンを補正するためのさまざまな
試みが行われており、例えば、特開平2−189913
号公報には、フォトマスクパターンを補正して光近接効
果に対応する方法が開示されいる。
【0009】これは、半導体素子のレベルでの改善例で
あるが、実際にはチップレベルでの広い領域(十数mm
角程度)での補正が必要となる。
【0010】チップレベル、ブロックレベルでの補正例
としては、S. Miyama, K. Yamamoto, et al., “ Large
area optical proximity correction with a combinat
ionof rule-based and simulation-based methods”, J
pn.J.Appl.Phys.Vol.35(1996/12) p.p.6370-6373 があ
る。
【0011】この従来例の、近接効果に対する補正を行
う手順を、図13のフローチャートと、図14および図
15とを参照して説明する。これによれば、まず、図1
4に示すフォトマスクのパターンから投影像の光強度分
布を求め、光近接効果に対する補正の対象となるクリテ
ィカルなエッジ(パターンの開口端或いは遮光端)を抽
出する(S41・S42)。図14において、着色部分
は遮光部分を示し、白抜き部分は開口部分を示す。ま
た、図14においては、クリティカルなエッジを、太幅
の破線で示している。
【0012】次に、このクリティカルなエッジにおける
補正量を評価するのに適切なあるポイントを補正ポイン
トに決め、この補正ポイントにおける1D(一次元)コ
ンテキストを調べる(S43)。即ち、図14に示す矢
印上の補正ポイント(例えば、図14に×印で示す補正
ポイント)の2値判定を行い、開口部分を「0」、遮光
部分を「1」で表したビットマップデータである1Dコ
ンテキストを求める。
【0013】そして、求めた1Dコンテキストが、予め
準備されている図15に示す補正テーブルの中の1Dコ
ンテキストと一致するかどうかを判別し(S44)、一
致する場合は、補正テーブルを参照して補正量を定め、
フォトマスクのパターンの該当する部分をこの補正量で
補正する(S47)。尚、図15の破線は、補正ポイン
トを示している。
【0014】一方、求めた1Dコンテキストと同じもの
が補正テーブル中に見つからない場合は、該1Dコンテ
キストに合った補正量をシミュレーションにて算出し
(S45)、該1DコンテキストとS45で求めた補正
量を、上記の補正テーブルに追加し、補正テーブルを更
新する(S46)。次に、補正ポイントをクリティカル
なエッジにおける補正量を評価するのに適切な他のポイ
ントに変更し(S43)、更新した補正テーブルを参照
して補正量を定め、上記と同様にフォトマスクのパター
ンの該当する部分をこの補正量で補正する(S47)。
【0015】上記S43〜S47までの手順を、S42
で抽出したエッジにおける全ての補正ポイントで補正が
終了するまで繰り返し行い(S48)、全ての補正が終
了したならば、処理を終了する。
【0016】
【発明が解決しようとする課題】しかしながら、この従
来例におけるフォトマスクのパターン補正方法では、投
影像の光強度分布からコントラストや光強度勾配等を求
め、目標とするパターン寸法に対してクリティカルなエ
ッジを求め、フォトレジスト内の光近接効果に対する補
正を行っている。
【0017】即ち、上記従来例のパターン補正方法で
は、光近接効果に対する補正とともに、(ポジ型フォト
レジストでは)フォトマスクの開口パターン密度が高い
領域で発生するフォトレジスト現像時のフォトレジスト
端部後退及びパターン変形や、フォトレジストの下地段
差によるフォトレジストの線幅シフト等に関わるクリテ
ィカルなパターン領域を抽出して、これらフォトレジス
トの現像および下地段差に対する補正を行うことができ
ない。
【0018】その結果、フォトレジストの端部後退及び
パターン変形や線幅シフトによって、フォトレジストの
パターンが所望のパターンからずれる。つまり、上記従
来例のパターン補正方法は、高精度の補正を行うことが
できないという問題点を有している。
【0019】その上、上記従来例のパターン補正方法で
は、投影光光学像のシミュレーションと、フォトレジス
ト露光及び現像のシミュレーションによる計算及び補正
テーブルの作成とを、補正が必要な全ての領域について
行わなければならない。そのため、前もって多くの測定
データが必要な上、正確な計算のためには多大な計算時
間が必要となり、補正を高速に行うことができない。
【0020】また、これら従来例のフォトマスクのパタ
ーン補正方法では、光近接効果を見積もるのに、必要以
上に広い領域でシミュレーション及び評価を行わなけれ
ばならない。そのため、作業および手間が必要以上にか
かり、作業の効率化やフォトマスクパターン補正の自動
化の妨げとなっていた。
【0021】本発明は、上記従来の問題点に鑑みなされ
たものであり、その目的は、フォトマスクのパターンを
高精度かつ高速に補正できるフォトマスクのパターン補
正方法およびそれにより補正されたフォトマスク、並び
にフォトマスクのパターン補正装置を提供することにあ
る。
【0022】
【課題を解決するための手段】請求項1記載の発明のフ
ォトマスクのパターン補正方法は、上記の課題を解決す
るために、フォトマスクを通してフォトレジストを露光
した後に該フォトレジストを現像することによってウェ
ハ上に形成されるフォトレジストのパターンが所望のパ
ターンとなるように、フォトマスクのパターンを補正す
る方法であって、複数のフォトマスクのパターンを表す
パターンデータを一括して取り込み、フォトレジスト内
の光近接効果に対する補正を行うにあたり、パターンデ
ータにおける各フォトマスクの全領域から、フォトレジ
スト現像時の端部後退やパターン変形に対する補正を行
うべき現像補正領域を自動的に抽出して、該現像補正領
域のみにフォトレジストの現像に対する補正を行うとと
もに、パターンデータにおける各フォトマスクの全領域
から、フォトレジストの下地構造及び材質による光近接
効果に対する補正を行うべき下地補正領域を自動的に抽
出して、該下地補正領域のみにフォトレジストの下地構
造及び材質に対する補正を行うことを特徴としている。
【0023】請求項2記載の発明のフォトマスクのパタ
ーン補正方法は、上記の課題を解決するために、請求項
1記載のフォトマスクのパターン補正方法において、各
フォトマスクのパターンデータについて、フォトマスク
の全領域をメッシュ領域に分割して、各メッシュ領域ご
とにパターン密度を求め、該パターン密度やレジストの
感度特性に基づいて上記現像補正領域を抽出することを
特徴としている。
【0024】請求項2記載の方法においては、フォトマ
スクを複数の領域に分割して各領域の周囲のパターン密
度を求め、該パターン密度と所定のレジスト感度特性に
依存した閾値との比較に基づいて、上記現像補正領域を
抽出することがより望ましい。
【0025】請求項3記載の発明のフォトマスクのパタ
ーン補正方法は、上記の課題を解決するために、請求項
1または2に記載のフォトマスクのパターン補正方法に
おいて、フォトレジストの下地構造及び材質データに基
づいてフォトレジストの下地に光学的段差が存在するか
否かを判定し、光学的段差が存在する場合には光学的下
地段差からの距離に基づいて下地補正領域を抽出するこ
とを特徴としている。
【0026】上記方法によれば、露光波長の数倍ないし
同程度以下のパターニングにおいて問題となるフォトレ
ジスト内の光近接効果、パターン密度に依存したレジス
ト現像時の端部後退およびパターン変形現象、加えて光
学的な下地段差による光近接効果の全てに対応して、フ
ォトレジストの現像に対する補正を行うとともに、フォ
トレジストの下地構造及び材質に対する補正を行い、さ
らに、パターンの光近接効果に対する補正を行う。これ
により、フォトマスクのパターンを高精度かつ高速に補
正することができる。さらに、補正の必要な領域を抽出
したうえで補正を行うので、フォトマスクのパターン補
正をより効率良く行うことができる。
【0027】本発明の請求項4記載のフォトマスクのパ
ターン補正方法は、上記の課題を解決するために、フォ
トマスク描画装置により加工されたフォトマスクを通し
てフォトレジストを露光装置により露光することにより
設計寸法通りのフォトレジストパターンをウェハ上に形
成するために用いられるフォトマスクのパターンを補正
する方法であって、露光装置の露光波長、開口数、およ
びコヒーレントファクターと、フォトマスク描画装置の
最小描画寸法とに基づいてフォトマスクのエッジからの
距離が所定値以下である領域を光近接効果有効領域とし
て決定し、該光近接効果有効領域内でパターン補正を行
うことを特徴としている。
【0028】上記方法によれば、微細なフォトマスクの
パターニングにおいて問題となる光近接効果およびパタ
ーン密度に依存したフォトレジスト現像時の端部後退現
象等、物理的および化学的な原因によるフォトマスクパ
ターンの寸法ずれを効率良く正確に補正することがで
き、光近接効果補正を含むフォトマスクパターン補正技
術の自動化を容易にすることができる。
【0029】本発明の請求項5記載のフォトマスクのパ
ターン補正方法は、上記の課題を解決するために、請求
項4記載のフォトマスクのパターン補正方法において、
光近接効果有効領域で開口パターン密度を求め、上記フ
ォトレジストがポジ型フォトレジストである場合には開
口パターン密度が閾値以上である領域にのみ、上記フォ
トレジストがネガ型フォトレジストである場合には開口
パターン密度が閾値以下である領域にのみ、フォトレジ
スト現像時の寸法シフトに対する補正を行うことを特徴
としている。
【0030】上記方法によれば、半導体製造工程のある
工程に使用するフォトマスクの開口パターン密度を光近
接効果有効領域で求め、開口パターン密度と閾値との比
較に基づいてフォトレジスト現像時の寸法シフトに対す
る補正を行うか否かを決定し、上記フォトレジストがポ
ジ型フォトレジストである場合には開口パターン密度が
閾値以上である領域にのみ、上記フォトレジストがネガ
型フォトレジストである場合には開口パターン密度が閾
値以下である領域にのみ、フォトレジスト現像時の寸法
シフトに対する補正を行う。これにより、フォトマスク
パターン補正作業をさらに効率化でき、処理時間を短縮
できる。
【0031】本発明の請求項6記載のフォトマスクのパ
ターン補正方法は、上記の課題を解決するために、請求
項5記載のフォトマスクのパターン補正方法において、
上記閾値が、γ値を含むフォトレジストの露光感度特性
より求めたものであることを特徴としている。
【0032】ところで、γ値が十分大きければ、ある露
光量でフォトレジストが現像されるため、光強度の閾値
で現像後のフォトレジスト形状をほぼ求めることができ
る。しかし、γ値が小さい場合、露光量の大きさと勾配
(分布)とに依存して現像後のフォトレジスト形状に寸
法シフトが生じる。このため、γ値が小さいほど、フォ
トレジスト現像時の寸法シフトが起こる領域が大きくな
る。
【0033】そこで、請求項6記載の方法では、γ値を
含むフォトレジストの露光感度特性より求めた閾値に基
づいてフォトレジスト現像時の寸法シフトに対する補正
を行う領域を決定している。これにより、フォトレジス
トの露光感度特性に係わらず、フォトマスクパターンを
効率良く正確に補正することができる。
【0034】本発明の請求項7記載のフォトマスクは、
請求項1ないし6のいずれか1項に記載のパターン補正
方法によりパターン補正されたものであることを特徴と
している。
【0035】上記構成によれば、光近接効果およびパタ
ーン密度に依存したフォトレジスト現像時の端部後退現
象等の寸法ずれが生じる場合でも設計寸法通りのフォト
レジストパターンをウェハ上に形成することが可能なフ
ォトマスクを提供することができる。
【0036】請求項8記載の発明のフォトマスクのパタ
ーン補正装置は、上記の課題を解決するために、フォト
マスクを通してフォトレジストを露光した後に該フォト
レジストを現像することによってウェハ上に形成される
フォトレジストのパターンが所望のパターンとなるよう
に、フォトマスクのパターンを補正する装置であって、
複数のフォトマスクのパターンを表すパターンデータを
一括して取り込むパターンデータ入力部と、パターンデ
ータにおける各フォトマスクの全領域から、フォトレジ
スト現像時の端部後退やパターン変形に対する補正を行
うべき現像補正領域を自動的に抽出する現像補正領域抽
出部と、上記現像補正領域のみにフォトレジストの現像
に対する補正を行う現像補正部と、パターンデータにお
ける各フォトマスクの全領域から、フォトレジストの下
地構造及び材質による光近接効果に対する補正を行うべ
き下地補正領域を自動的に抽出する下地補正領域抽出部
と、上記下地補正領域のみにフォトレジストの下地構造
及び材質に対する補正を行う下地補正部と、パターンデ
ータにおける各フォトマスクの全領域について、フォト
レジスト内の光近接効果に対する補正を行う光近接効果
補正部とを備えていることを特徴としている。
【0037】上記構成によれば、露光波長の数倍ないし
同程度以下のパターニングにおいて問題となるフォトレ
ジスト内の光近接効果、パターン密度に依存したレジス
ト現像時の端部後退及びパターン変形現象、加えてフォ
トレジストの現像に対する補正を行うとともに、フォト
レジストの下地構造及び材質に対する補正を行い、さら
に、フォトレジスト内の光近接効果に対する補正を行
う。これにより、フォトマスクのパターンを高精度かつ
高速に補正することができる。さらに、補正の必要な領
域を抽出したうえで補正を行うので、フォトマスクのパ
ターン補正をより効率良く行うことができる。
【0038】
【発明の実施の形態】
〔実施の形態1〕本発明の実施の一形態について図1な
いし図12に基づいて説明すれば、以下の通りである。
【0039】尚、本明細書においては、フォトレジスト
の現像時の端部後退現象及びパターン変形に対する補正
を、フォトレジスト端部現像補正、あるいは、単に現像
補正と称する。また、本明細書においては、フォトレジ
ストの下地における光学的段差(例えば、アルミニウム
配線)による光近接効果に対する補正を下地段差補正と
称する。さらに、本明細書においては、1枚のフォトレ
ジスト内における図形間あるいは図形内の光近接効果に
対する補正を、適宜、単に光近接効果補正と称する。
【0040】まず、本発明にかかるフォトマスクのパタ
ーン補正装置について説明する。図4に示すように、本
発明にかかるパターン補正装置1は、パターンデータ入
力部2、パターン密度演算部3、現像補正領域抽出部
4、現像補正部5、下地段差判定部6、下地段差補正領
域抽出部(下地補正領域抽出部)7、下地段差補正部
8、光近接効果補正部9、および誤差判定部10を備え
ている。
【0041】次に、本発明にかかるフォトマスクのパタ
ーン補正方法について、図1、図2、図3のフローチャ
ートに基づいて説明する。
【0042】本発明にかかるフォトマスクのパターン補
正方法では、まず、半導体装置の製造工程に用いる全フ
ォトマスクのパターンデータ(レイアウトデータ)をパ
ターン補正装置1のパターンデータ入力部2に入力する
(S1)。
【0043】このパターンデータは、目標とするフォト
レジストのパターンに対応するフォトマスクのパターン
のレイアウトを表すデータである。また、このパターン
データは、チップレベルないしブロックレベルのパター
ンを表すデータである。さらに、このパターンデータ
は、フォトレジストの下地となるLSI(Large Scale I
ntegrated Circuit)の構造や材質等を示す下地構造及び
材質データも含んでいる。
【0044】次に、パターンデータ入力部2に入力され
たパターンデータは、光近接効果補正部9に送られる。
光近接効果補正部9は、パターンデータに対してフォト
レジスト内の光近接効果に対する補正を行い(S2)、
補正後のパターンデータを下地段差判定部6および下地
段差補正領域抽出部7へ出力する。
【0045】次に、下地段差判定部6は、入力されたパ
ターンデータに含まれる下地構造(階層構造)及び材質
のデータを基にしてフォトレジストの下地構造及び材質
を調べ、各フォトレジストにおける光学的下地段差(以
下、単に下地段差と称する)の有無を調べる(S3)。
つまり、そのフォトマスクによって形成しようとしてい
るフォトレジストの1つ前の層のデータ、即ち、幾何的
にフォトレジストの下層となる層の構造データを取り出
し、図8の矢印で示すようなフォトレジストの線幅シフ
トを招く下地段差が存在するか否かを判定する。例え
ば、フォトレジストの下層がアルミニウム等の光反射率
の高い材質である場合には、下地段差が存在すると判定
される。
【0046】尚、図8において、11はフォトマスク、
11aはCr遮光部、11bは透光部、12はポジ型フ
ォトレジスト、12aは露光部、12bは非露光部、1
3はアルミニウム線、14は酸化膜、15は空気層を表
す。
【0047】そして、下地段差判定部6によって下地段
差が存在すると判定された場合には、下地段差補正領域
抽出部7は、その判定結果に基づいて、パターンデータ
における各フォトマスクの全領域から下地段差による近
接効果有効領域を下地段差補正の必要な領域として抽出
する(S4)。一方、下地段差判定部6によって下地段
差が存在しないと判定された場合には、下地段差補正領
域抽出部7は、下地段差補正を行わずにパターンデータ
をそのままパターン密度演算部3および現像補正領域抽
出部4へ送る。
【0048】下地段差による近接効果有効領域は、光学
的下地段差からの距離が所定値以下の領域、例えば、光
学的下地段差からの水平パターン間距離が2λ/NA以
下の領域として求められる。図8に示す例では、図8
(a)に矢印で示す位置が、下地段差による近接効果が
最大となる位置であり、破線で示す領域が、下地段差に
よる近接効果が顕著な領域である。
【0049】次いで、下地段差補正部8は、あらかじめ
準備した付近の下地段差データから、近接する下地段差
による近接効果有効領域内のフォトマスク寸法の補正量
(シフト量)を、ルールまたはシミュレーションによっ
て求め、近接効果有効領域のみに上記補正量で下地段差
補正を行い(S5)、処理後のパターンデータをパター
ン密度演算部3および現像補正領域抽出部4へ送る。
【0050】下地段差補正は、下地段差の影響で下地に
近接するフォトレジストパターン端部の光の当たり方が
下地のない部分と異なってしまうためにパターンの形状
が歪む影響を、フォトマスクのパターンデータにフィー
ドバックさせる形で補正を行うものである。
【0051】下地段差補正は、例えば、次の手順で行わ
れる。即ち、前もって異なる層(特に下層)からの光学
的近接効果を見積もり、パターンを表すデータと下地段
差に対する補正量との関係を下地段差補正のデータベー
ス(テーブル)として作成しておく。データベースの内
容の一例を、図9に示す。
【0052】図9において、左側の0/1列は、下地段
差補正が必要な領域を表すデータであり、「0」はX−
Y座標における下地段差補正が不要な位置を表し、
「1」はX−Y座標における下地段差補正が必要な位置
を表す。また、図9における右側の数値(0.05)
は、線幅シフト量(単位はμm)を示す。
【0053】そして、まず、パターンデータにおける各
フォトマスクのパターンが、データベースの中にあるか
を判定し(S31)、データベースの中にある場合に
は、データベースに基づいて下地段差補正を行う(S3
2)。一方、パターンがデータベースの中にない場合に
は、下地段差を考慮した露光シミュレーションに基づく
下地段差補正を行い(S33)、シミュレーション結果
に基づいてデータベースの更新を行う(S34)。
【0054】そして、パターン密度演算部3は、入力さ
れたパターンデータにおける各フォトマスク(各層)の
全領域の各開口パターン(または遮光パターン)を、矩
形または三角形の多数のメッシュ領域に分割し、それら
のメッシュ領域の1つを設定して(S6)、該メッシュ
領域の周辺の開口パターン(または遮光パターン)密度
を演算する(S7)。
【0055】上記のメッシュ領域のサイズは、kλ/4
NA角以下であることが望ましい。ここで、kはパラメ
ータであり、例えば、あるプロセスでは0.4〜1.0
に設定される。また、λは露光波長である。さらに、N
Aは、開口数であり、例えば、ある半導体装置では0.
4〜0.7である。
【0056】図5に示すSRAM(Static Random Acces
s Memory) のゲート−ポリシリコンの目標パターンを形
成しようとする場合には、図5の開口パターンが、例え
ば、図6に示すように0.0625μm角の矩形のメッ
シュ領域に分割される。尚、図5は、目標とするフォト
レジストのパターンに対応する補正前のフォトマスクの
パターンを表す図であり、着色部分は透光部(開口パタ
ーン)を示す。
【0057】パターン密度演算部3における開口メッシ
ュ領域の開口パターン密度Eenvの演算は、例えば、
次式(1)
【0058】
【数1】
【0059】によって行われる。
【0060】上記式(1)において、Ωは開口パターン
密度計算領域、i(x* ,y* )は開口パターン密度計
算領域Ω内の任意のメッシュ領域(x* ,y* )におけ
る光強度の値、Dsは開口パターン密度計算領域Ω内の
全露光量、Δ(x,y)は開口メッシュ領域(x,y)
の面積、r(x−x ,y−y* )は開口メッシュ領域
(x,y)とメッシュ領域(x* ,y* )との間の距離
である。また、開口パターン密度計算領域Ωは、開口メ
ッシュ領域(x,y)から所定距離以内の範囲に設定さ
れる。
【0061】尚、開口メッシュ領域の開口パターン密度
Eenvの演算式として、式(1)の代わりに、次式
(2)
【0062】
【数2】
【0063】を用いてもよいが、式(1)の方が、好ま
しい。
【0064】上記式(2)において、Ωは開口パターン
密度計算領域、i(x* ,y* )は、開口パターン密度
計算領域Ω内の任意のメッシュ領域(x* ,y* )にお
ける光強度の値、Dsは開口パターン密度計算領域Ω内
の全露光量、Δ(x,y)は開口メッシュ領域(x,
y)の面積である。式(2)中のErf(x−x* ,y
−y* )は、次式(3)
【0065】
【数3】
【0066】で表される誤差関数である。
【0067】式(3)におけるrは、開口メッシュ領域
(x,y)とメッシュ領域(x* ,y* )との間の距離
であり、次式(4)
【0068】
【数4】
【0069】で表される。
【0070】次いで、パターン密度演算部3で演算され
た開口メッシュ領域の開口パターン密度Eenvは、パ
ターンデータとともに、現像補正領域抽出部4に入力さ
れる。現像補正領域抽出部4は、開口パターン密度Ee
nvと予め設定されているレジストの露光により得られ
る感度特性に依存した閾値αとを比較し(S8)、これ
らが次式(5) Eenv≧α・・・(5) を満たしているか否かを判定する(S9)。
【0071】但し、閾値αは、常に特定の値に定められ
るのではなく、フォトマスクのパターンやフォトレジス
トの露光感度特性やプロセスによって適宜決定される値
であり、実用上は段階的に値を変更することにより、フ
ォトレジスト現像時の端部後退やパターン変形に対する
評価レベルを調整することができる。
【0072】そして、現像補正領域抽出部4は、開口メ
ッシュ領域の開口パターン密度Eenvが式(5)を満
たしている場合には、その開口メッシュ領域をフォトレ
ジスト端部現像補正が必要な現像補正領域と判定し、現
像補正部5に入力する。
【0073】フォトレジスト端部現像補正が必要な領域
は、同一層におけるライン(遮光部)端部や角部及びス
ペース(透光部)端部、及びコンタクト等の領域であ
る。ポジ型レジストでは、Eenvが閾値α以上のライ
ン端部の領域、例えば、パターンの端部が、パターンに
よる露光密度が高く現像時における線幅シフト量が顕著
であるため、現像補正領域と判定される。例えば、図5
に示す目標パターンでは(但し、レジスト残しの場
合)、図7において破線の○印で囲んだゲート−ポリシ
リコンのL字長辺端部が、現像補正領域と判定される。
また、αの値を下げれば、L字角部も現像補正領域と判
定される。
【0074】続いて、その開口メッシュ領域は、現像補
正部5において、フォトレジスト露光・現像のシミュレ
ーションやフォトレジスト露光・現像の実測値から得ら
れたデータベース等を利用して、フォトレジスト端部現
像補正がなされる(S10)。その後、開口メッシュ領
域を補正された開口メッシュ領域に変更し(S6)、S
7に戻る。
【0075】フォトレジスト端部現像補正は、具体的に
は、例えば、図2に示す手順で行われる。即ち、予め、
フォトレジスト露光・現像のシミュレーションやフォト
レジスト露光・現像の実測値から、パターンと最適な補
正量との関係をデータベースとして作成しておく。
【0076】そして、まず、パターンデータにおける各
フォトマスクのパターンが、データベースの中にあるか
を判定し(S21)、データベースの中にある場合に
は、データベースを参照して現像補正を行う(S2
2)。一方、パターンがデータベースの中にない場合に
は、シミュレーションに基づく現像補正を行い(S2
3)、シミュレーション結果に基づいてデータベースの
更新を行う(S24)。この場合、データベースのデー
タを追加するにあたっては、光強度だけでなく、フォト
レジストの露光・現像のシミュレーション結果または実
測データが必要である。
【0077】一方、開口メッシュ領域の開口パターン密
度Eenvが式(5)を満たしていない場合には、現像
補正領域抽出部4は、その開口メッシュ領域がフォトレ
ジスト端部現像補正を必要としない領域であると判定
し、パターンデータを誤差判定部10へ送るとともに、
開口メッシュ領域を現像補正された開口メッシュ領域に
変更し(S6)、S7に戻る。
【0078】上記S6〜S10の手順を、全ての開口メ
ッシュ領域の処理が終了するまで繰り返し行い(S1
1)、処理後のパターンデータを誤差判定部10へ送
る。
【0079】このようにして、現像補正領域抽出部4に
おいて開口パターン密度によるフォトレジスト端部現像
補正が必要となる現像補正領域の抽出が行われ、該現像
補正領域についてのみフォトレジスト端部現像補正が行
われる。
【0080】誤差判定部10は、入力されたパターンデ
ータとシュミレーション結果との誤差が所定値、例えば
5%以下であるか否かを判定し(S12)、誤差が所定
値以下であれば補正後のパターンデータを出力して、補
正を終了する。一方、誤差が所定値を越えている場合に
はパターンデータを再び光近接効果補正部9へ送り、S
2に戻る。そして、S2〜S11の手順を誤差が所定値
以下となるまで繰り返し行った後、補正後のパターンデ
ータを出力して補正を終了する。
【0081】図7に示すパターンを表すパターンデータ
をパターン補正装置1で補正した場合に、出力されるパ
ターンデータのパターンの一例(補正結果)を図10に
実線で示す。また、比較のために、投影光学像のみから
求めた補正パターンの一例(補正結果)を図11の実線
で示す。図10および図11において、ハッチング部分
は、フォトマスクの開口部を示し、破線は、図7に示す
原型のパターンを示している。
【0082】図10に示すパターンのフォトマスクを用
いて作成したフォトレジストの実測結果を、図12に示
す。
【0083】このように、本発明のフォトマスクのパタ
ーン補正方法によれば、露光波長の数倍ないし同程度の
パターニングにおいて問題となるフォトレジスト内の光
近接効果、パターン密度とレジスト感度特性とに依存し
たレジスト現像時の端部後退及びパターン変形現象、加
えて光学的な下地段差による光近接効果の全てに対し
て、フォトマスクのパターンを効率良くかつ大変高速に
補正することができる。
【0084】また、以上のように、間接的には近接効果
に関連しているが直接的に近接効果に関連しない補正領
域を前もって抽出しておくことにより、全領域に対して
一括して投影光学像(シミュレーション)の値に基づく
フォトマスクの開口部の線幅の補正(フォトマスク内の
光近接効果に対する補正)を行うことが可能となる。こ
れは、チップレベルないしブロックレベルでの大規模領
域におけるフォトマスクのパターンの自動補正において
は、重要である。
【0085】これらにより、従来と比較して近接効果補
正作業を大幅に軽減し、フォトマスクパターン補正作業
を効率良く統一的に行うことができる。その結果、光近
接効果を含むマスクパターン補正技術の自動化を容易に
することができ、また、チップレベルでのフォトマスク
パターン補正技術を実用化することができる。
【0086】尚、本発明のフォトマスクのパターン補正
方法およびパターン補正装置は、フォトマスクを用いて
露光波長の数倍もしくはそれ以下の微細なフォトレジス
トパターンを露光・現像によってウェハ上に形成するの
に、特に好適である。
【0087】上記では、ポジ型フォトレジストの場合に
ついて説明したが、ネガ型フォトレジストでは、式
(5)における不等号を逆にした式を用いて判定を行う
必要がある。
【0088】〔実施の形態2〕本発明の他の実施形態に
ついて図16ないし図20に基づいて説明すれば、以下
の通りである。本実施の形態に係るフォトマスクのパタ
ーン補正方法では、まず、フォトマスクのレイアウトデ
ータに含まれる複数の層のデータから補正対象となる層
のデータを取り出し、該層データ上における開口(ない
し遮光)パターンをkλ/4NA角以下の矩形または三
角形のメッシュ領域に分割し、各メッシュ領域について
フォトマスクのパターン補正を行う。メッシュ領域のサ
イズは、細かくしすぎると、計算量が増大するので、k
λ/4NA角程度であることが望ましい。
【0089】ここで、kは、プロセス定数と呼ばれるパ
ラメータであり、通常0.4〜1.0である。また、λ
は露光装置の露光波長であり、NAは露光装置の開口数
である。
【0090】実施の形態1の方法では、図6に示すよう
に、補正計算の範囲を特に決めず、周囲のパターン(隣
りのパターン)をメッシュに分割して、隣接の周囲のパ
ターン全域について補正計算を行っていた。これに対
し、本実施の形態の方法では、光近接効果有効領域内で
のみ補正計算を行い、遠くのパターンは補正計算しな
い。
【0091】すなわち、本実施の形態に係るフォトマス
クのパターン補正方法では、露光装置の光学系パラメー
タである露光波長λ、開口数NA、およびコヒーレント
ファクターσと、フォトマスク描画装置における最小描
画寸法δとに基づき、フォトマスクのエッジからの距離
が所定値Leff以下である領域を光近接効果有効領域
(光近接効果によるパターン変形の影響が大きい領域)
として決定し、光近接効果有効領域内でのみ光近接効果
に対するパターン補正、すなわち光近接効果補正を行
う。これにより、フォトマスクパターンを効率良く正確
に補正することができる。
【0092】また、ここで言う光近接効果補正とは、L
SIのフォトマスクパターンがフォトレジストを露光し
た時の露光イメージ(光強度分布)に対してフォトマス
クパターンを補正することを指している。フォトリソグ
ラフィ工程におけるフォトレジストの露光時には開口部
からの光の回り込みの影響が問題となるが、光近接効果
補正では、なるべくその光の回り込みの影響を打ち消す
ようにフォトマスクパターンを補正する。
【0093】以下に、メッシュ領域および光近接効果有
効領域を求める方法について、KrFレーザ露光装置
(露光波長λ=248nm)を用いた場合を例にとっ
て、具体的に説明する。
【0094】この場合、まず、メッシュ領域のサイズS
m(μm)は、以下のようにして求められる。すなわ
ち、例えば、NA=0.35かつk=0.7の場合に
は、 Sm=kλ/4NA=0.7×0.248/(4×0.
35)=0.124 となる。また、NA=0.4かつk=0.7の場合に
は、 Sm=kλ/4NA=0.7×0.248/(4×0.
4)=0.108 となる。
【0095】光近接効果有効領域を求めるための所定値
Leffは、 (aλ/NA)+δ (式中、aは露光装置のコヒーレントファクターに応じ
て決定される正の係数、λは露光装置の露光波長、NA
は露光装置の開口数、δはフォトマスク描画装置の最小
描画寸法を表す)によって求められる。
【0096】所定値Leffは、より具体的には、0.
1≦σ≦0.3のとき、
【0097】
【数5】
【0098】0.3<σ<0.5のとき、
【0099】
【数6】
【0100】0.5≦σのとき、
【0101】
【数7】
【0102】で求められる。例えば、σ=0.2、NA
=0.4、δ=0.2μm、λ=0.248μmの場
合、式(6)より、Leff=0.855となる。
【0103】なお、σが0.1未満の露光装置について
は、現時点で存在せず、将来にも実現されそうにないた
め、考慮していない。また、式(6)〜(8)の式にお
いて、露光装置の光学系収差(球面収差)は、Leff
より十分小さいので、考慮していない。
【0104】式(6)〜(8)の各係数3√2/4、3
√2/3、および3√2/2は、実測データより経験的
に求めたものである。また、フォトマスク描画装置の最
小描画寸法は、露光装置のコヒーレントファクター(位
置合わせ精度)σによって異なるので、式(6)〜
(8)のδ、δ’、およびδ”は、互いに異なる値であ
る。
【0105】次に、光近接効果有効領域の具体例とし
て、図17に示すSRAM(Static Random Access Memo
ry) セルのゲート電極のフォトマスクパターンにおける
光近接効果有効領域を説明する。
【0106】ここで、被メッシュ領域を図17に示す領
域内とすれば、この領域におけるパターンエッジのメッ
シュ領域Aに関する光近接効果補正の見積もりは、図1
7に破線の円で示す光近接効果有効領域内にて行われ
る。この光近接効果有効領域は、フォトマスク上におけ
るメッシュ領域Aを中心とする半径Leffの領域とし
て求められる。
【0107】ところで、図18に示すように、露光量依
存性(感度特性)を表す傾きγ(感度特性曲線の傾斜部
の中心での傾き)が急峻なフォトレジストは、パターン
依存性が低い。従って、このフォトレジストでは、他の
パターンからの影響があっても寸法シフトは起こらない
ので、問題はない。
【0108】これに対し、露光量依存性(感度特性)を
表す傾きγが比較的緩やかなフォトレジストは、周囲か
らのパターン依存性が高く、フォトレジスト現像時の寸
法シフトが生じやすい。フォトレジストは、ある光量か
ら感光し始め、ある光量以上で現像時に除去されるが、
この傾きγが緩やかだと、少ない光量で感光し、膜が減
少する。フォトレジストは、露光量(エネルギー)が少
ない場合には、感光しないので、現像されずにそのまま
残る。
【0109】なお、図18において、d0は現像前のポ
ジ型フォトレジストの膜厚を表し、dは現像後のポジ型
フォトレジストの膜厚を表す。従って、図18のグラフ
の縦軸“d/d0”は、ポジ型フォトレジストの垂直方
向の膜変化(膜厚変化)を表す。また、図18におい
て、塗布時の回転数は3,000rpmであり、ポジ型
フォトレジストの塗布後の膜厚は10,205Åであ
る。さらに、図18には示していないが、ポジ型フォト
レジストのパターン端部には、横方向の膜減少、つまり
寸法シフトが生じる。
【0110】このようにγ値が小さいフォトレジスト
は、少しの光量でも感光するため、光近接効果以上にフ
ォトレジスト現像時の寸法シフトが大きくなり、問題と
なる。そこで、このように現像時の寸法シフトが大きい
場合には、光近接効果補正に加えて、フォトレジスト現
像時の寸法シフトに対する補正、すなわちフォトレジス
ト現像補正も光近接効果有効領域内にて行う。なお、フ
ォトレジスト現像補正とは、フォトレジストを露光し現
像した後の形状に対してフォトマスクパターンを補正す
ることを指す。
【0111】一方、フォトレジストの露光量依存性が急
峻な場合、即ち、フォトレジストの感度特性を表すγ値
(図18に示すフォトレジストの感度特性曲線の傾斜部
分の中心における傾き)が10以上とかなり大きい場
合、露光量の閾値モデルが良く成り立つため、フォトレ
ジスト現像時の寸法シフトを考慮しなくても、光学像イ
メージに基づく光近接効果補正だけで十分な補正を行う
ことができる。したがって、図18に示すようにフォト
レジストの露光依存性が十分急峻な場合、つまり、傾き
γが急峻である(大きい)場合は、フォトレジスト現像
補正は不要である。
【0112】また、傾きが緩やかでγが10未満と小さ
いときは、パターン密度Eenvを計算し、Eenv≧
αであるか否かによりフォトレジスト現像に対する補正
が必要かどうかを判断する。傾きγが急峻だとα値が大
きく、Eenv<αとなり、フォトレジスト現像に対す
る補正は不要となる。
【0113】よって、パターンにおけるEenv≧αの
領域に対しては、光近接効果補正および現像補正の両方
がなされ、Eenv<αの領域に対しては、光近接効果
補正のみがなされる。現像補正は、既に光近接効果の補
正が行われた領域に対してなされるので、現像補正が行
われる領域は、必ず光近接効果補正が行われる。したが
って、現像後の寸法シフトには、光近接効果も考慮され
ている。
【0114】被メッシュ領域の周囲におけるパターン密
度Eenvは、例えば、次の式(9)または式(10)
により概算される。
【0115】
【数8】
【0116】ここで、i(x* 、y* )は投影光学像の
シミュレーションにより規格化された光強度、Ωはパタ
ーン密度が計算される領域、Dsは領域Ωの露光量、Δ
(x,y)は任意の開口メッシュ領域の面積、r(x−
* 、y−y* )はメッシュ領域間の距離、Erfは誤
差関数(error function)である。
【0117】これらの式のうち、式(9)が、単に統計
的な意味で汎用される誤差関数を用いる式(10)より
このケースの光近接効果(物理)に良く当てはまり、よ
り正確であるため、好ましい。
【0118】ところで、γ値が大きい時、廻り込む光の
量より多いある光量以上で現像されるので、廻り込む光
ではフォトレジストが感光せず、現像しても膜厚は変化
しない。γ値が小さいと、光量の少ない廻り込む光でも
フォトレジストが感光するので、現像したときにフォト
レジストの膜厚が減少する。このため、γ値が小さいほ
ど、フォトレジスト現像時の寸法シフトが起こる領域が
大きくなる。
【0119】そこで、γ値やパターン密度Eenvが計
算で求められるのに対し、閾値αは、フォトレジストの
露光感度を表すγ値(図18に示す曲線の勾配γ値)に
応じて、実験データからの経験則によって決定される。
すなわち、各プロセス条件(フォトレジストの種類、べ
ーク温度、現像時間等)において、パターン密度Een
vを種々に変え、現像時における寸法シフトがライン端
部後退等で大きくなって補正が必要となる最小のパター
ン密度Eenvをα値として経験的に決定している。
【0120】次に、本実施の形態に係るフォトマスクの
パターン補正方法を、図16に示すフローチャートを用
いて詳細に説明する。まず、半導体装置の製造工程に用
いるフォトマスクのパターンデータ(例えば、レイアウ
トデータ)を各層のデータ毎にパターン補正装置に入力
する(S51)。
【0121】次に、フォトマスクのパターンデータは、
パターン補正装置の光近接効果補正部に送られる。そし
て、光近接効果補正部で、クリティカルエッジの抽出が
行われる(S52)。クリティカルエッジとは、パター
ン補正が必要な領域、例えば、パターンの疎密が変動し
ていて線幅(ないしはスペース)の補正が必要となる領
域、後退が予想されセリフ(serif) 等の補助パターンが
必要とされる細いライン端部または角部外側等である。
また、セリフとは、パターンの角から突出するように設
けられた小さな補助パターンである。
【0122】次に、レイアウトパターンにおける抽出さ
れたクリティカルエッジを、光近接効果有効領域を基準
にして分割し、さらに各光近接効果有効領域毎に計算用
のメッシュ領域を設定する(S53)。
【0123】次に、フォトマスクのパターンにおけるク
リティカルな角(クリティカルエッジ)の1つについ
て、その角を中心とした半径Leffの領域(光近接効
果有効領域)内でその角がどの程度周囲の影響を受けて
いるかを計算する。さらに、別の角または隣りのメッシ
ュ領域(隣りの辺または隣りの角)を中心とした半径L
effの領域(光近接効果有効領域)内でその角がどの
程度周囲の影響を受けているかを計算する。このように
して、フォトマスクのパターンにおけるクリティカルな
角の各々について、周囲の影響が順次計算される。ま
た、S53では、メッシュ領域を設定する前あるいは後
にLeffの算出および光近接効果有効領域の設定が行
われる。
【0124】次に、光近接効果補正計算を行う(S5
4)。すなわち、光近接効果有効領域を基準にして、S
53にて設定されたメッシュ領域を用いてフォトマスク
パターンの光学像を計算し、ターゲット寸法に対する誤
差が大きい領域でパターンの補正を行う。
【0125】なお、フォトマスクパターンの光学像は、
フォトレジストがないとした場合の空間像(Aeria
l image)、あるいは、フォトレジストが存在す
るとしてフォトレジストの特性である屈折率や吸収率な
どを考慮した場合の潜像(Latent image)
である。
【0126】次に、フォトレジスト感度特性の判定を行
う(S55)。すなわち、所定のフォトリソグラフィ・
プロセス条件におけるフォトレジスト感度特性より、フ
ォトレジストの露光量依存性を評価し、フォトレジスト
のγ値が10以上と十分大きい場合はS59へ進み、フ
ォトレジストのγ値が10未満である場合はS56へ進
む。
【0127】次に、光近接効果を評価する箇所につい
て、前記式(9)あるいは式(10)に基づいて光近接
効果有効領域内で周囲のパターン密度を計算する(S5
6)。
【0128】次に、上記パターン密度計算で得られた周
囲のパターン密度から、そのプロセスにおいて現像補正
が必要かどうか判定する(S57)。例えば、ポジ型の
フォトレジストプロセス、例えば、ポジ型の化学増幅型
(CA;Chemical Amplified)フォトレジストプロセス
なら、パターン密度Eenvが所定値α以上の領域につ
いて現像補正を行う。一方、パターン密度Eenvがα
未満の場合は、次のS59に進む。なお、化学増幅型フ
ォトレジストとは、露光により酸を発生し、その酸によ
って反応を起こすフォトレジストである。
【0129】次に、フォトレジスト現像時における線幅
シフト量を考慮したパターン補正(フォトレジスト現像
補正)を行い(S58)、ステップS59に進む。
【0130】次に、補正終了判定を行う(S59)。す
なわち、フォトマスク全体のパターン内でクリティカル
な部分の全て、つまり、S52のクリティカルエッジの
抽出で抽出された全てのクリティカルエッジについて補
正を行ったか確認する。そして、補正を行っていないク
リティカルエッジがあれば、S54に戻る。S59から
S54に戻る場合、S54における光近接効果補正は、
前回の光近接効果補正と同一のLeffを用いて行われ
る。最後に、S52で抽出された全てのクリティカルエ
ッジについてパターン補正を行ったら、作業を終了す
る。以上の方法により、例えば、フォトマスクパターン
は図19に示すパターンに補正される。
【0131】補正時の光近接効果計算範囲(計算領域)
の半径r(μm)に対する補正精度(計算精度)および
計算時間の変化を、本実施形態の方法と従来の方法との
間で比較した結果を図20に示す。図20より、Lef
fを用いる本実施形態の方法では、Leffを用いない
従来の方法と比較して計算時間が大きく短縮されている
ことが分かる。
【0132】以上のように、本実施形態のフォトマスク
のパターン補正方法では、Leffを用いて光近接効果
有効領域を定め、シミュレーションおよび実測データを
元にフォトマスクパターンを補正し、周囲のパターン密
度を計算して現像時寸法シフト領域を抽出する。これに
より、従来の光近接効果補正作業を大幅に低減し、フォ
トマスクパターン補正作業を効率良く正確に行うことが
できる。
【0133】〔実施の形態3〕本発明のさらに他の実施
形態について図21に基づいて説明すれば、以下の通り
である。本実施の形態に係るフォトマスクのパターン補
正方法では、図21に示すように、図16のS51と同
様にしてパターンデータが入力された(S61)後、所
定値Leffを用いて、全フォトマスクではなく問題と
なりそうな領域(パターン端部やパターンが密な領域)
でメッシュ領域の設定を行う(S62)。
【0134】その後、S54と同様にして、所定値Le
ffを用いて光近接効果に対する補正を行う(S6
3)。一方、図1のS3と同様にして下地段差の有無を
判定する(S64)。次いで、下地段差が存在しない場
合には、S67へ進む。下地段差が存在する場合には、
S4と同様にして近接効果有効領域を下地構造による補
正が必要な領域として抽出し(S65)、S5と同様に
して下地段差補正を行った(S66)後に、S67へ進
む。
【0135】その後のS67〜S71については、S5
4〜58と同様であるので、説明を省略する。S67〜
S71の後、S11と同様にして全てのメッシュ領域の
処理が終了したかを判定し(S72)、終了している場
合には、S12と同様にしてシミュレーション結果と目
標パターンとの誤差が所定値以下であるかが判定される
(S73)。そして、誤差が所定値以下でなければ、S
63に戻り、誤差が所定値以下であれば、終了する。
【0136】以上のように、本実施の形態に係るフォト
マスクのパターン補正方法では、実施の形態2の方法に
加えて近接効果有効領域に下地段差補正を行うので、フ
ォトマスクパターンの寸法ずれをさらに正確に補正する
ことができる。
【0137】
【発明の効果】本発明のフォトマスクのパターン補正方
法は、以上のように、複数のフォトマスクのパターンを
表すパターンデータを一括して取り込み、フォトレジス
ト内の光近接効果に対する補正を行うにあたり、パター
ンデータにおける各フォトマスクの全領域から、フォト
レジスト現像時の端部後退及びパターン変形に対する補
正を行うべき現像補正領域を自動的に抽出して、該現像
補正領域のみにフォトレジストの現像に対する補正を行
うとともに、パターンデータにおける各フォトマスクの
全領域から、フォトレジストの下地構造及び材質による
光近接効果に対する補正を行うべき下地補正領域を自動
的に抽出して、該下地補正領域のみにフォトレジストの
下地構造及び材質に対する補正を行う方法である。
【0138】上記方法によれば、補正の必要な領域を抽
出したうえで、フォトレジストの現像に対する補正を行
うとともに、フォトレジストの下地構造及び材質に対す
る補正を行うので、フォトマスクのパターンを高精度か
つ高速に補正することができるという効果を奏する。
【0139】本発明の他のフォトマスクのパターン補正
方法は、以上のように、フォトマスク描画装置により加
工されたフォトマスクを通してフォトレジストを露光装
置により露光することにより設計寸法通りのフォトレジ
ストパターンをウェハ上に形成するために用いられるフ
ォトマスクのパターンを補正する方法であって、露光装
置の露光波長、開口数、およびコヒーレントファクター
と、フォトマスク描画装置の最小描画寸法とに基づいて
フォトマスクのエッジからの距離が所定値以下である領
域を光近接効果有効領域として決定し、該光近接効果有
効領域内でパターン補正を行う方法である。
【0140】これにより、微細なフォトマスクのパター
ニングにおいて問題となる光近接効果およびパターン密
度に依存したフォトレジスト現像時の端部後退現象等、
物理的および化学的な原因によるフォトマスクパターン
の寸法ずれを効率良く正確に補正することができ、光近
接効果補正を含むフォトマスクパターン補正技術の自動
化を容易にすることができるという効果を奏する。
【0141】本発明のフォトマスクは、以上のように、
上記パターン補正方法によりパターン補正されたもので
ある。上記構成によれば、光近接効果およびパターン密
度に依存したフォトレジスト現像時の端部後退現象等の
寸法ずれが生じる場合でも設計寸法通りのフォトレジス
トパターンをウェハ上に形成することが可能なフォトマ
スクを提供することができるという効果を奏する。
【0142】本発明のフォトマスクのパターン補正装置
は、以上のように、フォトマスクを通してフォトレジス
トを露光した後に該フォトレジストを現像することによ
ってウェハ上に形成されるフォトレジストのパターンが
所望のパターンとなるように、フォトマスクのパターン
を補正する装置であって、複数のフォトマスクのパター
ンを表すパターンデータを一括して取り込むパターンデ
ータ入力部と、パターンデータにおける各フォトマスク
の全領域から、フォトレジスト現像時の端部後退及びパ
ターン変形に対する補正を行うべき現像補正領域を自動
的に抽出する現像補正領域抽出部と、上記現像補正領域
のみにフォトレジストの現像に対する補正を行う現像補
正部と、パターンデータにおける各フォトマスクの全領
域から、フォトレジストの下地構造及び材質による光近
接効果に対する補正を行うべき下地補正領域を自動的に
抽出する下地補正領域抽出部と、上記下地補正領域のみ
にフォトレジストの下地構造及び材質に対する補正を行
う下地補正部と、パターンデータにおける各フォトマス
クの全領域について、フォトレジスト内の光近接効果に
対する補正を行う光近接効果補正部とを備える構成であ
る。
【0143】上記構成によれば、補正の必要な領域を抽
出したうえで、フォトレジストの現像に対する補正を行
うとともに、フォトレジストの下地構造及び材質に対す
る補正を行うので、フォトマスクのパターンを高精度か
つ高速に補正することができるという効果を奏する。
【図面の簡単な説明】
【図1】本発明にかかるフォトマスクのパターン補正方
法の一例を示すフローチャートである。
【図2】図1に示すパターン補正方法における現像補正
の方法を示すフローチャートである。
【図3】図1に示すパターン補正方法における下地段差
補正の方法を示すフローチャートである。
【図4】本発明にかかるフォトマスクのパターン補正装
置の一例を示すブロック図である。
【図5】目標とするフォトレジストのパターンに対応す
るフォトマスクのパターンを示す図である。
【図6】フォトマスクのメッシュ領域分割例を示す図で
ある。
【図7】図5に示すフォトマスクにおける現像補正領域
を示す図である。
【図8】フォトレジストの下地段差による近接効果有効
領域を示すための説明図であり、(a)は断面図、
(b)は平面図である。
【図9】図5に対応するフォトレジストの下地段差によ
る線幅補正データベースの一例を示す説明図である。
【図10】本発明のパターン補正方法で補正されたフォ
トマスクのパターンの一例を示す図である。
【図11】投影光学像のみに基づいて補正されたフォト
マスクのパターンの一例を示す図である。
【図12】図10に示すパターンのフォトマスクを用い
て作成したフォトレジストを示す図である。
【図13】従来のフォトマスクのパターン補正方法を示
すフローチャートである。
【図14】従来のフォトマスクのパターン補正方法を説
明するための説明図である。
【図15】上記従来のパターン補正方法に用いる補正テ
ーブルを示す図である。
【図16】本発明にかかるフォトマスクのパターン補正
方法の他の一例を示すフローチャートである。
【図17】SRAMセルのゲート電極のフォトマスクパ
ターンを示す平面図である。
【図18】あるポジ型フォトレジストの感度特性(露光
量依存性)曲線を示すグラフである。
【図19】本発明にかかるフォトマスクのパターン補正
方法により補正されたフォトマスクパターン一例を示す
平面図である。
【図20】本実施形態の方法および従来の方法におけ
る、光近接効果計算範囲の半径に対する補正精度および
計算時間の変化を示すグラフである。
【図21】本発明にかかるフォトマスクのパターン補正
方法のさらに他の一例を示すフローチャートである。
【符号の説明】
1 パターン補正装置 2 パターンデータ入力部 3 パターン密度演算部 4 現像補正領域抽出部 5 現像補正部 6 下地段差判定部 7 下地段差補正領域抽出部(下地補正領域抽出部) 8 下地段差補正部 9 光近接効果補正部 10 誤差判定部

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】フォトマスクを通してフォトレジストを露
    光した後に該フォトレジストを現像することによってウ
    ェハ上に形成されるフォトレジストのパターンが所望の
    パターンとなるように、フォトマスクのパターンを補正
    する方法であって、 複数のフォトマスクのパターンを表すパターンデータを
    一括して取り込み、 パターンデータにおける各フォトマスクの全領域から、
    フォトレジスト現像時の端部後退やパターン変形に対す
    る補正を行うべき現像補正領域を自動的に抽出して、該
    現像補正領域のみにフォトレジストの現像に対する補正
    を行うとともに、 パターンデータにおける各フォトマスクの全領域から、
    フォトレジストの下地構造及び材質による光近接効果に
    対する補正を行うべき下地補正領域を自動的に抽出し
    て、該下地補正領域のみにフォトレジストの下地構造及
    び材質に対する補正を行うことを特徴とするフォトマス
    クのパターン補正方法。
  2. 【請求項2】各フォトマスクのパターンデータについ
    て、フォトマスクの全領域をメッシュ領域に分割して、
    各メッシュ領域ごとにパターン密度を求め、該パターン
    密度やレジストの感度特性に基づいて上記現像補正領域
    を抽出することを特徴とする請求項1記載のフォトマス
    クのパターン補正方法。
  3. 【請求項3】フォトレジストの下地構造および材質デー
    タに基づいてフォトレジストの下地に光学的段差が存在
    するか否かを判定し、光学的段差が存在する場合には光
    学的下地段差からの距離に基づいて下地補正領域を抽出
    することを特徴とする請求項1または2に記載のフォト
    マスクのパターン補正方法。
  4. 【請求項4】フォトマスク描画装置により加工されたフ
    ォトマスクを通してフォトレジストを露光装置により露
    光することにより設計寸法通りのフォトレジストパター
    ンをウェハ上に形成するために用いられるフォトマスク
    のパターンを補正する方法であって、 露光装置の露光波長、開口数、およびコヒーレントファ
    クターと、フォトマスク描画装置の最小描画寸法とに基
    づいてフォトマスクのエッジからの距離が所定値以下で
    ある領域を光近接効果有効領域として決定し、該光近接
    効果有効領域内でパターン補正を行うことを特徴とする
    フォトマスクのパターン補正方法。
  5. 【請求項5】光近接効果有効領域で開口パターン密度を
    求め、上記フォトレジストがポジ型フォトレジストであ
    る場合には開口パターン密度が閾値以上である領域にの
    み、上記フォトレジストがネガ型フォトレジストである
    場合には開口パターン密度が閾値以下である領域にの
    み、フォトレジスト現像時の寸法シフトに対する補正を
    行うことを特徴とする請求項4記載のフォトマスクのパ
    ターン補正方法。
  6. 【請求項6】上記閾値が、γ値を含むフォトレジストの
    露光感度特性より求めたものであることを特徴とする請
    求項5記載のフォトマスクのパターン補正方法。
  7. 【請求項7】請求項1ないし6のいずれか1項に記載の
    パターン補正方法によりパターン補正されたことを特徴
    とするフォトマスク。
  8. 【請求項8】フォトマスクを通してフォトレジストを露
    光した後に該フォトレジストを現像することによってウ
    ェハ上に形成されるフォトレジストのパターンが所望の
    パターンとなるように、フォトマスクのパターンを補正
    する装置であって、 複数のフォトマスクのパターンを表すパターンデータを
    一括して取り込むパターンデータ入力部と、 パターンデータにおける各フォトマスクの全領域から、
    フォトレジスト現像時の端部後退やパターン変形に対す
    る補正を行うべき現像補正領域を自動的に抽出する現像
    補正領域抽出部と、 上記現像補正領域のみにフォトレジストの現像に対する
    補正を行う現像補正部と、 パターンデータにおける各フォトマスクの全領域から、
    フォトレジストの下地構造及び材質による光近接効果に
    対する補正を行うべき下地補正領域を自動的に抽出する
    下地補正領域抽出部と、 上記下地補正領域のみにフォトレジストの下地構造及び
    材質に対する補正を行う下地補正部と、 パターンデータにおける各フォトマスクの全領域につい
    て、フォトレジスト内の光近接効果に対する補正を行う
    光近接効果補正部とを備えていることを特徴とするフォ
    トマスクのパターン補正装置。
JP06744198A 1997-03-24 1998-03-17 フォトマスクのパターン補正方法およびフォトマスクのパターン補正装置 Expired - Lifetime JP3406506B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP06744198A JP3406506B2 (ja) 1997-03-24 1998-03-17 フォトマスクのパターン補正方法およびフォトマスクのパターン補正装置
US09/046,564 US6137901A (en) 1997-03-24 1998-03-24 Photomask pattern correcting method and photomask corrected by the same and photomask pattern correcting device
US09/657,167 US6335981B1 (en) 1997-03-24 2000-09-07 Photomask pattern correcting method and photomask corrected by the same and photomask pattern correcting device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP9026697 1997-03-24
JP9-90266 1997-03-24
JP06744198A JP3406506B2 (ja) 1997-03-24 1998-03-17 フォトマスクのパターン補正方法およびフォトマスクのパターン補正装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2002379740A Division JP3853731B2 (ja) 1997-03-24 2002-12-27 フォトマスクのパターン補正方法およびそれにより補正されたフォトマスク

Publications (2)

Publication Number Publication Date
JPH10326010A true JPH10326010A (ja) 1998-12-08
JP3406506B2 JP3406506B2 (ja) 2003-05-12

Family

ID=26408657

Family Applications (1)

Application Number Title Priority Date Filing Date
JP06744198A Expired - Lifetime JP3406506B2 (ja) 1997-03-24 1998-03-17 フォトマスクのパターン補正方法およびフォトマスクのパターン補正装置

Country Status (2)

Country Link
US (2) US6137901A (ja)
JP (1) JP3406506B2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004095960A (ja) * 2002-09-02 2004-03-25 Murata Mfg Co Ltd 電子部品の製造方法
US7103870B2 (en) 1999-03-04 2006-09-05 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
JP2007057948A (ja) * 2005-08-25 2007-03-08 Toshiba Corp パターン検証方法、そのプログラム、半導体装置の製造方法
JP2014182220A (ja) * 2013-03-18 2014-09-29 Fujitsu Ltd 欠陥箇所予測装置、識別モデル生成装置、欠陥箇所予測プログラムおよび欠陥箇所予測方法
JP2014182219A (ja) * 2013-03-18 2014-09-29 Fujitsu Ltd 欠陥箇所予測装置、識別モデル生成装置、欠陥箇所予測プログラムおよび欠陥箇所予測方法

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3406506B2 (ja) * 1997-03-24 2003-05-12 シャープ株式会社 フォトマスクのパターン補正方法およびフォトマスクのパターン補正装置
JP2000020564A (ja) * 1998-06-29 2000-01-21 Mitsubishi Electric Corp レイアウトパターンデータ補正装置、レイアウトパターンデータ補正方法、その補正方法を用いた半導体装置の製造方法、および、半導体装置の製造プログラムを記録した記録媒体
JP2000235251A (ja) * 1999-02-16 2000-08-29 Sony Corp 露光パターンの補正方法、露光方法、露光装置、フォトマスクおよび半導体装置
JP2000349162A (ja) * 1999-06-09 2000-12-15 Mitsubishi Electric Corp 自動配置配線装置および自動配置配線方法
JP2001028060A (ja) * 1999-07-15 2001-01-30 Toshiba Corp 微細パターン測定方法、微細パターン測定装置、及び微細パターン測定プログラムを格納したコンピュータ読み取り可能な記録媒体
US6519759B2 (en) * 2000-04-19 2003-02-11 Nec Corporation Photomask pattern shape correction method and corrected photomask
US6571383B1 (en) * 2000-04-28 2003-05-27 Infineon Technologies, Ag Semiconductor device fabrication using a photomask designed using modeling and empirical testing
JP2002083757A (ja) * 2000-07-05 2002-03-22 Mitsubishi Electric Corp レイアウトパターンデータ補正装置、補正方法及び半導体装置の製造方法並びに記録媒体
JP2002122978A (ja) * 2000-10-18 2002-04-26 Sony Corp マスクデータの検証方法および検証プログラムを記録したコンピュータ読み取り可能な記録媒体
US6596444B2 (en) * 2000-12-15 2003-07-22 Dupont Photomasks, Inc. Photomask and method for correcting feature size errors on the same
JP2002296754A (ja) * 2001-03-29 2002-10-09 Toshiba Corp マスクの製造方法
US6701511B1 (en) * 2001-08-13 2004-03-02 Lsi Logic Corporation Optical and etch proximity correction
JP3708873B2 (ja) * 2001-12-27 2005-10-19 株式会社東芝 パターン補正方法および半導体装置の製造方法
JP4152647B2 (ja) * 2002-03-06 2008-09-17 富士通株式会社 近接効果補正方法及びプログラム
DE10223249A1 (de) * 2002-05-22 2003-12-18 Infineon Technologies Ag Vorrichtung, Verfahren und Maske zur Strukturierung eines Substrates
CN1285112C (zh) * 2002-11-26 2006-11-15 松下电器产业株式会社 掩模图形的检验方法和检验装置
JP3910546B2 (ja) 2003-02-21 2007-04-25 株式会社東芝 リソグラフィシミュレーション方法、マスクパターン補正方法及び処理基板形状補正方法
JP2004279643A (ja) * 2003-03-14 2004-10-07 Renesas Technology Corp フォトマスクの製造方法
JP2006171113A (ja) * 2004-12-13 2006-06-29 Toshiba Corp マスクデータ作成装置、マスクデータ作成方法、露光マスク、半導体装置の製造方法及びマスクデータ作成プログラム
JP2006189724A (ja) * 2005-01-07 2006-07-20 Toshiba Corp パターン抽出システム、測定ポイント抽出方法、パターン抽出方法及びパターン抽出プログラム
JP4843241B2 (ja) * 2005-03-28 2011-12-21 株式会社東芝 光強度分布シミュレーションシステム、光強度分布シミュレーション方法、マスクパターン補正方法、及び光強度分布シミュレーションプログラム
US7393616B2 (en) * 2006-04-04 2008-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Line end spacing measurement
JP2008071928A (ja) * 2006-09-14 2008-03-27 Nuflare Technology Inc 描画パターンのリサイズ方法及び荷電粒子ビーム描画方法
KR100809705B1 (ko) * 2006-09-26 2008-03-06 삼성전자주식회사 반도체 소자의 패턴 예측을 위한 이미지 콘투어 형성방법
US7934177B2 (en) * 2007-02-06 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for a pattern layout split
US8335369B2 (en) * 2007-02-28 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Mask defect analysis
JP6039910B2 (ja) * 2012-03-15 2016-12-07 キヤノン株式会社 生成方法、プログラム及び情報処理装置
JP2014055789A (ja) * 2012-09-11 2014-03-27 Nuflare Technology Inc パターン評価方法およびパターン評価装置
US9047658B2 (en) * 2013-11-05 2015-06-02 United Microelectronics Corp. Method of optical proximity correction
CN107527338B (zh) * 2017-08-15 2019-10-11 上海华虹宏力半导体制造有限公司 用于opc验证的图形分类方法
CN111275695B (zh) * 2020-02-10 2023-06-02 上海集成电路研发中心有限公司 一种半导体器件的缺陷检查方法、装置和可读存储介质

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02181909A (ja) * 1989-01-09 1990-07-16 Nikon Corp 電子線露光装置
JP2797362B2 (ja) * 1989-01-18 1998-09-17 日本電気株式会社 半導体装置のパターン形成方法
US5682323A (en) * 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
JP3409493B2 (ja) * 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
JP3583559B2 (ja) * 1996-09-30 2004-11-04 株式会社ルネサステクノロジ 光近接効果補正方法
JPH10153851A (ja) * 1996-11-22 1998-06-09 Sony Corp 露光データの補正方法,露光方法,フォトマスク,半導体装置,露光データの補正装置,露光装置及び半導体装置の製造装置
JP3406506B2 (ja) * 1997-03-24 2003-05-12 シャープ株式会社 フォトマスクのパターン補正方法およびフォトマスクのパターン補正装置

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7103870B2 (en) 1999-03-04 2006-09-05 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US7404165B2 (en) 1999-03-04 2008-07-22 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US8095894B2 (en) 1999-03-04 2012-01-10 Panasonic Corporation Changing a design rule for forming LSI pattern based on evaluating effectiveness of optical proximity corrected patterns
JP2004095960A (ja) * 2002-09-02 2004-03-25 Murata Mfg Co Ltd 電子部品の製造方法
JP2007057948A (ja) * 2005-08-25 2007-03-08 Toshiba Corp パターン検証方法、そのプログラム、半導体装置の製造方法
US7987435B2 (en) 2005-08-25 2011-07-26 Kabushiki Kaisha Toshiba Pattern verification method, program thereof, and manufacturing method of semiconductor device
US8127265B2 (en) 2005-08-25 2012-02-28 Kabushiki Kaisha Toshiba Pattern verification method, program thereof, and manufacturing method of semiconductor device
JP2014182220A (ja) * 2013-03-18 2014-09-29 Fujitsu Ltd 欠陥箇所予測装置、識別モデル生成装置、欠陥箇所予測プログラムおよび欠陥箇所予測方法
JP2014182219A (ja) * 2013-03-18 2014-09-29 Fujitsu Ltd 欠陥箇所予測装置、識別モデル生成装置、欠陥箇所予測プログラムおよび欠陥箇所予測方法

Also Published As

Publication number Publication date
JP3406506B2 (ja) 2003-05-12
US6335981B1 (en) 2002-01-01
US6137901A (en) 2000-10-24

Similar Documents

Publication Publication Date Title
JPH10326010A (ja) フォトマスクのパターン補正方法およびそれにより補正されたフォトマスク、並びにフォトマスクのパターン補正装置
US6691297B1 (en) Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US7735053B2 (en) Correction method and correction system for design data or mask data, validation method and validation system for design data or mask data, yield estimation method for semiconductor integrated circuit, method for improving design rule, mask production method, and semiconductor integrated circuit production method
US7646906B2 (en) Computer-implemented methods for detecting defects in reticle design data
US11061318B2 (en) Lithography model calibration
US9754068B2 (en) Method, computer readable storage medium and computer system for creating a layout of a photomask
KR20170047101A (ko) Opc 이용한 마스크 제조방법 및 반도체 소자 제조방법
CN110943022B (zh) 半导体装置的制造方法
US11675958B2 (en) Lithography simulation method
CN109559979A (zh) 集成电路制造方法
US20080320435A1 (en) Optical proximity correction improvement by fracturing after pre-optical proximity correction
US7313508B2 (en) Process window compliant corrections of design layout
US7543256B1 (en) System and method for designing an integrated circuit device
US7470492B2 (en) Process window-based correction for photolithography masks
US6261724B1 (en) Method of modifying a microchip layout data set to generate a predicted mask printed data set
JP3853731B2 (ja) フォトマスクのパターン補正方法およびそれにより補正されたフォトマスク
EP1197802B1 (en) Method of optical proximity correction
Liebmann et al. Comprehensive evaluation of major phase-shift mask technologies for isolated gate structures in logic designs
US7422829B1 (en) Optical proximity correction (OPC) technique to compensate for flare
CN109522618B (zh) 改善基底反射导致离子注入层光刻缺陷的方法
JP2004157160A (ja) プロセスモデル作成方法、マスクパターン設計方法、マスクおよび半導体装置の製造方法
US8584058B2 (en) Methods for defining evaluation points for optical proximity correction and optical proximity correction methods including same
TW202225826A (zh) 改善光學近端校正技術的方法及系統
CN116520634A (zh) 对光学邻近修正光学模型筛选和评估的方法
JP4818281B2 (ja) 工程の管理方法、半導体装置の製造方法、フォトマスクの製造方法およびプログラム

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080307

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090307

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100307

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100307

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110307

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120307

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120307

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130307

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130307

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140307

Year of fee payment: 11

EXPY Cancellation because of completion of term