JPH10308447A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JPH10308447A
JPH10308447A JP9115877A JP11587797A JPH10308447A JP H10308447 A JPH10308447 A JP H10308447A JP 9115877 A JP9115877 A JP 9115877A JP 11587797 A JP11587797 A JP 11587797A JP H10308447 A JPH10308447 A JP H10308447A
Authority
JP
Japan
Prior art keywords
wiring
layer
etching
resist pattern
connection hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9115877A
Other languages
English (en)
Other versions
JP3667493B2 (ja
Inventor
Takashi Tawara
傑 田原
Hiroshi Naito
寛 内藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yamaha Corp
Original Assignee
Yamaha Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yamaha Corp filed Critical Yamaha Corp
Priority to JP11587797A priority Critical patent/JP3667493B2/ja
Priority to US09/072,799 priority patent/US6147003A/en
Publication of JPH10308447A publication Critical patent/JPH10308447A/ja
Application granted granted Critical
Publication of JP3667493B2 publication Critical patent/JP3667493B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 絶縁膜に接続孔を形成するためのドライエッ
チングを含む半導体装置の製造方法に関する。 【解決手段】 半導体基板上に、AlまたはAl合金の
配線層上に、レジストパターンを形成する工程とこれを
マスクとして、配線パターンを形成する工程と、配線パ
ターンを覆って半導体基板上に層間絶縁膜を形成する工
程と、層間絶縁膜上にレジスト層を塗布し、パターニン
グすることによって接続孔用レジストパターンを形成す
る工程と、接続孔用レジストパターンをマスクとして層
間絶縁膜をフッ素を含むエッチングガスを用いてドライ
エッチングし、配線パターンに達する接続孔を形成する
工程と、水、アルコール、ピリジンのいずれか、または
これらの組み合わせから成る液体で半導体基板を洗浄す
る工程と、続いて、接続孔用レジストパターンをアッシ
ングする工程とを含む。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置の製造
方法に関し、特に絶縁膜に接続孔を形成するためのドラ
イエッチングを含む半導体装置の製造方法に関する。
【0002】
【従来の技術】多層配線を有する半導体装置において、
配線層間の絶縁は層間絶縁膜によって行われる。配線層
間の電気的接続を形成するために、レジストパターンを
用いて層間絶縁膜を貫通する接続孔が形成される。高い
パターン精度を得るために異方性エッチングまたは等方
性エッチングと異方性エッチングとの組み合わせが用い
られる。層間絶縁膜の異方性エッチングにはCF4 、C
HF3 、C2 6 、C48 等のフッ素を含むエッチン
グガスが用いられる。接続孔形成後、レジストパターン
は除去される。
【0003】ところで、接続孔形成工程終了後、接続孔
部にクラウンと呼ばれる残さが残ることがある。クラウ
ンを残したまま引き続く工程を行うと歩留り低下、信頼
性低下の原因となる。そこでクラウンを除去する種々の
方法が提案されている。
【0004】特開平6−37188号は、アルミニウム
配線上のレジストをアッシングする際に、まずレジスト
を部分的にアッシング(ハーフアッシング)し、その後
発煙硝酸により残りのレジストとクラウンを同時に除去
する方法を提案している。
【0005】しかしながら、この方法をアルミニウムま
たはアルミニウム合金の層とその上に形成したTi化合
物等のキャップ層とを含む積層配線に適用すると、キャ
ップ層が硝酸によって消失してしまうという問題が生じ
る。
【0006】特開平6−77178号は、アルミニウム
合金層の上にTiON反射防止膜を積層した配線を有す
る半導体装置の製造方法においてクラウンを除去する方
法を提案している。
【0007】レジストパターンを用いて層間絶縁膜を貫
通し、アルミニウム合金層に達する接続孔を形成する
際、接続孔を途中まで形成してから、一旦エッチングを
停止し、酸素プラズマによるアッシングによってレジス
トパターンの側壁を後退させてから、エッチングを再開
する。レジストと接続孔との側壁に形成される再付着物
層を分断することで、その後の硝酸等による再付着物層
の除去を容易にしている。
【0008】しかしながら、エッチングの途中でレジス
トパターンを後退させるとエッチングの精度は低下す
る。また、硝酸を用いて再付着物層を除去するとアルミ
ニウム合金層上のTiON反射防止膜等を残しておきた
い場合にも消失してしまう恐れがある。アルミニウム合
金層上にTi化合物等のキャップ層を形成した場合も同
様である。
【0009】特開平7−99188号は、層間絶縁膜を
貫通してアルミニウム合金層に達する接続孔を形成する
際に、O2 を含まないガスに窒素を添加してエッチング
を行う方法を提案している。
【0010】アルミニウムがスパッタされて側壁上に再
付着しても再付着物が硬化することを防止できる。エッ
チング後に硝酸洗浄と水洗浄を行う。
【0011】しかしながら、この方法をアルミニウム合
金層上にTi合金等のキャップ層を設けた配線構造に適
用すると、硝酸によってキャップ層が消失してしまうと
いう問題が生じる。
【0012】
【発明が解決しようとする課題】以上説明したように、
従来の技術によれば、絶縁層を貫通して配線層に達する
接続孔をエッチングする際発生するクラウンを除去しよ
うとすると、配線層に影響を与える可能性が高かった。
【0013】本発明の目的は、配線層に与える影響が少
なく、クラウンを有効に除去することの可能な半導体装
置の製造方法を提供することである。
【0014】
【課題を解決するための手段】本発明の一観点によれ
ば、a)半導体基板上に、AlまたはAl合金から成る
層、あるいはAlまたはAl合金から成る層とその上に
形成したTiまたはTi合金から成る層との積層を含む
配線層を形成する工程と、b)前記配線層上にレジスト
層を塗布し、パターニングすることによって配線用レジ
ストパターンを形成する工程と、c)前記配線用レジス
トパターンをマスクとして、前記配線層をパターニング
することにより配線パターンを形成する工程と、d)前
記配線パターンを覆って前記半導体基板上に層間絶縁膜
を形成する工程と、e)前記層間絶縁膜上にレジスト層
を塗布し、パターニングすることによって接続孔用レジ
ストパターンを形成する工程と、f)前記接続孔用レジ
ストパターンをマスクとして前記層間絶縁膜をフッ素を
含むエッチングガスを用いてドライエッチングし、前記
配線パターンに達する接続孔を形成する工程と、g)工
程f)に続いて水、アルコール、ピリジンのいずれか、
またはこれらの組み合わせから成る液体で前記半導体基
板を洗浄する工程と、h)工程g)に続いて、前記接続
孔用レジストパターンをアッシングする工程とを含む半
導体装置の製造方法が提供される。
【0015】クラウンは、層間絶縁膜を貫通して配線層
に達する接続孔のエッチングにおいてオーバーエッチン
グを行う際、配線層の表面がイオンによりスパッタさ
れ、AlやTiのフッ化物が接続孔の側壁やレジストパ
ターンの側壁に付着することが原因と考えられる。これ
らの付着物がレジスト剥離のアッシングの際に酸化され
ると、除去が困難な形状不定の安定な酸化物になってし
まう。
【0016】ところが、Alのフッ化物AlF3 は、2
5℃において水に対する溶解度が0.559g/100
mlあり、水に可溶性である。また、Tiのフッ化物T
iF 4 は硫酸、アルコール、ピリジン、水に溶け、分解
されることが知られている。ただし、水を用いる場合に
は水の温度は室温より低いことが好ましい。
【0017】アッシングによりAlF3 やTiF4 が酸
化される前にAlF3 やTiF4 を溶解させ、接続孔側
壁から取り去ることによりクラウンの発生を防止できる
と考えられる。
【0018】
【発明の実施の形態】以下、図面を参照して本発明の実
施例を説明する。
【0019】図1(A)に示すように、Si基板1の表
面上には、絶縁層2が形成されている。絶縁層2は、フ
ィールド酸化膜であってもよいし、Si基板1表面部分
にトランジスタ等の回路要素を形成した後、その表面を
覆って形成された層間絶縁膜であってもよい。絶縁層2
は、例えばSiO2 である。
【0020】絶縁層2の表面上に、TiN膜3a、Al
合金層3b、TiN層またはTiW層3cの積層から成
る配線層3が堆積されている。Al合金は、たとえばA
l−Si、Al−Cu、Al−Si−Cu等である。な
お、Al合金の代わりにAlを用いてもよい。また、T
iN膜の代わりにTiW等の他のTi合金膜またはTi
膜を用いることもできる。Ti膜とTiN膜の積層を用
いてもよい。
【0021】配線層3の上に、ノボラックレジスト等の
レジスト膜を塗布し、露光、現像することによってレジ
ストパターン4を作成する。レジストパターン4をエッ
チングマスクとして用い、下の配線層3を異方的にエッ
チングする。この配線層3のエッチングは、たとえば誘
導結合プラズマエッチング装置を用いて行うことができ
る。
【0022】図2は、誘導結合プラズマエッチング装置
の構成例を示す。エッチングチャンバ11は、上部に誘
電体窓板12を有し、下部にウエハのサセプタとなる底
部電極13を有する。誘電体窓板12、底部電極13を
含むエッチングチャンバ11は真空排気可能な気密容器
を構成する。底部電極13には、高周波電源14から1
3,56MHzの高周波電力が供給される。
【0023】また、誘電体窓板12の上には、誘導コイ
ル15が配置されており、誘導コイル15は高周波電源
16に接続されている。高周波電源16は13.56M
Hzの高周波電力を誘導コイル15に供給する。
【0024】図示しないガス導入口から塩素系ガス等の
エッチングガスを導入し、排気口から排気することによ
り、エッチングチャンバ11内を適当な圧力のエッチン
グガス雰囲気に維持することができる。エッチングガス
を導入した状態で、エッチングチャンバ11内にRF電
力を供給することにより、プラズマ19を発生させるこ
とができる。
【0025】たとえば、エッチングガスとしてCl2
BCl3 /CHF3 (流量比:50/50/5scc
m)を用い、チャンバ内圧力を10mTorrに保ち、
誘導コイル15に350Wの高周波電力を供給し、底部
電極13に130Wの高周波電力を供給することによっ
て配線層のドライエッチングを行う。
【0026】このようなドライエッチングにより、図1
(A)に示すように、レジストパターン4の形状に沿っ
た形に配線層3が異方的にエッチングされる。なお、誘
導結合プラズマエッチングの代わりに平行平板型プラズ
マエッチング等の他のドライエッチングを用いてもよ
い。その後、レジストパターン4は除去する。
【0027】図1(B)に示すように、パターニングし
た配線層3を覆ってSi基板1表面上に層間絶縁膜5を
堆積する。たとえば、ソースガスとしてテトラエキシシ
ラン(TEOS)を用い、プラズマCVDによりTEO
S酸化膜を厚さ500nm堆積させる。TEOS酸化膜
は、平坦化機能を有し、配線パターン3と比べ平坦化さ
れた表面を形成する。他の種類の酸化膜や酸化窒化膜、
他の絶縁膜、複数の絶縁層の積層等で層間絶縁膜を形成
してもよい。
【0028】図1(C)に示すように、層間絶縁膜5表
面上にレジスト膜を塗布し、露光現像することによって
レジストパターン6を形成する。レジストパターン6
は、配線層3上方に接続孔形成用の開口6aを有する。
【0029】図1(D)に示すように、レジストパター
ン6をエッチングマスクとして用い、層間絶縁膜5に対
してBHFの等方性エッチングを所望の深さ行う。レジ
ストパターン6の開孔6a下部の層間絶縁膜がエッチン
グされると共に、等方性エッチングによりレジストパタ
ーン6の下側にもサイドエッチングが進み、ワイングラ
ス状の断面形状7が形成される。この初期エッチングに
おけるエッチング量は、たとえば深さ200nm〜40
0nmにする。
【0030】図1(E)に示すように、等方性エッチン
グに続き、層間絶縁膜5の異方性ドライエッチングを行
ってワイングラス状の断面形状7の下にほぼ垂直な側壁
を有する接続孔8を形成する。接続孔8のエッチングは
レジストパターン6の開口6aの形に倣い、下の配線層
3が露出するまで行う。
【0031】この異方性エッチングは、たとえばCH2
2 、CF4 +CHF3 、C2 6、C4 8 、あるい
はこれらの組み合わせからなるガス等のフッ素を含むガ
スを用いたドライエッチングにより行う。この層間絶縁
膜5に対するドライエッチングは、配線層3が露出した
後は配線層3もエッチしてしまう。
【0032】図3は、層間絶縁膜の異方性エッチングを
行うために用いるエッチング装置の例としてマグネトロ
ン反応性イオンエッチング(RIE)装置の構成を概略
的に示す。図3(A)に示すように、エッチングチャン
バ21内の上方には多数のガス吹き出し口を有する上部
電極22が配置されている。上部電極22は、電気的に
は例えば接地される。上部電極22と対向するように、
エッチングチャンバ21内の下方に下部電極23が配置
されており、13.56MHzの高周波電源24に接続
されている。
【0033】エッチングチャンバ21の下部には排気口
が設けられ、真空排気装置に接続されている。下部電極
23の上に、エッチングを行う半導体ウエハ18を載置
する。さらに、下部電極23の表面と平行な方向に磁場
Bが印加される。
【0034】図3(B)は、磁場Bを形成するための構
成例を示す。図示の構成においては、X方向に磁場を発
生させるためのX軸磁石MxとY方向の磁場を発生させ
るためのY軸磁石Myとが組み合わされて配置されてい
る。磁石Mx、Myは永久磁石であっても電磁石であっ
てもよい。
【0035】たとえば、エッチングガスとしてCF4
CHF3 /Ar(流量比:5/30/60sccm)を
用い、チャンバ内圧力200mTorrとし、下部電極
23に700Wの高周波電力を供給し、磁束密度B=3
0Gaussの条件下で層間絶縁膜を貫通する接続孔の
異方性エッチングを行う。
【0036】図1(E)に示すように、配線層3の表面
が露出するまで層間絶縁膜5をエッチングし、さらにオ
ーバーエッチングを行うと、配線層3の表面がスパッタ
され、接続孔8側壁上にTiF4 、AlF3 等を含んだ
側壁保護膜9が形成される。この側壁保護膜は、異方性
エッチングを行うためには有効なものであるが、酸化さ
れると安定な化合物に変化し、除去が困難となる。すな
わち、クラウンの原因である。
【0037】図1(F)に示すように、半導体ウエハを
水10中に浸積し、洗浄を行う。水温は室温(25℃)
より低いことが好ましい。この時、水10に超音波を印
加し超音波洗浄を行えば、さらに洗浄効果が向上する。
TiF4 やAlF3 は水に可溶もしくは分解可能なた
め、水洗を行うことにより側壁保護膜9は消滅する。
【0038】なお、TiF4 を除去するためには、水の
ほかアルコール、ピリジンを用いることもできる。アル
コールとしては、メチルアルコール(CH3 OH)、エ
チルアルコール(C2 5 OH)、イソプロピルアルコ
ール{CH3 CH(OH)CH3 }等を用いることがで
きる。ピリジンとしては、ピリジン(C5 5 N)、ピ
コリン(メチルピリジン:C6 7 N)、ルチジン(ジ
メチルピリジン:C79 N)、コリジン(エチルメチ
ルピリジン:C8 11N)や、エチルピリジン、トリメ
チルピリジン、プロピルピリジン、テトラメチルピリジ
ン等を用いることができる。
【0039】水洗浄と、アルコール、ピリジンのいづれ
かを用いた洗浄を組み合わせることにより、側壁保護膜
をより効果的に除去することができよう。また、水とア
ルコール、ピリジンのいずれかを混合した液体を用いて
洗浄行うこともできる。
【0040】側壁保護膜除去後、半導体ウエハをアッシ
ング装置に搬入し、レジストパターン6を酸素プラズマ
のアッシングにより除去する。
【0041】図4は、アッシング装置の構成例を概略的
に示す。真空容器31内にウエハチャック32が配置さ
れ、その上に半導体ウエハ18を載置する。真空容器3
1には軸対称な形状に排気孔35が設けられている。
【0042】真空容器31の上部には、酸素を含むガス
を導入するための石英等で形成されたガス配管33が接
続されている。ガス配管33の一部は、マイクロ波キャ
ビティ34と結合されている。ガス配管33のマイクロ
波キャビティ34内の部分でマイクロ波によるプラズマ
36が発生する。
【0043】たとえば、マイクロ波パワー:400Wで
2 流量を6slm、N2 O流量を0.5slmとし、
圧力を4Torrに保ち、ウエハチャック32の温度を
240℃に設定し、約60秒間の処理を行うことによ
り、レジストパターン6をアッシングすることができ
る。
【0044】図1(G)は、このようにしてレジストパ
ターンをアッシングした後の半導体ウエハの構造を示
す。なお、アッシングに続き、レジスト剥離液を用いた
洗浄を行うことが好ましい。たとえばアミン系の有機有
機溶剤による洗浄を行う。アミン系有機溶剤としては、
たとえばモノエタノールアミンとジメチルスルフォキシ
ドの混合液を用いることができる。
【0045】なお、ワイングラス形状部分を有する接続
孔を形成する場合を説明したが、異方性エッチングのみ
で層間絶縁膜5の全深さに亘りほぼ垂直な側壁を有する
接続孔を形成してもよい。また、接続孔側壁が傾斜する
ようなエッチングを行ってもよい。
【0046】その後、上層配線層を堆積し、図1(A)
を参照して説明した工程と同様のパターニング工程を行
って上層配線パターンを形成する。上層配線パターンを
覆って絶縁層を形成する。必要に応じて接続孔形成、上
層配線パターン形成、絶縁層形成の工程を繰り返す。
【0047】図5は、上述の実施例による接続孔形成工
程と、従来技術による接続孔形成工程を行った場合の実
験結果を示す。
【0048】図5(A)は、接続孔形成用の異方性ドラ
イエッチングの後、水洗を行い、その後アッシングおよ
び剥離液洗浄を行った場合の結果を示すスケッチであ
る。図5(B)は水洗を行わず、接続孔形成用ドライエ
ッチングに続き、アッシングおよび剥離液洗浄を行った
場合の結果を示すスケッチである。
【0049】両図において、サンプルの構成は下地層4
1の上にAl−Si−Cu合金層42、TiN層43を
堆積し、その上にTEOS酸化膜44によって層間絶縁
膜を形成した構造を有する。この層間絶縁膜44に、ワ
イングラス型形状45aおよびほぼ垂直な側壁45bを
有する接続孔をエッチングによって形成している。
【0050】図5(B)に示す従来技術の場合は、ほぼ
垂直な側壁45b部分にクラウン47が形成され、さら
にワイングラス状部分に残さ物の堆積46が認められ
る。これに対し、上述の実施例に従った方法で接続孔を
形成した図5(A)においては、わずかに残さ物46が
認められるが、接続孔を連続的に取り込むようなクラウ
ンは形成されていない。
【0051】このように、上述の実施例に従いアッシン
グ前に水洗を行うと、エッチングの副産物である残さ物
を大幅に減少できることが判った。水洗とアルコール、
ピリジンのいずれかによる洗浄を併用すればより効果的
であろう。
【0052】以上実施例に沿って本発明を説明したが、
本発明はこれらに制限されるものではない。たとえば種
々の変更、改良、組み合わせ等が可能なことは当業者に
自明であろう。
【0053】
【発明の効果】以上説明したように、本発明によれば、
配線層に与える影響が少なく、層間絶縁膜エッチング時
の残さを効率的に除去することができる。
【図面の簡単な説明】
【図1】 本発明の実施例による半導体装置の製造方法
を説明するための半導体ウエハの概略断面図である。
【図2】 本発明の実施例に用いる誘導結合プラズマエ
ッチング装置の構成例を示す概略断面図である。
【図3】 本発明の実施例に用いるマグネトロンRIE
装置の構成を示す概略断面図および磁石の概略斜視図で
ある。
【図4】 本発明の実施例に用いるマイクロ波アッシン
グ装置の構成を示す概略断面図である。
【図5】 従来技術による接続孔の表面状態と、実施例
による接続孔の表面状態とを比較して示すスケッチであ
る。
【符号の説明】
1 Si基板、 2 絶縁膜、 3 配線、 4
レジストパターン、5 層間絶縁膜、 6 レジス
トパターン、 6a 開口、 7 ワイングラス形
状、 8 接続孔、 9 側壁保護膜、 10

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 a)半導体基板上に、AlまたはAl合
    金から成る層、あるいはAlまたはAl合金から成る層
    とその上に形成したTiまたはTi合金から成る層との
    積層を含む配線層を形成する工程と、 b) 前記配線層上にレジスト層を塗布し、パターニン
    グすることによって配線用レジストパターンを形成する
    工程と、 c) 前記配線用レジストパターンをマスクとして、前
    記配線層をパターニングすることにより配線パターンを
    形成する工程と、 d) 前記配線パターンを覆って前記半導体基板上に層
    間絶縁膜を形成する工程と、 e) 前記層間絶縁膜上にレジスト層を塗布し、パター
    ニングすることによって接続孔用レジストパターンを形
    成する工程と、 f) 前記接続孔用レジストパターンをマスクとして前
    記層間絶縁膜をフッ素を含むエッチングガスを用いてド
    ライエッチングし、前記配線パターンに達する接続孔を
    形成する工程と、 g) 工程f)に続いて水、アルコール、ピリジンのい
    ずれか、またはこれらの組み合わせから成る液体で前記
    半導体基板を洗浄する工程と、 h) 工程g)に続いて、前記接続孔用レジストパター
    ンをアッシングする工程とを含む半導体装置の製造方
    法。
  2. 【請求項2】 さらに、i)工程h)に続いて、有機溶
    剤から成る液体で前記半導体基板を洗浄する工程を含む
    請求項1記載の半導体装置の製造方法。
  3. 【請求項3】 工程g)が前記液体に超音波を印加して
    洗浄を行うことを含む請求項1または2記載の半導体装
    置の製造方法。
  4. 【請求項4】 工程g)において、前記液体として冷水
    を用いる請求項1〜3のいずれかに記載の半導体装置の
    製造方法。
  5. 【請求項5】 工程e)が、等方性エッチングを行い、
    その後異方性エッチングを行う請求項1〜4のいずれか
    に記載の半導体装置の製造方法。
  6. 【請求項6】 工程f)において、フッ素を含むエッチ
    ングガスは、CH22 、CF4 、CHF3 、C
    2 6 、C4 8 あるいはこれらの組み合わせからなる
    ガスである請求項1記載の半導体装置の製造方法。
JP11587797A 1997-05-06 1997-05-06 半導体装置の製造方法 Expired - Fee Related JP3667493B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP11587797A JP3667493B2 (ja) 1997-05-06 1997-05-06 半導体装置の製造方法
US09/072,799 US6147003A (en) 1997-05-06 1998-05-05 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11587797A JP3667493B2 (ja) 1997-05-06 1997-05-06 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH10308447A true JPH10308447A (ja) 1998-11-17
JP3667493B2 JP3667493B2 (ja) 2005-07-06

Family

ID=14673386

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11587797A Expired - Fee Related JP3667493B2 (ja) 1997-05-06 1997-05-06 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US6147003A (ja)
JP (1) JP3667493B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001013415A1 (fr) * 1999-08-11 2001-02-22 Tokyo Electron Limited Procede de fabrication d'un dispositif a semi-conducteurs et fabrication dudit dispositif
JP2008010833A (ja) * 2006-05-29 2008-01-17 Nec Electronics Corp 半導体装置の製造方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6455331B2 (en) * 1997-10-31 2002-09-24 International Business Machines Corporation Process of top-surface-metallurgy plate-up bonding and rewiring for multilayer devices
US6534826B2 (en) 1999-04-30 2003-03-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6277757B1 (en) * 1999-06-01 2001-08-21 Winbond Electronics Corp. Methods to modify wet by dry etched via profile
DE10040465A1 (de) * 2000-08-18 2002-03-07 Infineon Technologies Ag Prozessführung für eine Metall/Metall-Kontaktherstellung
US7754609B1 (en) * 2003-10-28 2010-07-13 Applied Materials, Inc. Cleaning processes for silicon carbide materials
US7789969B2 (en) * 2006-11-01 2010-09-07 Applied Materials, Inc. Methods and apparatus for cleaning chamber components

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2755035B2 (ja) * 1992-03-28 1998-05-20 ヤマハ株式会社 多層配線形成法
KR100235937B1 (ko) * 1992-03-31 1999-12-15 김영환 반도체소자 제조공정의 비아 콘택형성방법
JPH0637188A (ja) * 1992-07-13 1994-02-10 Sony Corp コンタクトホールの形成方法
JPH0677178A (ja) * 1992-08-26 1994-03-18 Sony Corp 半導体装置の製造方法
JP3312996B2 (ja) * 1993-08-02 2002-08-12 松下電器産業株式会社 エッチング方法
DE69533823D1 (de) * 1994-12-29 2005-01-05 St Microelectronics Inc Elektrische Verbindungsstruktur auf einer integrierten Schaltungsanordnung mit einem Zapfen mit vergrössertem Kopf
US5554254A (en) * 1995-03-16 1996-09-10 Taiwan Semiconductor Manufacturing Company Post contact layer etch back process which prevents precipitate formation
US5670019A (en) * 1996-02-26 1997-09-23 Taiwan Semiconductor Manufacturing Company Ltd. Removal process for tungsten etchback precipitates

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001013415A1 (fr) * 1999-08-11 2001-02-22 Tokyo Electron Limited Procede de fabrication d'un dispositif a semi-conducteurs et fabrication dudit dispositif
US6548398B1 (en) 1999-08-11 2003-04-15 Tokyo Electron Limited Production method of semiconductor device and production device therefor
JP2008010833A (ja) * 2006-05-29 2008-01-17 Nec Electronics Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
JP3667493B2 (ja) 2005-07-06
US6147003A (en) 2000-11-14

Similar Documents

Publication Publication Date Title
KR20010033061A (ko) 유기 마스크 적층을 이용한 패턴화된 층의 고온 에칭 방법
JPH0383335A (ja) エッチング方法
JP3318801B2 (ja) ドライエッチング方法
JP3667493B2 (ja) 半導体装置の製造方法
TW507286B (en) Method and apparatus for fabricating semiconductor devices
JPH0613357A (ja) 半導体装置のエッチング方法
JP2654003B2 (ja) ドライエツチング方法
JP4343379B2 (ja) 基板処理方法および基板処理装置ならびにデバイス製造方法
JP3323190B2 (ja) ドライエッチング方法、半導体装置の製造方法及びドライエッチング装置
JP2983356B2 (ja) 半導体素子の製造方法
JP3190830B2 (ja) 半導体装置の製造方法
JP2000232096A (ja) 配線形成法
JP2004342873A (ja) 半導体装置およびその製造方法
JPH11330045A (ja) 酸化膜及びシリコン層の積層膜のエッチング方法
JPH06163538A (ja) プラズマエッチング方法
JPH11243080A (ja) 半導体基板のエッチング方法
JPH0992640A (ja) プラズマエッチング方法
JP2003298049A (ja) 半導体装置の製造方法
JPH05326515A (ja) 半導体装置の製造方法
JPH06108272A (ja) プラズマエッチング方法
JPH05217965A (ja) 半導体装置の製造方法
JPH05109673A (ja) 半導体装置の製造方法
JP2556373B2 (ja) ドライエッチング方法
JPH0689883A (ja) 接続孔の形成方法
KR100431433B1 (ko) 반도체 장치의 콘택홀 형성 방법

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20020423

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050128

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050406

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313532

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090415

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090415

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100415

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110415

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120415

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130415

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140415

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees