JPH08213388A - フルオロケイ酸塩ガラス層を形成する方法 - Google Patents

フルオロケイ酸塩ガラス層を形成する方法

Info

Publication number
JPH08213388A
JPH08213388A JP7255352A JP25535295A JPH08213388A JP H08213388 A JPH08213388 A JP H08213388A JP 7255352 A JP7255352 A JP 7255352A JP 25535295 A JP25535295 A JP 25535295A JP H08213388 A JPH08213388 A JP H08213388A
Authority
JP
Japan
Prior art keywords
precursor gas
fluorine
forming
glass
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP7255352A
Other languages
English (en)
Other versions
JP3290339B2 (ja
Inventor
David M Dobuzinsky
デビッド・マーク・ドブジンスキー
Tetsuo Matsuda
テツオ・マツダ
Son V Nguyen
サン・バン・グエン
James G Ryan
ジェームズ・ガードナー・ライアン
Michael Shapiro
マイケル・シャピロ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
International Business Machines Corp
Original Assignee
Toshiba Corp
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, International Business Machines Corp filed Critical Toshiba Corp
Publication of JPH08213388A publication Critical patent/JPH08213388A/ja
Application granted granted Critical
Publication of JP3290339B2 publication Critical patent/JP3290339B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 誘電率を十分に低下させ、ギャップ・フィル
容量を増加させる、十分なフッ素を有するFガラスの安
定膜を形成する方法を提供する。 【解決の手段】 CVD法において、2つのケイ素源を
用いることにより、耐水性のフッ素添加酸化物が形成さ
れる。一方はフッ素前駆体であり、他方はフッ素前駆体
からの過剰なフッ素と反応するために使用され、層内の
フッ素基の数を低減する。フッ素前駆体は他のガラス要
素と結合するガラス形成要素を含み、気体内にフッ素の
1原子とガラス形成要素の1原子とを含む2原子基を生
成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は集積回路分野に関
し、特に、フッ素でドープされた酸化絶縁層の形成に関
する。
【0002】
【従来の技術】高速性を提供するために、従来、低誘電
率を有する絶縁層が研究されてきた。J.Idaらによる論
文"Reduction of Wiring Capacitance with New Dielec
tric SiOF Interlayer Film for High Speed/Low Power
Sub-Half Micron CMOS"、1994Symposium on VLSI Tech
nology Digest of Technical Papers 0-7803-19212-4/9
4 IEEEでは、TEOS(Si(OC254)と共にC2
6を用いると、フッ素をドープした低誘電率の二酸化
ケイ素(酸化ケイ素)層(フルオロケイ酸塩ガラスまた
はFガラス)が提供されることを提案している。Fukada
及びAkahoriによる論文"Preparation of SiOF Films wi
th Low Dielectric Constant by ECR Plasma Chemical
Vapor Deposition"、Extended Abstracts of the 1993
International Conference on Solid State Devices an
d Materials、Makuhari、1993 pp.158-160では、O2
一緒に四フッ化ケイ素(SiF4)を用いる別の提案が
示されている。Anandらによる論文"Fully Integrated B
ack End of the Line Interconnect Process for High
Performance ULSIs"、the 1994 VMIC Conference 1994
ISIMC-103/94/0015では、CF4及びC26の使用を提案
している。C26法は水を吸収し易い膜を提供し、Si
4 ECR付着法は、フッ化水素またはフッ素のガス
抜けを生じ易い。なぜなら、高密度の電子サイクロトロ
ン共鳴プラズマ内で解離した過度のF原子が、F−Si
x網内に弱結合のフッ素として組込まれるからであ
る。より多くの水が吸収され、F*が失われるとフッ素
はHFを形成し、これが金属部分を腐食する。このよう
に従来技術では、誘電率を十分に低下させ、ギャップ・
フィル容量(gap-fill capacity)を増加させる、十分
なフッ素を有するFガラスの安定膜を形成する満足すべ
き方法が見出されていない。
【0003】
【発明が解決しようとする課題】本発明の目的は、低誘
電率で、ギャップ・フィル容量が大きい、十分量のフッ
素を含有するFガラスの安定膜を形成する方法を提供す
ることである。
【0004】
【課題を解決するための手段】本発明はフッ素を組込む
酸化物層を形成する方法に関し、具体的には、安定な耐
水性の膜を形成するために、CVD法においてケイ素を
含む酸素前駆気体(oxygen precursor gas)が、ガラス
形成分子を含むガラス形成前駆気体と共に用いられる。
【0005】本発明の利点は、CまたはNの組込みと比
較して、ガラス網を破断すること無く、ガラス形成種が
Si−Fの形態で付着膜に組込まれることである。
【0006】本発明の特徴はF及びHの存在であり、こ
れらは互いに反応して、膜を弱めうる遊離したFまたは
Hを除去する。
【0007】
【実施例】図1を参照すると、Applied Materials 5000
CVDリアクタなどの反応装置の構成を示す。図の中
央において、反応チャンバ100は接地電極122及び
RF励振電極(RF driven electrode)120を含
む。ウエハ110が接地電極122上に設置される。R
F励振電極120は、好適には2つの周波数源とマッチ
ング網とを含むRF源125によりドライブされる。図
の左側には供給源150が示され、これはアルゴンなど
のキャリア・ガス、TEOSなどのケイ素前駆体、及び
SiF4などのフッ素前駆体を含む気体の供給源を示
す。
【0008】オペレーションにおいて、通常の方法で、
気体が混合され、反応チャンバ100内に送られる。こ
の場合には、TEOSも酸素源であるので、酸化剤(ox
idant)は必要ではない。図1に示される構成以外の他
の構成も、付着のために用いることができる。好適に
は、二重周波数の装置が用いられ、プラズマを生成する
ための第1の周波数と、同時にイオン衝突を増進及び制
御するための第2の周波数とを持つ電力が供給される
が、こうした二重周波数の装置でなくてもよい。二重周
波数の装置が用いられる場合には、両周波数は一方また
は両方の電極に供給されうる。
【0009】満足すべき膜を生成するために、多くのプ
ラズマ促進化学蒸着(PECVD)法もまた用いられ
る。こうした方法には、750トル以下の圧力範囲にお
ける準大気(sub-atmospheric)CVD(SACV
D)、及び高密度CVD(1011個/cm3乃至1012
個/cm3以上の電子密度)などが含まれる。
【0010】示される例では、キャリアとしてHe、高
周波数13.56MHz、低周波数0.35MHz、並
びに総圧力5トルにおけるSiF4:TEOS:O2比が
7:1:10、及び400℃のウエハ温度を用い、二重
周波数PECVDプロセスがApplied Materials 5000リ
アクタにおいて用いられる。
【0011】別の例では、同一装置内でSiF4及びS
iH4がN2Oと一緒に用いられ、2.8トルにおいてS
iF4:SiH4:N2O比が20:1:35で、400
℃のウエハ温度のパラメータが用いられる。SiF4
SiH4:N2O混合気体において、粒子の形成を低減す
るために、SiF4前駆体はSiH4とN2Oの混合後に
追加されてもよい。この実施例では、SiH4対SiF4
の比RSiH4-SiF4の適当な範囲は1乃至200であり、
SiH4-SiF4の好適な範囲は10乃至50である。
【0012】形成された膜におけるフッ素の留分(frac
tion)は、誘電率と水吸収とのトレードオフに依存す
る。フッ素の高い留分を有する膜は、水を吸収する傾向
があり、フッ素の低い留分を有する膜は、不十分な誘電
率を有する。フッ素の留分の好適な範囲は、酸化物中で
のSi−F結合対Si−O結合の比RF-Oが、1/20
0≦RF-O≦1/4である。この範囲内での好適な比R
F-Oは、約1/20である。
【0013】図2を参照すると、FTIR(フーリエ変
換赤外分光分析)スペクトルが示され、X軸は波数を示
し、Y軸は任意の単位の透過率を示す。
【0014】上方の無添加酸化物についてのスペクトル
に、膜内において欠陥として作用するSiOHの存在を
示す明白なくぼみが見られる。SiOHは酸化膜の誘電
率を増大させ、電気特性を低下させ、高温で解離する。
下方のスペクトルは、SiF4及びTEOSにより生成
されたサンプルについてであり、極めて低いSiOH濃
度を示す最小のSiOH結合が見られる。
【0015】図3は、本発明の方法(SiF4及びTE
OS)により形成されたサンプルと、C26を用いて形
成されたサンプルについてのスペクトルを比較して示
す。膜を湿度100%、温度60℃の環境に24時間晒
す湿度テストの結果、C26によるサンプルは相当量の
水を吸収し、SiF4によるサンプルは吸収していない
ことが明らかである。
【0016】図4は、屈折率(RI)対Si−F/Si
−O結合比(R)の典型的な変化を示す。RIとRとは
逆比例の関係がある。より高いRに対して、1.37と
低い膜のRIが観測された。
【0017】当業者には、本発明の原理が多くの実施例
に適用されることが理解されよう。例えば本発明の原理
を変更することなく、SiF4はSixyにより置換さ
れうる。また、TEOSはSixy及び酸素により置換
されうる。更に既知のホウ素(B)またはリン(P)ド
ーピングの利点が所望される場合には、B26、B
26、PH3、PF3または他の好都合なBまたはP前駆
体を所望の組合わせに追加し、ホウ素またはリンのドー
プされたFガラスを生成することができる。
【0018】Si−F、またはその他のガラス形成要素
とFとの結合(ここで"ガラス形成"要素とは、Si、
B、P、Ge、As、Se、Sb、In、Tl、Sn、
Pbなどのガラス質構造を形成可能な要素を意味する)
を含む前駆体を用いる利点は、これらの結合を含む基
(radical)が、全体のガラス結合網を解体することな
く、ガラス膜に組込まれうることである。このことは網
を乱し、膜の不安定性を生じるCまたはN前駆体を用い
る場合と対照的である。
【0019】用語"前駆気体(precursor gas)"は、要
素自身をさすためにも用いる。すなわちTEOSと同様
に、O2も酸素原子源となる前駆気体であると解され
る。また、この用語は1つの気体以外に、2つ以上の気
体の混合をさす場合にも用いられる。
【0020】当業者には、本発明の開示された教示の範
囲内において、様々な異なる実施例が可能であることが
理解されよう。
【0021】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0022】(1)酸素前駆気体及び少なくとも1原子
のフッ素と、少なくとも1原子のガラス形成要素とを含
む、気体グループから選択されるフッ素前駆気体を含む
前駆気体の組を反応チャンバ内で混合する工程と、プラ
ズマが少なくとも1つの上記前駆気体と相互作用するよ
うに、上記プラズマを上記反応チャンバ内に形成及び保
持する工程と、上記フッ素前駆気体からのフッ素原子及
びガラス形成要素の原子を含むラジカル基を取り込むこ
とにより、フッ素を一部に含む上記酸化物層を形成する
工程と、を含む、半導体基板上にフルオロケイ酸塩ガラ
ス層を形成する方法。 (2)上記混合工程が、ケイ素前駆気体でもある上記酸
素前駆気体を混合する工程を含み、上記フッ素前駆気体
からの上記ラジカル基に加え、該ケイ素前駆気体からの
ケイ素と該フッ素前駆気体からのフッ素原子との間の結
合を形成することにより、フッ素を上記酸化物層に追加
する、上記(1)記載の方法。 (3)少なくとも1つの上記前駆気体が水素源である、
上記(1)記載の方法。 (4)上記酸素前駆気体が水素源でもある、上記(3)
記載の方法。 (5)上記酸素前駆気体が有機ケイ素気体である、上記
(3)記載の方法。 (6)上記酸素前駆気体が酸素とTEOSとの混合を含
み、上記フッ素前駆気体がSiF4である、上記(3)
記載の方法。 (7)上記TEOS、上記SiF4、及び上記O2が約
7:1:10の比で混合される、上記(6)記載の方
法。 (8)上記フッ素前駆気体がSiF4である、上記
(4)または(5)に記載の方法。 (9)上記プラズマが第1の周波数で供給されるRFパ
ワーにより形成され、同時に第2の周波数のRFパワー
が供給される、上記(1)乃至(3)のいずれかに記載
の方法。 (10)上記フルオロケイ酸塩ガラスにおけるSi−F
結合対Si−O結合の比RF-Oが、1/200≦RF-O
1/4の範囲である、上記(9)記載の方法。 (11)上記比RF-Oが1/20である、上記(10)
記載の方法。 (12)酸素前駆気体と、ケイ素及びフッ素の原子源と
なる少なくとも1つの前駆気体とを含む前駆気体の組を
反応チャンバ内で混合する工程を含み、上記フッ素前駆
気体はガラス形成原子を含み、水素前駆気体からの水素
が、上記フッ素前駆気体からの解離原子と反応するため
の水素の原子源となる水素前駆気体を上記前駆気体の組
と一緒に混合することを特徴とし、更にプラズマが少な
くとも1つの上記前駆気体と相互作用するように、上記
プラズマを上記反応チャンバ内に形成及び保持する工程
と、フッ素原子及び上記ガラス形成要素の原子を含むラ
ジカル基を上記フッ素前駆気体から組込むことにより、
フッ素を一部に含む上記酸化物層を形成する工程と、を
含む、半導体基板上にフルオロケイ酸塩ガラス層を形成
する方法。 (13)上記ケイ素前駆気体が水素源でもある、上記
(12)記載の方法。 (14)上記フッ素前駆気体がSiF4である、上記
(12)記載の方法。 (15)上記水素前駆気体がSiH4である、上記(1
4)記載の方法。 (16)上記SiH4対上記SiF4の比RSiH4-SiF4
約1乃至200の範囲で混合される、上記(15)記載
の方法。 (17)上記比RSiH4-SiF4が約10乃至50の範囲で
ある、上記(16)記載の方法。 (18)上記プラズマが第1の周波数で供給されるRF
パワーにより形成され、同時に第2の周波数のRFパワ
ーが供給される、上記(12)または(13)に記載の
方法。 (19)上記フルオロケイ酸塩ガラスにおけるSi−F
結合対Si−O結合の比RF-Oが、1/200≦RF-O
1/4の範囲である、上記(18)記載の方法。
【0023】
【発明の効果】本発明によれば、誘電率を十分に低下さ
せ、ギャップ・フィル容量を増加させる、十分なフッ素
を有するFガラスの安定膜を形成する満足すべき方法を
提供することができる。
【図面の簡単な説明】
【図1】本発明に関連して用いられる反応装置の構成図
である。
【図2】無添加酸化物ガラスとフルオロケイ酸塩ガラス
との比較を示すFTIRスペクトルである。
【図3】本発明により形成されるフルオロケイ酸塩ガラ
スと、C26から形成されるフルオロケイ酸塩ガラスと
の水吸収の比較を示すFTIRスペクトルである。
【図4】Si−F結合対Si−O結合比の関数としての
屈折率の変化を示すグラフである。
【符号の説明】
100 反応チャンバ 110 ウエハ 120 RF励振電極 122 接地電極 125 RF源 150 供給源
───────────────────────────────────────────────────── フロントページの続き (72)発明者 デビッド・マーク・ドブジンスキー アメリカ合衆国12533、ニューヨーク州ホ ープウェル・ジャンクション、シナンド ア・ロード 29 (72)発明者 テツオ・マツダ アメリカ合衆国12603、ニューヨーク州ポ キプシ、サリー・レーン 17 (72)発明者 サン・バン・グエン アメリカ合衆国12533、ニューヨーク州ホ ープウェル・ジャンクション、クローブ・ コート 7 (72)発明者 ジェームズ・ガードナー・ライアン アメリカ合衆国06470、コネチカット州ニ ュートン、バッグズ・ヒル・ロード 100 (72)発明者 マイケル・シャピロ アメリカ合衆国12508、ニューヨーク州ビ ーコン、スターリング・ストリート 307

Claims (19)

    【特許請求の範囲】
  1. 【請求項1】酸素前駆気体及び少なくとも1原子のフッ
    素と、少なくとも1原子のガラス形成要素とを含む、気
    体グループから選択されるフッ素前駆気体を含む前駆気
    体の組を反応チャンバ内で混合する工程と、 プラズマが少なくとも1つの上記前駆気体と相互作用す
    るように、上記プラズマを上記反応チャンバ内に形成及
    び保持する工程と、 上記フッ素前駆気体からのフッ素原子及びガラス形成要
    素の原子を含むラジカル基を取り込むことにより、フッ
    素を一部に含む上記酸化物層を形成する工程と、 を含む、半導体基板上にフルオロケイ酸塩ガラス層を形
    成する方法。
  2. 【請求項2】上記混合工程が、ケイ素前駆気体でもある
    上記酸素前駆気体を混合する工程を含み、上記フッ素前
    駆気体からの上記ラジカル基に加え、該ケイ素前駆気体
    からのケイ素と該フッ素前駆気体からのフッ素原子との
    間の結合を形成することにより、フッ素を上記酸化物層
    に追加する、請求項1記載の方法。
  3. 【請求項3】少なくとも1つの上記前駆気体が水素源で
    ある、請求項1記載の方法。
  4. 【請求項4】上記酸素前駆気体が水素源でもある、請求
    項3記載の方法。
  5. 【請求項5】上記酸素前駆気体が有機ケイ素気体であ
    る、請求項3記載の方法。
  6. 【請求項6】上記酸素前駆気体が酸素とTEOSとの混
    合を含み、上記フッ素前駆気体がSiF4である、請求
    項3記載の方法。
  7. 【請求項7】上記TEOS、上記SiF4、及び上記O2
    が約7:1:10の比で混合される、請求項6記載の方
    法。
  8. 【請求項8】上記フッ素前駆気体がSiF4である、請
    求項4または5に記載の方法。
  9. 【請求項9】上記プラズマが第1の周波数で供給される
    RFパワーにより形成され、同時に第2の周波数のRF
    パワーが供給される、請求項1乃至3のいずれかに記載
    の方法。
  10. 【請求項10】上記フルオロケイ酸塩ガラスにおけるS
    i−F結合対Si−O結合の比RF-Oが、1/200≦
    F-O≦1/4の範囲である、請求項9記載の方法。
  11. 【請求項11】上記比RF-Oが1/20である、請求項
    10記載の方法。
  12. 【請求項12】酸素前駆気体と、ケイ素及びフッ素の原
    子源となる少なくとも1つの前駆気体とを含む前駆気体
    の組を反応チャンバ内で混合する工程を含み、 上記フッ素前駆気体はガラス形成要素の原子を含み、 水素前駆気体からの水素が、上記フッ素前駆気体からの
    解離原子と反応するための水素の原子源となる水素前駆
    気体を上記前駆気体の組と一緒に混合することを特徴と
    し、 更にプラズマが少なくとも1つの上記前駆気体と相互作
    用するように、上記プラズマを上記反応チャンバ内に形
    成及び保持する工程と、 フッ素原子及び上記ガラス形成要素の原子を含むラジカ
    ル基を上記フッ素前駆気体から組込むことにより、フッ
    素を一部に含む上記酸化物層を形成する工程と、 を含む、半導体基板上にフルオロケイ酸塩ガラス層を形
    成する方法。
  13. 【請求項13】上記ケイ素前駆気体が水素源でもある、
    請求項12記載の方法。
  14. 【請求項14】上記フッ素前駆気体がSiF4である、
    請求項12記載の方法。
  15. 【請求項15】上記水素前駆気体がSiH4である、請
    求項14記載の方法。
  16. 【請求項16】上記SiH4対上記SiF4の比R
    SiH4-SiF4が約1乃至200の範囲で混合される、請求
    項15記載の方法。
  17. 【請求項17】上記比RSiH4-SiF4が約10乃至50の
    範囲である、請求項16記載の方法。
  18. 【請求項18】上記プラズマが第1の周波数で供給され
    るRFパワーにより形成され、同時に第2の周波数のR
    Fパワーが供給される、請求項12または13に記載の
    方法。
  19. 【請求項19】上記フルオロケイ酸塩ガラスにおけるS
    i−F結合対Si−O結合の比RF-Oが、1/200≦
    F-O≦1/4の範囲である、請求項18記載の方法。
JP25535295A 1994-09-30 1995-10-02 フルオロケイ酸塩ガラス層を形成する方法 Expired - Lifetime JP3290339B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US316302 1994-09-30
US08/316,302 US5563105A (en) 1994-09-30 1994-09-30 PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element

Publications (2)

Publication Number Publication Date
JPH08213388A true JPH08213388A (ja) 1996-08-20
JP3290339B2 JP3290339B2 (ja) 2002-06-10

Family

ID=23228451

Family Applications (1)

Application Number Title Priority Date Filing Date
JP25535295A Expired - Lifetime JP3290339B2 (ja) 1994-09-30 1995-10-02 フルオロケイ酸塩ガラス層を形成する方法

Country Status (5)

Country Link
US (1) US5563105A (ja)
EP (1) EP0704885B1 (ja)
JP (1) JP3290339B2 (ja)
DE (1) DE69534699T2 (ja)
TW (1) TW279245B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002043311A (ja) * 2000-07-18 2002-02-08 Applied Materials Inc 半導体装置の製造方法及び装置
JP2002525212A (ja) * 1998-09-12 2002-08-13 イギリス国 マイクロ装置でのブリッジの形成

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08316348A (ja) * 1995-03-14 1996-11-29 Toshiba Corp 半導体装置およびその製造方法
JPH0964176A (ja) * 1995-08-21 1997-03-07 Oki Electric Ind Co Ltd 半導体素子の製造方法
JP2973905B2 (ja) * 1995-12-27 1999-11-08 日本電気株式会社 半導体装置の製造方法
US6121163A (en) * 1996-02-09 2000-09-19 Applied Materials, Inc. Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
JP2917897B2 (ja) * 1996-03-29 1999-07-12 日本電気株式会社 半導体装置の製造方法
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
JP3355949B2 (ja) * 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
US5827785A (en) * 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5763021A (en) * 1996-12-13 1998-06-09 Cypress Semiconductor Corporation Method of forming a dielectric film
US5950107A (en) * 1996-12-17 1999-09-07 Intel Corporation In-situ pre-ILD deposition treatment to improve ILD to metal adhesion
JP3773340B2 (ja) * 1996-12-18 2006-05-10 大日本印刷株式会社 低屈折率SiO2 膜及びその製造方法
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6077786A (en) * 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5876798A (en) * 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6846391B1 (en) * 1998-04-01 2005-01-25 Novellus Systems Process for depositing F-doped silica glass in high aspect ratio structures
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6265779B1 (en) * 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6541400B1 (en) 2000-02-09 2003-04-01 Novellus Systems, Inc. Process for CVD deposition of fluorinated silicon glass layer on semiconductor wafer
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6303519B1 (en) * 2000-07-20 2001-10-16 United Microelectronics Corp. Method of making low K fluorinated silicon oxide
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6905981B1 (en) 2000-11-24 2005-06-14 Asm Japan K.K. Low-k dielectric materials and processes
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6667248B2 (en) 2001-09-05 2003-12-23 Applied Materials Inc. Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
KR100529676B1 (ko) 2003-12-31 2005-11-17 동부아남반도체 주식회사 듀얼 다마신 패턴을 형성하는 방법
KR100563487B1 (ko) * 2003-12-31 2006-03-27 동부아남반도체 주식회사 반도체 소자의 금속배선 형성방법
US7229931B2 (en) 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
DE102004031744A1 (de) * 2004-06-30 2006-07-27 Advanced Micro Devices, Inc., Sunnyvale Eine Technik zur Herstellung einer dielektrischen Zwischenschicht über einer Struktur mit eng beabstandeten Leitungen
US20060046465A1 (en) * 2004-08-27 2006-03-02 Dongbuanam Semiconductor Inc. Method for manufacturing a semiconductor device
KR100876532B1 (ko) * 2004-08-27 2008-12-31 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7087536B2 (en) 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
KR100641506B1 (ko) * 2004-09-17 2006-11-01 동부일렉트로닉스 주식회사 반도체 소자 세정 방법
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
KR100731085B1 (ko) * 2005-09-28 2007-06-22 동부일렉트로닉스 주식회사 듀얼 다마신 공정을 이용한 구리 배선 형성 방법
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
NL1036526A1 (nl) 2008-02-14 2009-08-17 Asml Netherlands Bv Use of a coating, an article having the coating and a lithographic apparatus comprising the coating.
US8425978B2 (en) * 2009-09-21 2013-04-23 Alliance For Sustainable Energy, Llc Fluorine compounds for doping conductive oxide thin films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9691884B2 (en) 2014-08-26 2017-06-27 Sandisk Technologies Llc Monolithic three dimensional NAND strings and methods of fabrication thereof
US9576975B2 (en) 2014-08-26 2017-02-21 Sandisk Technologies Llc Monolithic three-dimensional NAND strings and methods of fabrication thereof
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9589839B1 (en) 2016-02-01 2017-03-07 Sandisk Technologies Llc Method of reducing control gate electrode curvature in three-dimensional memory devices
US9711530B1 (en) 2016-03-25 2017-07-18 Sandisk Technologies Llc Locally-trap-characteristic-enhanced charge trap layer for three-dimensional memory structures
US9812463B2 (en) 2016-03-25 2017-11-07 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US9991277B1 (en) 2016-11-28 2018-06-05 Sandisk Technologies Llc Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US9960180B1 (en) 2017-03-27 2018-05-01 Sandisk Technologies Llc Three-dimensional memory device with partially discrete charge storage regions and method of making thereof
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11211256B2 (en) * 2020-02-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd Method with CMP for metal ion prevention

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4246296A (en) * 1979-02-14 1981-01-20 Bell Telephone Laboratories, Incorporated Controlling the properties of native films using selective growth chemistry
JPS5930130B2 (ja) * 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
JPS604145B2 (ja) * 1981-01-20 1985-02-01 株式会社ニコン 弗化物燐酸塩光学ガラス
US4397670A (en) * 1981-10-05 1983-08-09 Corning Glass Works Method of making alkali metal, calcium fluorosilicate glass-ceramic articles
JPS60144940A (ja) * 1984-01-07 1985-07-31 Semiconductor Energy Lab Co Ltd 酸化珪素作製方法
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JP2811762B2 (ja) * 1989-07-04 1998-10-15 セイコーエプソン株式会社 絶縁ゲート型電界効果トランジスタの製造方法
US5198298A (en) * 1989-10-24 1993-03-30 Advanced Micro Devices, Inc. Etch stop layer using polymers
EP0469791A1 (en) * 1990-08-02 1992-02-05 AT&T Corp. Soluble oxides for integrated circuits
JP2605465B2 (ja) * 1990-08-31 1997-04-30 日本電気株式会社 容量絶縁膜の形成方法
US5124278A (en) * 1990-09-21 1992-06-23 Air Products And Chemicals, Inc. Amino replacements for arsine, antimony and phosphine
JP2697315B2 (ja) * 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04341568A (ja) * 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH05135876A (ja) * 1991-11-15 1993-06-01 Tdk Corp 薄膜電界発光素子及びその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002525212A (ja) * 1998-09-12 2002-08-13 イギリス国 マイクロ装置でのブリッジの形成
JP2002043311A (ja) * 2000-07-18 2002-02-08 Applied Materials Inc 半導体装置の製造方法及び装置

Also Published As

Publication number Publication date
JP3290339B2 (ja) 2002-06-10
TW279245B (ja) 1996-06-21
US5563105A (en) 1996-10-08
EP0704885B1 (en) 2005-12-21
DE69534699D1 (de) 2006-01-26
DE69534699T2 (de) 2006-07-20
EP0704885A2 (en) 1996-04-03
EP0704885A3 (en) 1997-11-26

Similar Documents

Publication Publication Date Title
JP3290339B2 (ja) フルオロケイ酸塩ガラス層を形成する方法
US5703404A (en) Semiconductor device comprising an SiOF insulative film
KR100447684B1 (ko) 유전 상수가 낮은 층간 유전 필름용 유기규소 전구물질
US6583048B2 (en) Organosilicon precursors for interlayer dielectric films with low dielectric constants
KR100328144B1 (ko) 고밀도 플라즈마-화학적 증착 기법을 사용하여 낮은 유전상수를 갖는 박막을 형성하는 방법
US6124641A (en) Semiconductor device organic insulator film
US8137764B2 (en) Mechanical enhancer additives for low dielectric films
KR100453612B1 (ko) 유전율이 낮은 수소화된 옥시탄화규소 막의 제조방법
KR100702508B1 (ko) 유전 물질 및 beol 상호 접속 구조체
US20030049460A1 (en) Low dielectric constant material and method of processing by CVD
JPH09167767A (ja) ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法及び装置
US20030162034A1 (en) Low dielectric constant material and method of processing by CVD
JP3463416B2 (ja) 絶縁膜の製造方法および半導体装置
Bhan et al. Deposition of stable, low κ and high deposition rate SiF4-doped TEOS fluorinated silicon dioxide (SiOF) films
US6770575B2 (en) Method for improving thermal stability of fluorinated amorphous carbon low dielectric constant materials
US6656855B2 (en) Deposition method of dielectric films having a low dielectric constant
TWI821645B (zh) 用於沉積具有高彈性模數的膜的新穎前驅物及方法
TWI822044B (zh) 用於氣相沉積一介電膜的組合物及用於沉積一有機矽膜的方法
JPH0950995A (ja) シリコン系酸化物および半導体装置の層間絶縁膜
KR20230093286A (ko) 알콕시디실록산 및 이로부터 제조된 치밀한 오가노실리카 필름
JPH09293716A (ja) フッ素含有絶縁膜の形成方法
Endo et al. Phenyl Silica Glass for Formation of Porous Dielectric Film
Kim et al. Dielectric properties of SiCF film deposited by PECVD with low dielectric constants
JPH09312333A (ja) 絶縁膜の形成方法

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090322

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100322

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110322

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110322

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120322

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130322

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140322

Year of fee payment: 12

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term