JPH05507587A - 超伝導・半導体回路、素子、装置 - Google Patents

超伝導・半導体回路、素子、装置

Info

Publication number
JPH05507587A
JPH05507587A JP91513028A JP51302891A JPH05507587A JP H05507587 A JPH05507587 A JP H05507587A JP 91513028 A JP91513028 A JP 91513028A JP 51302891 A JP51302891 A JP 51302891A JP H05507587 A JPH05507587 A JP H05507587A
Authority
JP
Japan
Prior art keywords
voltage
terminal
superconducting
circuit
input
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP91513028A
Other languages
English (en)
Inventor
クローガー ハリー
ゴーシャル,アッタム シャマリンデュ
Original Assignee
マイクロエレクトロニクス アンド コンピューター テクノロジー コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロエレクトロニクス アンド コンピューター テクノロジー コーポレイション filed Critical マイクロエレクトロニクス アンド コンピューター テクノロジー コーポレイション
Publication of JPH05507587A publication Critical patent/JPH05507587A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S505/00Superconductor technology: apparatus, material, process
    • Y10S505/70High TC, above 30 k, superconducting device, article, or structured stock
    • Y10S505/701Coated or thin film device, i.e. active or passive
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S505/00Superconductor technology: apparatus, material, process
    • Y10S505/825Apparatus per se, device per se, or process of making or operating same
    • Y10S505/831Static information storage system or device
    • Y10S505/833Thin film type
    • Y10S505/834Plural, e.g. memory matrix
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S505/00Superconductor technology: apparatus, material, process
    • Y10S505/825Apparatus per se, device per se, or process of making or operating same
    • Y10S505/831Static information storage system or device
    • Y10S505/833Thin film type
    • Y10S505/834Plural, e.g. memory matrix
    • Y10S505/837Random access, i.e. bit organized memory type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S505/00Superconductor technology: apparatus, material, process
    • Y10S505/825Apparatus per se, device per se, or process of making or operating same
    • Y10S505/831Static information storage system or device
    • Y10S505/838Plural, e.g. memory matrix
    • Y10S505/841Random access, i.e. bit organized memory type

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Superconductor Devices And Manufacturing Methods Thereof (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるため要約のデータは記録されません。

Description

【発明の詳細な説明】 発明の名称 超伝導・半導体回路、素子、装置技術分野 この発明は、半導体素子に組み合わせられた超伝導材から製造されて低温で動作 可能な混成回路、素子に関する。ここに記載されるのは時にJOFETと略記さ れるジョセフソンFET、5FETと略記される超伝導FETなとの超伝導電界 効果トランジスタ状素子であり、また、上記素子が低温0MO3素子と組み合わ され高速低電力消費の記憶論理回路等の応用面で使用されるときの利用向上化で ある。低臨界温度(T c < = 20 K )の超伝導材ばかりでなく高臨 界温度(”rc<20K)の超伝導材も使用できる。
背景技術 臨界温度が液体窒素温度以上の超伝導体の発見によって混成超伝導・半導体電子 回路応用面への関心が急速に高まった。
通常の半導体回路と素子とを相互接続するために超伝導素子を使用することの可 能性が研究されてきた。従来、混成3端子素子を調査、製造してきての一般的結 論は、この種素子には興味をもてるが技術的には本質的に利用価値がないという ことであった。この種素子の開発の動機は、通常のジョセフソン・トンネル接合 の利得、絶縁度を向上させること、通常の回路機能を全て遂行できるトランジス タ回路のような能動素子を提供することにあった。
そして、超伝導電界効果トランジスタが製造された。図1は超伝導FETの構造 を示す、超伝導FETは通常の半導体FET構造と同様であるがソースとドレイ ンとが超伝導体でなければならない。現在までに製造された素子のチャンネル長 は0.1から1.0μmの範囲にある。超伝導FETの機能は通常の半導体FE Tと同様であるが、超伝導FETは、近接効果として知られる超伝導の導電機構 特性を利用している。トンネル接合の薄いバリヤ(10〜60nm)に比較して 、超伝導FETのチャンネル長は大きく移送機構がトンネル効果でありえないこ とを示している。超伝導電子は不純物半導体内へ拡散できこれを弱く超伝導化す る。これを近接効果という0反転層も超伝導電流を保持できこの反転層が形成さ れている材料は再生ドープされる必要がないので、不純物半導体は再生ドープさ れる必要がない。
ソースからドレインへ流れる電流をゲート電圧で制御する点は超伝導FETも半 導体FETも機能が同様である。超伝導FETでは、零電圧電流の大きさをゲー ト電極によって制御できる6素子のドレインは電圧状III(数10ミリボルト の桁)または丁度零電圧にある。5FETはドレイン・ソース電圧が零のとき相 互コンダンタンスが零でないという特徴をもっている。半導体FETにはこの性 質がない、素子としては極めて低電力損失の高速チイツチングを行う期待がもた れている。使用構造の如何によらず、零電圧ドレイン電流をオン、オフするのに 要するゲート電圧は極めて小さい。現在まで研究されて製造された超伝導FET は低温超伝導体のものだけであiハこれは電力利得が零であり、他の論理レベル 電圧回路回復がないと、論理ゲートの5FET列を作動するのに十分な大きさの 出力電圧信号を発生しないので実際的重要性が殆どないと考えられている。
2個の超伝導体を種々に弱く結合させるとジョセフソン効果を呈する0弱い結合 としてはトンネル・バリヤ、超伝導体自身の幾何学的制限、数百ナノメータ以下 の通常金属の膜等がある。こうした構造を弱リンクと呼ぶ。
通常金属弱リンクに対して、臨界電流I0はリンク内の通常キャリヤ密度の関数 である。Lをリンクの長さ、ξnを通常金属内の結合長とすると、■。はexp  (−L/ξn)に比例する。ξnは 4!n=(h°μ/6 y+m”e kT)l12(3x’n)l13で与えら れる。但し、hは換算ブランク定数、mはキャリヤ易動度、Tは絶対温度、m★ はキャリヤの有効質量、kはボルツマン定数、nは通常金属内のキャリヤ密度で ある。再生ドープされた半導体の結合長は、4.2に以下の温度で通常数百ナノ メータである。
半導体FETに類似する超伝導3端子素子を開発する提案が多くなされた。しか し、提案素子は全てがゲート下の領域のキャリヤ密度、従って、ζnに対する上 式に従う臨界電流を変化させることによって機能している。そして、実際の素子 構成では素子の半導体部分としてシリコン、InAsまたはG a A Sを用 いている。
13!12は代表的な3端子超伝導FETのソース・ドレイン電圧・電流(1− V)特性を示す、電圧状態での特性の傾斜の逆数が素子の通常抵抗RNである。
素子のソース・ドレイン間を流れ得る最大零電圧(ジョセフソン)電流が臨界電 流1、である、バイアス電流1.がIcよりも小さいと、ソース・ドレイン間の 電圧は丁度零である。3端子超伝導FETでは、ゲート電極に印加された電圧に よってICが制御される。
図3はエンハンスメント・モード動作の典型的超伝導FETの構成図である1図 示のように素子ゲートに対する真理値表は以下の通りである。
Vin 、 Vout OVaa (>v、、+、) Vaa (>V、、+、) I 1R11この回路はI b RN > V − + 1即ちバイアス電流と弱リンクの通常抵抗との積がV ++ r l tつ まり後続の素子内に零電圧出力を誘導するのに要する電圧よりも大きい時のみ論 理ゲート列(仮想線で示す)に使用できる。しかし、従来の素子はどれもI a  RNがV @ f l 1よりもかなり小さい、従って従来の超伝導FETは 実用的でないと考えられてきた。
図3の回路で素子が零電圧状態で動作可能であるためにはバイアス電流1.が最 大可能臨界電流ICよりも小さくなければならない、従って、もっと一般的には I c Rs > V −t+ =であることが超伝導FETに要求される。公 知のどの5FETも積1 c Rsが後続素子の必要臨界ゲート電圧よりもかな り小さいことが分かっている。ある研究では、この状況の改善策として超伝導F ETを高温超伝導素子を用いて作ることを提案している1例えば、エイ・ダブリ ュ・クラインザッサーとティー・エヌ・ジャクソンの「近接効果超伝導FETの 展望」、アイ・イー・イー・イー、トランザクション、マツダ、マツダ25巻、 1274〜1277頁、1989を参照のこと、これらの研究は利得のある素子 の製造が高いI c RN積によって可能であると結論付けているが、この結論 は材料パラメータ、理論に関する楽観的な仮定に基づいている。さらに、多くの 研究にも拘らず、高温超伝導FETの動作に関しては想定した向上度をもつ実際 のFETが高温超伝導材を用いて製造できるかどうかについて多数の理論的疑問 点が未解決である。
発明の開示 高温超伝導FETを用いて利得等の向上を図った超伝導FETを製造しようとす る従来の試みに対して、実施例では現存の低温超伝導FETと、これに接近して 組み合わせられる通常のCMO3回路とによって、混成回路を形成し、これを用 いて上記ジレンマを解決する。技術を別々に用いたのでは達成できない機能を混 成回路によって行うことができる。使用超伝導材の性質にもよるが、この回路は 高温超伝導材での達成向上性を利用するのに好適である。即ち、この回路は現存 の5FET技術での向上を必要とするものではないが、5FET技術が向上すれ ば回路の利用度が高くなる。
実施例では、同一集積回路上で好ましくは5FETの近くに配置した通常のCM OSFETを用いて5FETパス・トランジスタ論理動作のためのゲート電圧を 得ている。上記CMOS F ETの5FET近接配置は必ずしも必要ない、上 記バス・トランジスタを用いると今日通常のバス・トランジスタで行える多種の 論理機能のいずれをも行うことができる。
さらに上記バス・トランジスタは、固有な設計制約のために従来市場化されなか ったバス・トランジスタ応用面で使用できる。例えば、通常のFET素子はオン 抵抗が高くバス信号に対するRC遅延が大きいので多くのバス・トランジスタ応 用面で使用されなかった。実施例によると5FETバス・トランジスタは殆ど理 想的なバス・トランジスタとして機能できる。また、上記回路は5FETまたは ジョセフソン素子の出力電圧を通常のCMOSゲートをスイッチングするのに適 したレベルへ増幅するための優れた手段を提供するので、該回路は組み合わせ論 理回路の全分野で有用である。
混成回路の応用面は多種類のデジタル、アナログVSLI応用範囲に亘り、神経 回路網、クロスバ−・スイッチ・アレーを始めとするディジタル相互接続、そし て選択器、検数回路、多重化、多重分離、バレル・シフタ・高速静的乱アクセス 記憶装置等を始めとするあらゆるタイプのバス・トランジスタ組み合わせ論理回 路がある。
図面の簡単な説明 以下の図面を参照して述べる好適実施例の以下の詳細な説明によって実施例が一 層よく理解されよう。
図1は超伝導材、半導体材を用いて製造された電界効果トランジスタの構成図で ある。
図2は代表的な超伝導FETのソース・ドレイン電圧・電流特性図である。
図3は超伝導FET論理インバータの簡略構成図である。
図4は混成超伝導・半導体回路の一部分の構成図である。
r1!J5は通常の室温CMOSインバータの入出力電圧特性を示す特性図であ る。
図6は低温CMOSインバータの入出力電圧特性を示す特性図である。
図7は、全CMOSゲートの閾値を自動的に1回精密調整することによってこの 発明の半導体・超伝導混成回路系の利用面を広げるのに使用できる混成超伝導・ 半導体回路の構成図である。
図8は超伝導・半導体選択回路の構成図である。
図9は超伝導・半導体3端子検数回路の構成図である。
図IOは超伝導・半導体バレル・シフト回路の構成図である。
発明を実施するための最良の形態 閾値の極近くまで正確にバイアスされた低温度CMOSインバータのようなエン ハンスメント・モード0MO3素子を低電力、高利得増幅器として利用して、5 FET素子やジョセフソン接合からの小電圧を他のCMOSゲートとか5FET を制御するのに適する信号レベルまで増幅することが好ましい実施例の本質であ る。公知の5FET素子はミリボルト程度の出力信号レベルを出力できる。好ま しくは短チャンネルの低温(4,2K)0M08回路を低温5FETと組み合わ せることによって、理想的なバス・トランジスタとして機能する混成回路を作る ことができ、この混成回路を用いて、従来のどのタイプのバス・トランジスタ論 理・記憶素子でも速度、電力消費特性を大幅に向上できる。
5FETやジョセフソン接合の信号電圧レベルを他のCMOSゲートとか5FE Tの制御に必要な電圧レベルへCMO8増幅器を用いて変換する場合、大規模集 積化に際しては、大量生産されたCMOSインバータの閾値電圧がかなり不均一 であるために多数のCMOSインバータを閾値近くまでバイアスすることが実際 上不可能であるという問題に遭遇する。
上記回路は、CMOSバス・トランジスタによって絶縁された節点での電荷保持 時間が低温(100K以下)において実際上無限であることを利用してこの問題 を解決する。バス・トランジスタによって絶縁されている節点での電荷保持時間 はpn接合のソース・ドレインを介する漏洩電流に反比例する。
そして漏洩電流は、too K以下の温度に対して指数関数的に零に減少する真 性キャリア密度に比例する。この条件の下で0.5ボルトが5fFのMOSコン デンサに蓄えられると、蓄積電圧は77にで1ミリボルト1年の割合で崩壊し4 にでは1ナノボルト1年の割合で崩壊する。
実施例では、低温(4,2K)で動作し閾値入力電圧■1の極近くまでバイアス された通常のCMOSインバータ/増幅器が用いられる。反対極性のMOS F  ETの対からなる上記素子の簡略構成を図4に示す、室温でのこの素子の入出 力電圧伝達特性を図5に概略図示する。素子の敷居電圧VT以下の入力電圧にお いて、出力電圧v0は通常3ボルト以上の高論理レベルに設定される。入力電圧 が30ミリボルトを含む閾値範囲を通って増加すると、出力電圧は通常零ボルト のレベルの低論理レベルへ減少する。
室温での通常の遷移電圧帯は、5FETで得られる通常の最大出力電圧よりもか なり大きい。従って、遷移帯の下端に設定された入力バイアス電圧をもつ室温で のCMOSインバータは、5FETゲートの出力段による高低論理状心間の変調 を受けることができなかった。
しかし、CMOSインバータが低温でエンハンスメント・モードの動作をしてい れば、入出力電圧特性はもっと理想的になり非常に狭い閾値電圧範囲を渡って高 出力レベルから抵出ノル−ベルl\の遷移が行える(gJ6)、4にでのCMO Sインバータの遷移範囲は僅か約2ミリボルトである。実施例では、後述の特別 な1回バイアス回路によって入力閾値電圧の極近くまでバイアスされたCMOS インバータを低温で使用して上記特性を活用し、関連5FETの比較的小ざい出 力電圧で変調される大きな出力電圧利得を得ている。この回路の詳細は図7に示 す。
非常な低温では、通常のCM OSは準閾値特性が短チャンネル・シリコン搭載 絶縁体MO3FETと類似している。4にで基板中の不純物物質が凍結し基板が 絶縁体と同様に振る舞う。ドレインからのイオン化電流のためにオン時間中に正 帰還機構が形成され、これにより大ドレイン・バイアスに対して尖鋭な閾値特性 が得られる。僅か2ミリボルトのゲート電圧増分に対して7桁の大きさの電流増 加が観測された。この現象を利用して高感度の増幅器を形成する0通常、正帰還 機構はドレイン−ソース電圧・電流特性での履歴に関連している。自動回路中の トランジスタは校正後に元状態にリセットされるように設計されているので上記 履歴は大きな問題ではない。
実施例では、低温(4,2K)で動作しているサブミクロンCMO3回路素子が 、5FETやJJ素子の小出力電圧をCMOSスイッチングの大レベル信号に変 換するための優れた手段を構成する。この回路は非常に効率よく設計されており 自動校正回路に使われる面積が非常に小さい。VLS I回路では低電力損失、 小面積、高速動作が基本的であるので、上記特性は該VLSIに特に適している 。
図7に自動バイアス、自動校正の低温CMO3増幅器Aを示す。この増幅器Aは CMOSインバータ・増幅器lOとこれに関連している熱学的低温(即ち室温以 下)でのバイアス回路素子とを備えている。回路Aの各回路素子は、従来公知の 短チヤンネル半導体集積回路技術を用いる通常の方法で製造される。
増幅器10が低温定常状態になると、バイアス線12の電圧が高電圧に上昇し校 正クロック回路14が始動する。超伝導5FETまたはジョセフソン接合素子1 6は最初電圧状態に保たれている。また、帰還トランジスタPIは最初オフであ り、従ってバス・トランジスタP2は、インバータ18を介するクロックI4か らのクロック・パルス信号によってオン、オフされ、クロック信号が低いときP 2はオン、クロック信号が高いときオフである。従ってゲート・コンデンサ20 は、トランジスタP2のドレイン回路に与えられた電圧パルスによって充電され る。
P2は小ドレイン・ソース電流を流し従ってそのゲートへの1個のグロック・パ ルス印加では完全にオンしないように設計されているので、上記充電の増加は僅 かである0例えば校正クロック・パルスの大きさは、各クロック・パルスがイン バータの電圧にO,InV以下の変化を与える程度であって十分に小さい、その 結果、遷移帯から0.1+mVの範囲内で増幅器の入力ゲートを充電することが できる。クロック周波数とデュウテイ時間とを調整することによって所望の充電 時間が得られる。
増幅器10のゲート電圧がインバータの閾値を横切ると、バス・トランジスタP 1がオンしP2がオフする。P2が一旦オフするとバイアス線12が増幅器10 への入力から絶縁される。
その後、5FETまたはJJ16が零電圧状態ヘリセットされ校正サイクルが終 了する。超伝導材料としてニオブを使用すると、5FETとかJJのリセットに よってゲートへの最終零入力印加電圧が遷移帯から約3鳳Vとなる。
従って増幅器10は遷移帯の丁度縁即ち下端でバイアスされ入力ゲート・コンデ ンサ20上の電荷が回路の全寿命に亘って保持される1回路が室温に置かれない 限り再校正の必要がない、自動校正回路が必要とするのは2個の入力信号即ちバ イアス電圧とクロック信号だけであり、大規模集積化に際してはこれらは共通の 線から2個の異なる電源へ供給できる。超伝導材料としてニオブを使用して製造 した2個の5FETまたはJJを入力の単−JJ30に代えて直列に接続すると 、5FET16のどんな状態変化によっても、増幅器IOが高出力電圧から低出 力電圧へ完全に遷移できるようになる。さらに、大規模混成回路中の全増幅器I Oに対する自動校正を同時に行うことができる。
上記のように校正されたCMO3増幅器を用いると、5FET16の出力段から 増幅器lOへ加えられた入力ゲート電圧が出力電圧V0を発生し、この出力電圧 を用いて5FETの他の0MO5素子の入力電圧を変調できる。増幅器回路を使 用することによって、5FETパス・トランジスタからの低レベル信号出力電圧 を他の5FET回路を制御可能な高レベル電圧へ変換できる。この様な回路は広 く応用できその内の幾つかを以下に説明する。
みΔわせ への、 5FETを理想的なバス・トランジスタとして用いるという一般的な考えを拡張 して殆どの種類の組み合わせ論理を形成できる。5FETを双方向性回路素子( 即ち、5FETゲート電圧が高いとき情報/論理信号を両方向に流すことができ る素子)として使用し得る応用例が多くある。5FETのこの性質は回路設計に おいて必ずしも基本的重要性をもたないが、興味があり時には有用である。初期 のリレー・スイッチ論理は双方向性素子であるスイッチ接点を使用した。リレ′  −・スイッチ論理に関する他の情報は当該技術で周知である。
一般的な全てのバス・トランジスタ組み合わせ回路は混成超伝導・半導体回路に 形成した5FETとして実現できる。
これらの組み合わせ回路にはプログラム可能論理アレー、選択回路、検数回路等 があり、どんな有限状態マシー〕/でも形成できる回路である。このうち後の2 種類の回路は半導体FET論理において相当複雑に展開できないので、とのVL S■回路設計でも余り利用されなかった。N個の半導体FETバス・トランジス タを介するとRC遅延がN1に比例して増大するのでNの値が小さくても回路遅 延は許容できない程大きくなる。これらの回路を相当複雑に展開するためにはレ ベル回復回路とバッファとを挿入することが必要となる。
図8は5FET選択回路の簡単な実施例を示し、この回路では関数 Z=S、A’B’+S、A’B+S、AB’+S、ABによる制御変数A、Bに よって入力S、、 S、、 Sオ、S、の内の1つが出力として選択される。
AとBの値の各可能な組み合わせに対して、1個の入力S、から出力へと選択回 路を介して通路が存在する。従来のようにデータ通路内の電流は5FETの臨界 電流よりも小さく、ゲート電圧は半導体回路によって与えられる1回路全体が双 方向に機能するので、A、Bの値のある組み合わせに対して、出力側のデータ信 号が接続する入力は唯1個である。
また、この回路は静電電力を消費しないので5FETの形成面積を非常に小さく できる。データ通路はRC遅延に影響されないので、選択回路がもっと多数の入 力を持つように拡張されてもレベル回復回路やバッファの必要がない、この回路 を一般化すると多重化、多重分離に使用できる。
もっと複雑な組み合わせ論理回路の例として、3人力検数機能をもつ5FET構 成を図9に示す。一般に検数回路はN入力、N+1出力を有する。kiiの入力 がハイであると、k番目の出力がハイで他は全てロウである。3人力X、に対し てこの関数を表す出力Z、のブール方程式はz、=x、x’、x’、+x’、x 、x’、+x’、x’、x、。
z、=x、、、 x、x’、、+x、 x’、x、+x’、x、x。
z、 = x、 x、 x。
である。
上記回路を従来のFETがジョセフソン接合ゲートからなるランダム論理によっ て設計すると、特に入力数が大きい場合にトポロジーのフラッジが生じてしまう 、これに対して5FET構成は各要素の適合がよくコンパクトである。このよう に、組み合わせ構成での5FETの本来的な優位性は上記の例から明らかである 。
多くのマイクロプロセッサのデータ通路に設けられる回路はバレル・シフタであ る。この種の回路によるとデータ通路の内容をシフトしたり回転したりできる0 通常、半導体FETバス・トランジスタ構成は緩慢であり、RC遅延や信号振幅 の損失による制約を受ける。この種の回路の5FET構成を図10に示す、デー タ通路の電流は5FETの臨界電流よりも小さくゲート電圧は半導体回路によっ て与えられる。制御線Slを用いてバスB1上のデータをデータ線り、ヘシフト もしくは回転させることができる。また、データ通路制御命令(改定数)の一部 分であるデータを線りを用いてデータ・ストリームへ挿入することもできる。バ レル・シフタの5FET構成はバスの数が多くても非常な高速で動作できる。
なお、上記した全ての5FET構成において静電電力消費は零である。従って、 5FETはまた半導体回路の電圧信号を超伝導回路の電流信号に変換する最も効 率的な方法を提供する。
この発明の好適実施例を用いて発明を開示したが、この発明を逸脱しない限り特 許請求の範囲内で当業者は構造の細部、方法工程の細部の種々の変更を容易に行 うことができるものである。
超電導FETのI −V特性 V 「口弱り、’& 5FETインバータ 検数回路 「宝口幻。■ 要 約 書 この発明の分野は通常の半導体回路を相互接続するための超伝導電界効果トラン ジスタ(SFET)である、現在の5FETは零電力利得であり、別の論理レベ ル電圧回復回路を設けないと、論理ゲートの5FET列を作動させるのに十分な 大きさの出力電圧を発生しないという問題がある。解決策は混成超伝導・半導体 電界効果トランジスタ状回路素子であり、この素子は超伝導電界効果トランジス タ(16)と、これに接近して組み合わされて信号利得を与える熱学的電界効果 インバータ(10)とを備える。混成回路は熱学的応用面でほぼ理想的なバス・ ゲートとして使用することができる。
国際調査報告

Claims (1)

  1. 【特許請求の範囲】 1.(a)素子制御端子と、第2端子と、第3端子とを有し第2、第3端子間の 出力電流が制御端子印加電圧によって制御され、出力電流が温度、入力電荷状態 の関数としての超伝導特性を呈するようになっている超伝導電荷制御3端子素子 (16)と、(b)この超伝導素子(16)からの出力信号を入力信号として入 力し、該超伝導素子(16)からの該入力信号によって変調された半導体スイッ チング電圧レベル出力信号を出力する熱学的半導体相互接続回路(10)とを備 えていることを特徴とする超伝導・半導体電気回路素子。 2.超伝導電荷制御素子(16)が、超伝導源と、超伝導ドレインと、導電ゲー トと、半導体チャンネルとを有する超伝導電界効果トランジスタを備え、ドレイ ン・ソース電流がゲート・ソース印加電圧によって制御される請求項1の回路素 子。 3.熱学的半導体相互接続回路(10)が半導体インバータ回路を備えている請 求項1の回路素子。 4.半導体インバータ回路が、電圧伝達特性の遷移帯の縁における該インバータ の入力電圧閾値よりも若干小さい電圧レベルへ該インバータをバイアスするバイ アス手段を備えている請求項3の回路素子。 5.バイアス手段がバイアス電圧源と、増加バイアス電圧をこのバイアス電圧源 からインバータヘ印加する校正手段とを備えている請求項4の回路素子。 6.校正手段が、電圧伝達特性の遷移帯の縁において該インバータの増加バイア スを停止させるバイアス電圧使用禁止手段を備えている請求項5の回路素子。 7.バイアス手段が、インバータの入力に印加されるバイアス電圧を維持する手 段をさらに備えている請求項4の回路素子。 8.インバータが入力コンデンサを有し、バイアス手段が、電圧伝達特性の遷移 帯よりも若干小さい電圧レベルへ入力コンデンサを充電する手段を備えている請 求項4の回路素子。 9.校正手段が(a)周期的なパルス電圧出力信号を出力する電圧源と、(b) 入力ゲート端子と、電圧供給端子と、出力端子とを有し、周期的パルス電圧信号 がゲート端子に入力ゲート制御信号として供給され、電圧供給端子がバイアス電 圧手段に接続され、出力端子が半導体インバータ回路の入力に接続されている半 導体3端子スイッチング素子とを備えている請求項8の回路素子。 10.3端子スイッチング素子が半導体電界効果トランジスタを備えている請求 項9の回路素子。 11.バイアス電圧使用禁止手段が、入力ゲート端子と、出力端子と、使用禁止 電圧端子とを有する3端子スイッチング素子を備え、ゲート端子がインバータか らの出力電圧信号を入力ゲート制御信号として入力し、使用禁止電圧端子が使用 禁止電圧レベルに保たれ、出力端子にバイアス電圧使用禁止信号が供給されイン バータからの出力電圧の状態に応じてインバータの増加バイアスを停止する請求 項6の回路素子。 12.バイアス電圧使用禁止手段が半導体電界効果トランジスタを備えている請 求項11の回路素子。 13.インバータ上のバイアス電圧維持手段が、不純物半導体素子からなる熱学 的半導体3端子スイッチング素子を備え、3端子スイッチング素子が入力ゲート 端子と、電圧供給端子と、出力端子とを有し、ゲート端子にバイアス使用禁止信 号が供給され、電圧供給端子がバイアス電圧源に接続され、出力端子が半導体イ ンバータ回路の入力に接続されている請求項7の回路素子。 14.超伝導電荷制御素子(16)がジョセフソン接合素子を備えている請求項 1の回路素子。 15.超伝導電界効果トランジスタが超伝導ゲートを有する請求項2の回路素子 。 16.超伝導電荷制御素子(16)と熱学的半導体回路(10)とがモノリシッ ク集積回路中に形成されている請求項1の回路素子。 17.(a)素子制御端子と、第2端子と、第3端子とを有し、第2、第3端子 間の出力電流が制御端子印加電圧によって制御され、出力電流が温度、入力電荷 状態の関数としての超伝導特性を呈するようになっている超伝導電荷制御3端子 素子(16)と、(b)この超伝導電荷制御素子(16)の出力段に形成された 信号を入力信号として入力し、超伝導電荷制御素子(16)からの出力信号の状 態変化に応じて半導体スイッチンゲ・レベル電圧出力信号を出力する電圧変換器 (10)とを備えていることを特徴とする超伝導半導体電気回路素子。
JP91513028A 1990-05-02 1991-03-21 超伝導・半導体回路、素子、装置 Pending JPH05507587A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US518,004 1990-05-02
US07/518,004 US5024993A (en) 1990-05-02 1990-05-02 Superconducting-semiconducting circuits, devices and systems
PCT/US1991/001907 WO1991017569A1 (en) 1990-05-02 1991-03-21 Superconducting-semiconducting circuits, devices and systems

Publications (1)

Publication Number Publication Date
JPH05507587A true JPH05507587A (ja) 1993-10-28

Family

ID=24062136

Family Applications (1)

Application Number Title Priority Date Filing Date
JP91513028A Pending JPH05507587A (ja) 1990-05-02 1991-03-21 超伝導・半導体回路、素子、装置

Country Status (3)

Country Link
US (2) US5024993A (ja)
JP (1) JPH05507587A (ja)
WO (1) WO1991017569A1 (ja)

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH087668B2 (ja) * 1990-02-07 1996-01-29 株式会社東芝 ダイナミックバレルシフタ
US5024993A (en) * 1990-05-02 1991-06-18 Microelectronics & Computer Technology Corporation Superconducting-semiconducting circuits, devices and systems
US5434530A (en) * 1990-05-02 1995-07-18 Microelectronics & Computer Technology Corporation Superconducting semiconducting cross-bar circuit
JPH04302178A (ja) * 1990-12-21 1992-10-26 Texas Instr Inc <Ti> 情報を磁界に記憶させる装置及び方法
JPH05304320A (ja) * 1991-03-27 1993-11-16 Semiconductor Energy Lab Co Ltd 超伝導薄膜トランジスタ及びその作製方法
JP2822773B2 (ja) * 1992-04-28 1998-11-11 住友電気工業株式会社 超電導インタフェース回路
GB9211007D0 (en) * 1992-05-22 1992-07-08 Secr Defence Cold electronic measurement system
US5828079A (en) * 1992-06-29 1998-10-27 Matsushita Electric Industrial Co., Ltd. Field-effect type superconducting device including bi-base oxide compound containing copper
US5424656A (en) * 1993-05-07 1995-06-13 Microelectronics And Computer Technology Corporation Continuous superconductor to semiconductor converter circuit
JPH0786644A (ja) * 1993-09-10 1995-03-31 Fujitsu Ltd 超伝導配線装置
DE69522075T2 (de) 1994-11-02 2002-01-03 Trw Inc Verfahren zum Herstellen von multifunktionellen, monolithisch-integrierten Schaltungsanordnungen
US6831317B2 (en) * 1995-11-09 2004-12-14 Hitachi, Ltd. System with meshed power and signal buses on cell array
JP3869045B2 (ja) * 1995-11-09 2007-01-17 株式会社日立製作所 半導体記憶装置
US5652967A (en) * 1996-04-22 1997-08-05 Hsu; Kevin Sport protector
US5815452A (en) * 1997-06-12 1998-09-29 Enable Semiconductor, Inc. High-speed asynchronous memory with current-sensing sense amplifiers
US5994276A (en) 1997-09-08 1999-11-30 Mcmaster University Composite high Tc superconductor film
US6301147B1 (en) * 1997-12-17 2001-10-09 National Scientific Corporation Electronic semiconductor circuit which includes a tunnel diode
KR100325643B1 (ko) * 1998-08-06 2002-04-17 박호군 하나의트랜지스터를사용한메모리소자및그제조방법
US6078517A (en) * 1998-12-04 2000-06-20 Trw Inc. Superconducting memory cell with directly-coupled readout
FR2801419B1 (fr) * 1999-11-18 2003-07-25 St Microelectronics Sa Procede et dispositif de lecture pour memoire en circuit integre
US6845347B1 (en) 2000-07-21 2005-01-18 Winbond Electronics Corporation Method for modeling an integrated circuit including a DRAM cell
US6414870B1 (en) 2000-10-18 2002-07-02 The United States Of America As Represented By The Secretary Of The Navy Magnetoquenched superconductor valve with bilayer ferromagnetic film for uniaxial switching
US6584006B2 (en) * 2001-01-24 2003-06-24 Infineon Technologies North America Corp. MRAM bit line word line architecture
TWI230392B (en) * 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
AU2002330905A1 (en) * 2001-07-23 2003-02-17 Fernand D. Bedard Superconductive crossbar switch
US20030048656A1 (en) * 2001-08-28 2003-03-13 Leonard Forbes Four terminal memory cell, a two-transistor sram cell, a sram array, a computer system, a process for forming a sram cell, a process for turning a sram cell off, a process for writing a sram cell and a process for reading data from a sram cell
EP1357603A3 (en) 2002-04-18 2004-01-14 Innovative Silicon SA Semiconductor device
EP1355316B1 (en) * 2002-04-18 2007-02-21 Innovative Silicon SA Data storage device and refreshing method for use with such device
US20040228168A1 (en) * 2003-05-13 2004-11-18 Richard Ferrant Semiconductor memory device and method of operating same
US6912150B2 (en) * 2003-05-13 2005-06-28 Lionel Portman Reference current generator, and method of programming, adjusting and/or operating same
US7085153B2 (en) * 2003-05-13 2006-08-01 Innovative Silicon S.A. Semiconductor memory cell, array, architecture and device, and method of operating same
US7335934B2 (en) * 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US7184298B2 (en) * 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
US7123500B2 (en) * 2003-12-30 2006-10-17 Intel Corporation 1P1N 2T gain cell
US7476939B2 (en) * 2004-11-04 2009-01-13 Innovative Silicon Isi Sa Memory cell having an electrically floating body transistor and programming technique therefor
US7251164B2 (en) * 2004-11-10 2007-07-31 Innovative Silicon S.A. Circuitry for and method of improving statistical distribution of integrated circuits
US7301838B2 (en) 2004-12-13 2007-11-27 Innovative Silicon S.A. Sense amplifier circuitry and architecture to write data into and/or read from memory cells
US7301803B2 (en) * 2004-12-22 2007-11-27 Innovative Silicon S.A. Bipolar reading technique for a memory cell having an electrically floating body transistor
US20070023833A1 (en) * 2005-07-28 2007-02-01 Serguei Okhonin Method for reading a memory cell having an electrically floating body transistor, and memory cell and array implementing same
US7606066B2 (en) * 2005-09-07 2009-10-20 Innovative Silicon Isi Sa Memory cell and memory cell array having an electrically floating body transistor, and methods of operating same
US7355916B2 (en) * 2005-09-19 2008-04-08 Innovative Silicon S.A. Method and circuitry to generate a reference current for reading a memory cell, and device implementing same
US20070085140A1 (en) * 2005-10-19 2007-04-19 Cedric Bassin One transistor memory cell having strained electrically floating body region, and method of operating same
US20070183228A1 (en) * 2005-10-27 2007-08-09 Washburn Robert D Control signal interface circuit for computer memory modules
US7683430B2 (en) * 2005-12-19 2010-03-23 Innovative Silicon Isi Sa Electrically floating body memory cell and array, and method of operating or controlling same
US7542345B2 (en) * 2006-02-16 2009-06-02 Innovative Silicon Isi Sa Multi-bit memory cell having electrically floating body transistor, and method of programming and reading same
US7492632B2 (en) * 2006-04-07 2009-02-17 Innovative Silicon Isi Sa Memory array having a programmable word length, and method of operating same
US7606098B2 (en) 2006-04-18 2009-10-20 Innovative Silicon Isi Sa Semiconductor memory array architecture with grouped memory cells, and method of controlling same
WO2007128738A1 (en) * 2006-05-02 2007-11-15 Innovative Silicon Sa Semiconductor memory cell and array using punch-through to program and read same
US8069377B2 (en) 2006-06-26 2011-11-29 Micron Technology, Inc. Integrated circuit having memory array including ECC and column redundancy and method of operating the same
US7542340B2 (en) * 2006-07-11 2009-06-02 Innovative Silicon Isi Sa Integrated circuit including memory array having a segmented bit line architecture and method of controlling and/or operating same
US7615385B2 (en) 2006-09-20 2009-11-10 Hypres, Inc Double-masking technique for increasing fabrication yield in superconducting electronics
US8264041B2 (en) 2007-01-26 2012-09-11 Micron Technology, Inc. Semiconductor device with electrically floating body
US8518774B2 (en) 2007-03-29 2013-08-27 Micron Technology, Inc. Manufacturing process for zero-capacitor random access memory circuits
US8064274B2 (en) 2007-05-30 2011-11-22 Micron Technology, Inc. Integrated circuit having voltage generation circuitry for memory cell array, and method of operating and/or controlling same
US8085594B2 (en) 2007-06-01 2011-12-27 Micron Technology, Inc. Reading technique for memory cell with electrically floating body transistor
US8194487B2 (en) 2007-09-17 2012-06-05 Micron Technology, Inc. Refreshing data of memory cells with electrically floating body transistors
US8536628B2 (en) 2007-11-29 2013-09-17 Micron Technology, Inc. Integrated circuit having memory cell array including barriers, and method of manufacturing same
US8349662B2 (en) * 2007-12-11 2013-01-08 Micron Technology, Inc. Integrated circuit having memory cell array, and method of manufacturing same
US8773933B2 (en) 2012-03-16 2014-07-08 Micron Technology, Inc. Techniques for accessing memory cells
US8014195B2 (en) 2008-02-06 2011-09-06 Micron Technology, Inc. Single transistor memory cell
US8189376B2 (en) * 2008-02-08 2012-05-29 Micron Technology, Inc. Integrated circuit having memory cells including gate material having high work function, and method of manufacturing same
US7957206B2 (en) * 2008-04-04 2011-06-07 Micron Technology, Inc. Read circuitry for an integrated circuit having memory cells and/or a memory cell array, and method of operating same
US20100006912A1 (en) * 2008-07-14 2010-01-14 Honeywell International Inc. Planar Metal-Insulator-Metal Circuit Element and Method for Planar Integration of Same
US7947543B2 (en) * 2008-09-25 2011-05-24 Micron Technology, Inc. Recessed gate silicon-on-insulator floating body device with self-aligned lateral isolation
US7933140B2 (en) 2008-10-02 2011-04-26 Micron Technology, Inc. Techniques for reducing a voltage swing
US7924630B2 (en) * 2008-10-15 2011-04-12 Micron Technology, Inc. Techniques for simultaneously driving a plurality of source lines
US8223574B2 (en) * 2008-11-05 2012-07-17 Micron Technology, Inc. Techniques for block refreshing a semiconductor memory device
US8213226B2 (en) * 2008-12-05 2012-07-03 Micron Technology, Inc. Vertical transistor memory cell and array
US20100200918A1 (en) * 2009-02-10 2010-08-12 Honeywell International Inc. Heavy Ion Upset Hardened Floating Body SRAM Cells
US8319294B2 (en) * 2009-02-18 2012-11-27 Micron Technology, Inc. Techniques for providing a source line plane
US8710566B2 (en) 2009-03-04 2014-04-29 Micron Technology, Inc. Techniques for forming a contact to a buried diffusion layer in a semiconductor memory device
US8748959B2 (en) 2009-03-31 2014-06-10 Micron Technology, Inc. Semiconductor memory device
US8139418B2 (en) * 2009-04-27 2012-03-20 Micron Technology, Inc. Techniques for controlling a direct injection semiconductor memory device
US8508994B2 (en) 2009-04-30 2013-08-13 Micron Technology, Inc. Semiconductor device with floating gate and electrically floating body
US8498157B2 (en) 2009-05-22 2013-07-30 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US8537610B2 (en) 2009-07-10 2013-09-17 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US9076543B2 (en) * 2009-07-27 2015-07-07 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US8199595B2 (en) * 2009-09-04 2012-06-12 Micron Technology, Inc. Techniques for sensing a semiconductor memory device
US8571614B1 (en) 2009-10-12 2013-10-29 Hypres, Inc. Low-power biasing networks for superconducting integrated circuits
US8174881B2 (en) 2009-11-24 2012-05-08 Micron Technology, Inc. Techniques for reducing disturbance in a semiconductor device
US8310893B2 (en) * 2009-12-16 2012-11-13 Micron Technology, Inc. Techniques for reducing impact of array disturbs in a semiconductor memory device
US8416636B2 (en) * 2010-02-12 2013-04-09 Micron Technology, Inc. Techniques for controlling a semiconductor memory device
US8576631B2 (en) * 2010-03-04 2013-11-05 Micron Technology, Inc. Techniques for sensing a semiconductor memory device
US8411513B2 (en) * 2010-03-04 2013-04-02 Micron Technology, Inc. Techniques for providing a semiconductor memory device having hierarchical bit lines
US8369177B2 (en) * 2010-03-05 2013-02-05 Micron Technology, Inc. Techniques for reading from and/or writing to a semiconductor memory device
EP2548227B1 (en) 2010-03-15 2021-07-14 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US8411524B2 (en) 2010-05-06 2013-04-02 Micron Technology, Inc. Techniques for refreshing a semiconductor memory device
US20120166117A1 (en) 2010-10-29 2012-06-28 Xia Llc Method and apparatus for evaluating superconducting tunnel junction detector noise versus bias voltage
US8531878B2 (en) 2011-05-17 2013-09-10 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US9559216B2 (en) 2011-06-06 2017-01-31 Micron Technology, Inc. Semiconductor memory device and method for biasing same
SG11201405220WA (en) 2012-02-28 2014-09-26 Corning Inc Glass articles with low-friction coatings
US9741918B2 (en) 2013-10-07 2017-08-22 Hypres, Inc. Method for increasing the integration level of superconducting electronics circuits, and a resulting circuit
US9520180B1 (en) 2014-03-11 2016-12-13 Hypres, Inc. System and method for cryogenic hybrid technology computing and memory
US10222416B1 (en) 2015-04-14 2019-03-05 Hypres, Inc. System and method for array diagnostics in superconducting integrated circuit
RU2729081C2 (ru) 2015-10-30 2020-08-04 Корнинг Инкорпорейтед Изделия из стекла со смешанными полимерными и металлоксидными покрытиями
US10546621B2 (en) 2018-06-20 2020-01-28 Microsoft Technology Licensing, Llc Magnetic josephson junction driven flux-biased superconductor memory cell and methods
US11430831B2 (en) 2020-06-20 2022-08-30 International Business Machines Corporation Layered hybrid quantum architecture for quantum computing applications
IT202100027515A1 (it) 2021-10-27 2023-04-27 Consiglio Nazionale Ricerche Superconducting variable inductance transistor
WO2023183391A1 (en) * 2022-03-23 2023-09-28 William Robert Reohr Methods and systems for writing state into superconducting circuits with integrated semiconductor-based circuits

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3387286A (en) * 1967-07-14 1968-06-04 Ibm Field-effect transistor memory
US3744038A (en) * 1971-07-09 1973-07-03 Robotron Veb K Superconducting learning matrix
US3914702A (en) * 1973-06-01 1975-10-21 Rca Corp Complementary field-effect transistor amplifier
CH559481A5 (ja) * 1973-12-13 1975-02-28 Ibm
US4130893A (en) * 1977-03-29 1978-12-19 International Business Machines Corporation Josephson memory cells having improved NDRO sensing
JPS5451797A (en) * 1977-10-03 1979-04-23 Nippon Telegr & Teleph Corp <Ntt> Superconductive transmission line
JPS6037620B2 (ja) * 1979-12-11 1985-08-27 株式会社東芝 半導体記憶装置
JPS5712574A (en) * 1980-06-27 1982-01-22 Hitachi Ltd Superconductive integrated circuit chip
US4360898A (en) * 1980-06-30 1982-11-23 International Business Machines Corporation Programmable logic array system incorporating Josephson devices
JPS5851527A (ja) * 1981-09-22 1983-03-26 Nec Corp 半導体装置
US4430582A (en) * 1981-11-16 1984-02-07 National Semiconductor Corporation Fast CMOS buffer for TTL input levels
US4458495A (en) * 1981-12-16 1984-07-10 Sunpower, Inc. Pressure modulation system for load matching and stroke limitation of Stirling cycle apparatus
US4414480A (en) * 1981-12-17 1983-11-08 Storage Technology Partners CMOS Circuit using transmission line interconnections
JPS58139198A (ja) * 1982-02-13 1983-08-18 松下電器産業株式会社 エコ−装置
US4633439A (en) * 1982-07-21 1986-12-30 Hitachi, Ltd. Superconducting read-only memories or programable logic arrays having the same
US4437227A (en) * 1982-10-28 1984-03-20 Sperry Corporation Method of making improved tunnel barriers for superconducting Josephson junction devices
US4509146A (en) * 1982-12-02 1985-04-02 Sperry Corporation High density Josephson junction memory circuit
JPS59108468A (ja) * 1982-12-14 1984-06-22 Olympus Optical Co Ltd 固体撮像装置
US4499119A (en) * 1983-07-06 1985-02-12 Sperry Corporation Method of manufacturing super-conductive tunnel junction devices with precise junction area control
JPS6051323A (ja) * 1983-08-31 1985-03-22 Toshiba Corp Cmos伝送回路
JPS60105972A (ja) * 1983-11-15 1985-06-11 Iwatsu Electric Co Ltd アナログ信号測定装置
JP2564787B2 (ja) * 1983-12-23 1996-12-18 富士通株式会社 ゲートアレー大規模集積回路装置及びその製造方法
DE3588114T2 (de) * 1984-04-19 1997-02-06 Hitachi Ltd Supraleitende Anordnung
US4785426A (en) * 1984-09-21 1988-11-15 Hitachi, Ltd. Superconducting switching circuit, memory cell and memory circuit, with resonance damping resistors
JPS61150366A (ja) * 1984-12-25 1986-07-09 Nec Corp Mis型メモリ−セル
JPS61165888A (ja) * 1985-01-17 1986-07-26 Agency Of Ind Science & Technol ジョゼフソン記憶セル
JPS6212212A (ja) * 1985-07-10 1987-01-21 Hitachi Ltd 超電導回路
US4719369A (en) * 1985-08-14 1988-01-12 Hitachi, Ltd. Output circuit having transistor monitor for matching output impedance to load impedance
US4663620A (en) * 1985-09-05 1987-05-05 International Business Machines Corporation Modified crossbar switch operation with fixed priority conflict resolution and apparatus for performing same
US4807183A (en) * 1985-09-27 1989-02-21 Carnegie-Mellon University Programmable interconnection chip for computer system functional modules
US4638187A (en) * 1985-10-01 1987-01-20 Vtc Incorporated CMOS output buffer providing high drive current with minimum output signal distortion
US4706240A (en) * 1985-11-29 1987-11-10 American Telephone And Telegraph Co., At&T Bell Labs Switching system having multiple parallel switching networks
JPS62131588A (ja) * 1985-12-04 1987-06-13 Hitachi Ltd 超伝導トランジスタの製法
JPH0681029B2 (ja) * 1985-12-27 1994-10-12 株式会社東芝 出力回路装置
US4780750A (en) * 1986-01-03 1988-10-25 Sierra Semiconductor Corporation Electrically alterable non-volatile memory device
US4710649A (en) * 1986-04-11 1987-12-01 Raytheon Company Transmission-gate structured logic circuits
JPS631085A (ja) * 1986-06-20 1988-01-06 Nec Corp 超電導三端子素子
JPS6387697A (ja) * 1986-09-30 1988-04-18 Fujitsu Ltd ジヨセフソンメモリ
US4760292A (en) * 1986-10-29 1988-07-26 Eta Systems, Inc. Temperature compensated output buffer
US4779230A (en) * 1986-12-29 1988-10-18 Motorola, Inc. CMOS static ram cell provided with an additional bipolar drive transistor
US4817082A (en) * 1987-03-09 1989-03-28 American Telephone And Telegraph Company, At&T Bell Laboratories Crosspoint switching system using control rings with fast token circulation
US4849751A (en) * 1987-06-08 1989-07-18 American Telephone And Telegraph Company, At&T Bell Laboratories CMOS Integrated circuit digital crossbar switching arrangement
US4852083A (en) * 1987-06-22 1989-07-25 Texas Instruments Incorporated Digital crossbar switch
US4920512A (en) * 1987-06-30 1990-04-24 Mitsubishi Denki Kabushiki Kaisha Non-volatile semiconductor memory capable of readily erasing data
JPH0618197B2 (ja) * 1987-07-30 1994-03-09 日本電気株式会社 超伝導モノリシックマイクロ波集積回路
JPS6445179A (en) * 1987-08-14 1989-02-17 Hitachi Ltd Memory cell
US4845722A (en) * 1987-10-16 1989-07-04 Digital Equipment Corporation Computer interconnect coupler employing crossbar switching
US5057491A (en) * 1987-12-16 1991-10-15 California Institute Of Technology High current density tunnel junction fabrication from high temperature superconducting oxides
US4994434A (en) * 1988-02-08 1991-02-19 Eastman Kodak Company Method of forming a barrier layer arrangement for conductive layers on silicon substrates
US4859897A (en) * 1988-04-07 1989-08-22 Frank Massa Directional waterproof ultrasonic transducer for operating in air
JPH02100382A (ja) * 1988-10-07 1990-04-12 Fuji Electric Co Ltd 電界郊果型超電導トランジスタ及びその製造方法
JPH0834061B2 (ja) * 1988-10-24 1996-03-29 富士通株式会社 ジョセフソンメモリ回路
FR2638569B1 (fr) * 1988-10-25 1992-11-20 Seiko Epson Corp Transistor josephson du type a effet de champ et procede de fabrication d'une jonction josephson
US4980580A (en) * 1989-03-27 1990-12-25 Microelectronics And Computer Technology Corporation CMOS interconnection circuit
US5030617A (en) * 1989-05-23 1991-07-09 Motorola, Inc. Electrically switched superconductors
IT1230363B (it) * 1989-08-01 1991-10-18 Sgs Thomson Microelectronics Cella di memoria eeprom, con protezione migliorata da errori dovuti a rottura della cella.
US5051627A (en) * 1989-12-29 1991-09-24 Trw Inc. Superconducting nonhysteretic logic design
US5024993A (en) * 1990-05-02 1991-06-18 Microelectronics & Computer Technology Corporation Superconducting-semiconducting circuits, devices and systems
US5166562A (en) * 1991-05-09 1992-11-24 Synaptics, Incorporated Writable analog reference voltage storage device
US5253199A (en) * 1991-06-17 1993-10-12 Microelectronics And Computer Technology Corporation JJ-MOS read access circuit for MOS memory

Also Published As

Publication number Publication date
WO1991017569A1 (en) 1991-11-14
US5388068A (en) 1995-02-07
US5024993A (en) 1991-06-18

Similar Documents

Publication Publication Date Title
JPH05507587A (ja) 超伝導・半導体回路、素子、装置
Inokawa et al. A multiple-valued logic and memory with combined single-electron and metal-oxide-semiconductor transistors
US3356858A (en) Low stand-by power complementary field effect circuitry
Ekanayake et al. Characterization of SOS-CMOS FETs at low temperatures for the design of integrated circuits for quantum bit control and readout
US5543737A (en) Logical operation circuit employing two-terminal chalcogenide switches
US6433620B1 (en) Silicon-on-insulator CMOS circuit
US5930323A (en) Ultra fast shift register using resonant tunnel diodes
Wen et al. Josephson junction field-effect transistors for Boolean logic cryogenic applications
Reddy et al. Bilayer pseudospin field-effect transistor: applications to Boolean logic
US11176997B2 (en) Memory cell
US5424656A (en) Continuous superconductor to semiconductor converter circuit
US3549911A (en) Variable threshold level field effect memory device
Sudirgo et al. Monolithically integrated Si/SiGe resonant interband tunnel diode/CMOS demonstrating low voltage MOBILE operation
Lee et al. A novel SET/MOSFET hybrid static memory cell design
EP0827156A2 (en) Shift register using negative resistance devices
Cai et al. Breakdown analysis of magnetic flip-flop with 28-nm UTBB FDSOI technology
Nakazato et al. Enhancement of Coulomb blockade in semiconductor tunnel junctions
US5434530A (en) Superconducting semiconducting cross-bar circuit
Mishra et al. Power consumption analysis of MOSFET and Single electron transistor for inverter circuit
US6636073B2 (en) Semiconductor integrated circuit
Miki et al. Subfemtojoule deep submicrometer-gate CMOS built in ultra-thin Si film on SIMOX substrates
Ghoshal et al. Josephson-CMOS memories
Raman et al. High noise margin, digital logic design using josephson junction field-effect transistors for cryogenic computing
US6326858B1 (en) Oscillator circuit with thermal feedback
Takahashi et al. Development of silicon single-electron devices