JPH0319213A - 接点形成方法 - Google Patents

接点形成方法

Info

Publication number
JPH0319213A
JPH0319213A JP2121400A JP12140090A JPH0319213A JP H0319213 A JPH0319213 A JP H0319213A JP 2121400 A JP2121400 A JP 2121400A JP 12140090 A JP12140090 A JP 12140090A JP H0319213 A JPH0319213 A JP H0319213A
Authority
JP
Japan
Prior art keywords
layer
etch
etching
etch stop
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2121400A
Other languages
English (en)
Other versions
JPH0658902B2 (ja
Inventor
San-Mei Ku
サン‐メイ・ク
Kathleen A Perry
キヤスリーン・アリス・ペリイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH0319213A publication Critical patent/JPH0319213A/ja
Publication of JPH0658902B2 publication Critical patent/JPH0658902B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/011Bipolar transistors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/124Polycrystalline emitter
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/97Specified etch stop material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Bipolar Transistors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 A.産業上の利用分野 本発明は、半導体デバイスの製造に関するものであり、
より具体的には、選択されたデバイスの微細形状への電
気的接続を形成する方法に関するものである。
B.従来の技術 半導体デバイスを製造する際、絶縁材料層を貫通してエ
ッチングを行なって、デバイス領域や接点等の下層の微
細形状を露出させる必要があることが多い。たとえば、
後で金属に接続すべくシリコンまたは多結晶シリコンを
露出させるために、二酸化シリコンまたはガラス絶縁体
の層を貫通してエッチングを行なう必要があることがあ
る。このようなエッチングは、通常、異方性エッチ法、
たとえば、適当なプラズマ・エッチャントを使用した反
応性イオン・エッチング(RIE)法によって行なう。
デバイスの微細形状が複雑になり、高さの異なる微細形
状に対して、幅の異なる開口が必要となるにつれて、上
記のエッチング法はます.まず制御が難しくなる。すな
わち、深い微細形状を露出するためにエッチングを続け
ている間に、より浅い下層のデバイスの微細形状までエ
ッチングが制御不能に続けられる傾向がある。同様に、
広い開口部は狭い開口部よりエッチングが速く進行し、
望ましくないことであるが、やはり下層の微細形状にま
で工.ツチングが続けられる傾向がある。これにより、
不注意にエッチングされた微細形状に損傷が発生する。
当技術分野では、各種の材料に対して選択性または優先
性をもつエッチャントおよびエッチング方法を使用する
ことが知られている。たとえば、米国特許第44855
52号および第4824739号明細書は、シリコンま
たは多結晶シリコン上の材料に対して選択性をもつエッ
チャントを使用することを開示している。米国特許第4
481706号、第4871970号、第488833
8号、第4848937号および第4455194号明
細書は、上層の材料に対して選択性をもつエッチャント
に対するエッチ・ストップとして、シリコンまたは多結
晶シリコンを使用することを開示している。たとえば第
4455194号明細書は、多結晶シリコンをリンケイ
酸ガラス(PSG)のエッチ・ストップとして使用して
、トランジスタと同時にヒューズを形成することを開示
している。
S.ボイヤー(Boyar )他の論文「石英トレンチ
のRIEエツチ・ストップ(Quartz trenc
hRIE Etch Stop) J 、I B Mテ
クニカル・ディスクロージャ・ブルテン、Vo l.2
4、NO−  10 (1982年3月)は、酸化マグ
ネシウムと金属マグネシウムの両方を、石英のエッチ・
ストップとして使用することを開示している。
ハンフリー(Humphrey )の論文、「プラズマ
・エッチング用のエッチ・ストップとしての酸化した窒
化シリコンの使用(Use of Oxidized 
SiliconNitride as an Etch
 Stop for Plasma Etching)
 J、IBMテクニカル・ディスクロージャ・プルテン
、Vol.23、No.4 (1980年9.月)は、
多結晶シリコンのエッチ・ストップとして酸窒化シリコ
ンを使用することを開示している。
しかし、上記の発表はいずれも、最新技術による半導体
デバイスの複雑な微細形状に使用できる、十分に制御さ
れたエッチング方法を提供するとは考えられない。
C.発明が解決しようとする課題 本発明の目的は、半導体デバイスを形成する際に、電気
的接触を行なうための開口をエッチングする新しい改良
された方法を提供することにある。
本発明の目的には、望ましくないオーバエッチ,を抑制
しながら、様々な幅の開口をエッチングする上記の方法
を提供することも含まれる。
本発明の目的には、望ましくないオーバエッチを抑制し
ながら、様々な高さの下層の微細形状への開口をエッチ
ングする、上記の方法を提供することも含まれる。
本発明の目的には、従来の半導体加工技術に容易に適合
させることのできる上記の種類のエッチング方法を提供
することも含まれる。
D.課題を解決するための手段 本発明によれば、電気的接続を形成する必要がある場所
に、少なくとも2つの微細形状を有する半導体基板を設
けるステップ、各微細形状の上に、第1のエッチ特性を
有するエッチ・ストップ材料の層を形成するステップ、
各微細形状の上に、第2のエッチ特性を有する誘電材料
の層を形成するステップ、エッチ・ストップ材料の層の
上で実質的に停止するように、誘電材料の層に対して選
択性をもつエッチセントを使用して、誘電材料の層を貫
通して、各微細形状上にバイアを含む少なくとも2つの
バイアを同時にエッチングするステップ、および後で電
気的接続が行なえるように微細形状を露出させるため、
エッチ・ストップの層を貫通してバイアを延ばすステッ
プを含む、新しい改良された半導体デバイスの接点を形
成する方法が提供される。
本発明の他の態様によれば、バイポーラ・トランジスタ
の付随的ベース接点、エミッタ接点、およびシリコン・
サブコレクタ・リーチスルー領域に電気的接続を形成す
る新しい改良された方法が提供される。この方法は、ベ
ース接点、エミッタ接点、およびシリコンeサブコレク
タ●リーチスルー領域上に第1のエッチ特性を有するエ
ッチ・ストップ材料の層をコンフォーマルに形成するス
テップ、エッチ・ストップ材料の層の上に第2のエッチ
特性を有する誘電材料の層をフンフォーマルに形成する
ステップ、ベース接点、およびサプコレクタ・リーチス
ルー領域上のエッチ・ストップ材料の層で停止するよう
に、誘電材料に対して選択性をもつエッチャントを使用
して、誘電材料の層を貫通してベース接点およびサブコ
レクタ・リーチスルーのバイアを同時にエッチングする
ステップ、ベース接点およびサブコレクタ・リーチスル
ー・バイアの表面が露出するように、エッチ・ストップ
材料の層を貫通して、ベース接点およびサブコレクタ・
リーチスルーのバイアを延ばすステップ、ベース接点お
よびサブフレクタ・リーチスルー・バイア上にマスクを
形成するステップ、エミッタ接点上のエッチ・ストップ
材料の層の上で停止するように、誘電材料に対して選択
性をもつエッチャントを使用して、誘電材料の層を貫通
してエミッタ接点のバイアをエッチングするステップ、
エッチ・ストップ材料の層を貫通してエミッタ接点の表
面までエミッタ接点のバイアを延ばすステップ、および
マスクを除去するステップを含む、新しい改良された方
法が提供される。
E.実施例 図面を参照すると、第IA図は、P型シリコン基板24
の表面上に成長させたN一型エピタキシャル層22を含
む、半導体チップのデバイス領域20を示す。N型およ
びP型半導体材料とは、ドーパントの種類を示し、必要
に応じて”+”および”で濃度を示す。
高度にドーピングしたN′″型サブコレクタ領域26が
、エビタキシャル層22中の底部近くに埋め込まれ、同
様にドーピングしたリーチスルー領域28が垂直に延び
て、サブコレクタ領域をエビタキシャル層の表面に接続
している。
分離トレンチ30がデバイス領域2oを包囲し、エビタ
キジャル層22の表面から基板24中へと下方に延びて
いる。分離トレンチ30は、絶縁熱酸化物壁32と、多
結晶シリコン等の絶縁充填物34を含み、デバイス領域
20内に形成されるトランジスタを周囲のデバイス(図
示せず)から電気的に絶縁する働きをする。
P+型にドーピングした多結晶シリコンのリング状(上
から見て)の領域3Bが、埋め込まれたサブコレクタ領
域28上のエビタキシャル層22の表面にあり、領域3
eの一部分が、二酸化シリコン絶縁層37によってエビ
タキシャル層から分離されている。領域36の内周は、
接触域36Aでエビタキシャル層22と接触し、同様に
リング状のP◆型付随的ベース領域38への自己整合付
随的ベース接点として機能する。付随的ベース領域38
を、たとえば上層のP+型多結晶シリコン付隨的ベース
接点3E3Aからの外方拡散にようて形成する。二酸化
シリコン(SiO2)42と窒化シリコン(SiaN+
)44の絶縁層のスタック40を、付随的ベース接点内
の、スタックを除いたときに形成される開口46を除い
て、付随的ベース接点38およびデバイスの表面上に一
般にコンフォーマルに形成する。
多結晶シリコン層48が上記デバイス上に一般にコンフ
ォーマルに設けられ、実質的P型ベース領域50が、開
口46の下のエビタキシャル層22の表面にあり、付随
的ベース領域38とつながっている。
第IA図の上記のデバイス構造は、本発明を説明する目
的で示したものであり、多くの周知の半導体製造技術を
使用して容易に形成できる構造である。このようなデバ
イスの製造については、米国特許第4431460号明
細書を参照されたい。
同明細書には、実質的に同一の構造を製作する方法が教
示されている。下記の説明を考慮すれば、本発明は上記
のデバイス構造のみに限定されるものではないことが理
解できるはずである。
次に第1B図を参照すると、Si02N!52をデバイ
ス上に100−200オングストロームの範囲の厚さに
コンフォーマルに形成する。酸化物層52は、たとえば
熟成長、化学蒸着(CVD)、プラズマ強化CVD等の
通常の方法で形成することができる。従来のCVD法を
用いて、実質的なドーピングしない多結晶シリコンの層
54を酸化物層52の上に1000−3000オングス
トロームの範囲の厚さにコンフォーマルに形成する。
次に第IC図を参照すると、石英(または下記に詳細に
説明する他の低誘電性材料)の層56を、スパッタリン
グにより、デバイスの表面上にコンフォーマルに付着さ
せ、約1.0−1.5μmの範囲の厚さに平坦化する。
この平坦化は、たとえば通常の化学・機械研磨を用いて
行なう。層56の平坦化した表面上に、通常のフォトリ
ングラフィ技術によりフォトレジスト●マスク57を形
成する。マスク57は、付随的ベース接点36の最左端
の部分の上に開口58を有し、サブコレクタ・リーチス
ルー領域28の上に開口60を有する。
下記のようにしてマスクの開口58、80を使って、下
層のデバイス構造への金属接点用のバイアを形成する。
次に第ID図を参照すると、たとえば、CF4/ C 
H F a / A rプラズマを使用した異方性RI
Eにより層56をエッチングして、開口58、80を下
方に延ばし、実質的多結晶シリコン層54と接触させる
。開口58の下に付随的ベース接点3Bが存在するため
、開口58は開口60と高さが異なる。したがって、上
記のRIEの際に、開口58は開口80よりもかなり短
時間で形成される。本発明の主要な特徴および利点によ
れば、RIEのエッチ・ストップとして実質的多結晶シ
リコン層54を使用することにより、バイ758の底部
のオーバエッチが防止され、したがってその下にあるデ
バイス構造の損傷が防止される。
本発明は、石英のエッチ・ストップとして実質的多結晶
シリコンを使用することだけに限定されるものではない
。エッチ速度が著しく異なる2つの材料であれば、との
よウなものでもよい。絶縁体とエッチ・ストップのエッ
チ速度の比は、約25=1が好ましい。層56には石英
等の実質的絶縁体を使用するのが好ましいが、リンケイ
酸ガラス(PSG)、ホウケイ酸ガラス(BSG)、ポ
リイ竃ド等の有機物質等、他の絶縁材料も使用できる。
誘電率の低い絶縁材料が、後で形成するメタライゼーシ
ロン層間のキャパシタンスを下げるので好ましい。
エッチ・ストップ層54は、1)望ましいエッチ速度比
を有し、2)適当な湿式またはRIEエツチャントによ
り容易に除去でき、3)下にあるデバイスの浅いドーピ
ング●プロファイルに影響を与えないように、比較的低
温で形成が可能で、4)隣接する材料のドーパント濃度
が変わらないように、本来ドーピングを生じない性質を
もつものを選択することが好ましい。エッチ・ストップ
層54の代替材料として、たとえばAQ203、M g
 0 2等の絶縁材料も使用できる。
次に第IE図を参照すると、エッチ・ストップ層54を
使用して、深さの異なるバイア58、60を形成した後
、CQ2、Cu2/02/ArまたはSFs/Cfl2
プラズマを使用したRIEにより、開口内の露出した層
54を除去する。HFによる湿式エッチングまたはCF
4プラズマによるRIE等の適当な湿式エッチングまた
はRIEにより、酸化物の露出した層52を除去する。
適当なRIEを用いてバイ758、60をさらに延ばし
、実質的多結晶シリコンの露出した層48を除去する。
次にレジスト層57を通常の方法で剥離する。
バイ758、60の深さが異なっていても、層54、5
2、48のエッチングされた領域は、それぞれの開口内
でほぼ同じ厚さであり、したがって同じエッチ速度でエ
ッチングされる。このため、第IE図を参照して説明し
た工程では、オーバエッチングの危険はほとんどない。
次に第IF図を参照すると、デバイス20に通常の熱酸
化処理を施して、それぞれ開口58、60内の層48、
54の露出した縁部に、厚さ1000オングストローム
の熱酸化物の層48A,54Aを形成する。任意選択で
、開口58、80の壁面上に垂直のライナとして、絶縁
材料の層62を形成してもよい。絶縁層62は、たとえ
ば通常のCVDまたはPECVD法を使用して、デバイ
ス20上にSiO2またはSI3N4をコンフォーマル
に付着させ(図示せず)、次に異方性エッチングを行な
って、バイ758、60の壁面を絶縁する垂直の層を残
すことにより形成することができる。
次に、適当なRIEを使用して、バイ758、60内の
層42、44の露出した部分を除去する。
このようにして、バイ758は延びて付随的ベース接点
36の上面に接触し、一方バイア60は延びてサブコレ
クタ・リーチスルー領域28の上面に接触する。
次に第IG図を参照すると、通常のフォトリングラフィ
技術を使用し、デバイスの表面上に一般にコンフォーマ
ルにレジスト・マスク84を形成し、バイ758、80
を充填し、エミッタの位置を画定するため開口66の中
心が付随的ベース接点36内にくるようにしてパターン
付けを行なう。
マスク64を上記の適当なエッチャントとともに使用し
て、まず石英層56中をエッチ・ストップ層54まで、
次にエッチ・ストップ層中を酸化物層52まで、異方性
エッチングを行なう。
デバイス20に通常の熱酸化を施して、開口66内の実
質的多結晶シリコン層54の露出した縁部上に、さらに
厚さ1000オングストロームの熱酸化物スペーサ54
Aを形成する。次にヒ素等のN+型ドーパント68をイ
オン注入して、多結晶シリコン層48の、開口66中の
酸化物52で覆われた部分をドーピングする。このイオ
ン注入は、たとえば約30−40keVのエネルギー約
1−3X1016イオン/cm2のイオン量で行なう。
このイオン注入により、実質的ベース領域50の表面上
に、エミッタ領域68が形成され始める。
本発明の他の特徴および利点によれば、エミッタ領域6
8の形成中に、バイ758、80を(フォトレジスト層
64で)充填することにより、バイア58、60の底部
の領域の濃度を変えることなく、エミッタ領域がドーピ
ングされる。エッチ・ストップ層54を使用すると、バ
イアの形成が容易になり、しかも不慮のオーバエッチン
グが抑制されるため、このエミッタ・バイ766を形成
する方法で有益である。
更に第IG図を参照すると、フォトレジスト層84を通
常の手段で除去する。デバイス20を通常の熱叩き込み
およびアニーリングの熱サイクルにかけて、エミッタ領
域68の形成を完了させ、これを活性化させる。この叩
き込みおよびアニーリングの熱サイクルは、開口68中
の熱酸化物層54Aの形成を増大させるのにも、また上
記の個別の酸化工程の代りに使用することもできる。
上記の方法によれば、垂直なパイボーラNPNトランジ
スタ69がデバイス領域20中に形成されており、この
トランジスタは、埋め込まれたサプコレクタ領域28、
実質的ベース領域50、およびエミッタ領域68を含む
次に第IH図を参照すると、たとえばスパッタリングま
たは蒸着により、白金の層(図示せず)をデバイス20
の表面上にコンフォーマルに形成する。次に、デバイス
20をたとえば約550℃で約20分間焼成して、それ
ぞれバイ758、60の底部の露出した表面上にPtS
 i合金の層70、72を形成する。バイア66の底部
の酸化物層52は、そこにPtSiが形成されるのを防
止し、未反応のptは王水中でエッチングすることによ
りデバイスから除去する。
次に第II図を参照すると、デバイス20をHFの希薄
溶液に浸漬して、酸化物層52の開口66中に露出した
部分を除去する。層52は、同じ開口66内の酸化物層
54Aよりかなり薄いため、厚い層にほとんど影響を与
えずに層52を完全に除去することができる。
次に第IJ図を参照すると、金属、たとえばタングステ
ンまたはアルミニウム・銅合金を通常のスパッタリング
、蒸着またはCVD法によって付着させ、バイア58、
80、88を充填し、石英層56の表面を被覆する。次
に、たとえば通常の化学・機械研磨法により、この金属
を石英層56の上面と同一面とし、バイ766、58、
60中にそれぞれバイポーラ・トランジスタ69の金属
スタッド74、76、78を形成する。スタッド74、
78、78は、それぞれバイポーラ・トランジスタ68
の工文ツタ、付随的ベースおよびサブコレクタ・リーチ
スルー領域への電気的接点として機能し、後で形成する
メタライゼーシーン層(図示せず)によって接触されて
、必要に応じてトランジスタを接続する。
次に、第2A図ないし第2I図に示す本発明の代替実施
例について説明する。第2A図は、フォトレジスト●マ
スク82を加え、多結晶シリコン層48を事前にドーピ
ングしてエミッタ領域68を形成した以外は、上記第I
B図に示したデバイスと同じ構造の半導体チップのデバ
イス部分80を示す。
本発明のこの実施例では、エミッタ領域68を形成する
ために使用するイオン注入工程(第lG図参照)を、多
結晶シリコン層48および酸化物層52の形成後、多結
晶シリコン層54の形成前に(適当なフォトリソグラフ
ィ・マスクを用いて)行なろ。また部分的なエミッタの
叩き込みサイクルにかけて、エミッタ領域68の形成を
開始する。
通常のフォトリングラフィ技術によって形成したフォト
レジスト・マスク82は、マスク部分82A,82B,
82Cが残るようにパターン付けされている。マスク部
分82Aは、後で付随的ベース接点36の左端の部分へ
のデバイス接点となる部分の上にある。同様に、マスク
部分は82B182Gは、それぞれ後でエミッタ接点お
よびサブコレクタ・リーチスルー領域になる部分の上に
ある。第IB図に示したものと同じその他の微細形状は
、同じ番号で示す。
次に、第2B図を参照すると、上記の適当なエッチャン
トを使って層54、52、48のマスクをかけていない
部分を異方的に除去し、層44の上面で停止させる。第
2C図に示すように、通常の方法でフォトレジスト・マ
スク82を除去し、Si3N.の層84をデバイスの上
にコンフォーマルに付着させる。層84は、通常のCV
D法を用いて、約300ないし500オングストローム
の厚さに形成する。
次に、第2D図を参照すると、スパッタリングにより、
石英の層86をデバイス80の表面上に約2ないし3μ
mの深さにコンフォーマルに形成する。次に、たとえば
通常の化学・機械研磨法を用いて石英層86を平坦化し
、フォトレジスト・マスク層87をコーティングする。
フォトレジスト・マスク87は、通常のフォトリソグラ
フイ技術により形成し、1対の開口88、90を形成す
るようにパターン付けされている。開口88は、層48
、52、54の左端に残るスタックの上に位置し、後で
付随的ベース接点36へのバイアの位置を画定するため
に使用される。開口90は、層4B、52、54の右端
に残るスタックの上に位置し、後でサブコレクタ・リー
チスルー領域28の上面へのバイアの位置を画定するた
めに使用される。
次に、第2E図を参照すると、適当なRIEを用いて、
開口88、90を層86を下方に延ばして、エッチ・ス
トップ多結晶シリコン層54の上面を露出させる。本発
明の主要な特徴と利点によれば、上記の第1D図に関し
て説明したのと同様に、エッチ・ストップ層54は、深
いほうの開口90の形成が完成する間に、開口88の底
部でのオーバエッチおよび損傷を防止する。
次に、第2F図を参照すると、上述のように適当なエッ
チャントを使用して、開口88、90を、層54、52
、48中を順次下方に層44の表面まで延ばす。
次に、第2G図を参照すると、通常の方法でフォトレジ
スト・マスク87を除去し、デバイスに通常の熱酸化を
施して、層48および54の露出した縁部を酸化する。
酸化後の縁部を48A154Aで表す。縁部48A15
4Aは、少なくとも約1000オングストロームの厚さ
まで酸化する。
任意選択で、通常のCVD法により、Si3N4をデバ
イス上にコンフォーマルに付着させ、適当な異方性エッ
チングを用いて水平部分を除去し、開口88、90内の
壁面上に任意選択の垂直のライナ92を残してもよい。
適当なエッチャントを使用して、絶縁層44、42を順
次除去し、開口88の底部の付随的ベース接点36の表
面と、開口90の底部のサブコレクタ・リーチスルー領
域28の表面を露出させる。
白金の層をデバイスの上にコンフォーマルに付着させ、
デバイスを焼成してPtSiの層94、96をそれぞれ
バイ788、90の底部に形成する。
白金の残った未反応領域は、王水でエッチングして除去
する。
次に、第2H図を参照すると、通常のフォトリングラフ
ィ技術により、フォトレジスト・マスク98をデ〉イイ
ス上にコンフォーマルに形成シて、バイア88、90を
充填する。マスク98は、エミッタ領域68上に中心が
ある開口100と、エミッタ領域の上の層48、52、
54のスタックを画定するようにパターン付けされ、て
いる。次に、適当なエッチャントを使用して、バイア1
00を下方に、まずエッチ・ストップ層54まで、続い
てドーピングした多結晶シリコン層48の表面まで延ば
す。次に、通常の方法でマスク98を除去する。
バイ788、90をレジスト材料98で充填したままで
エミッタ開口100を形成すると、PtSiバッド94
、9Bを損傷せずにバイアの形成が完成する。このよう
にしないと、付随的エミッタ領域48の表面を露出する
のに用いる方法でこれらのパッドが損傷を受ける。
次に、第2I図を参照すると、タングステンまたはアル
ミニウム・銅合金等の金属の層を、通常のスパッタリン
グ、蒸着またはCVDによって付着させて、バイア8B
、90,100を充填し、層86の表面を被覆する。次
に、たとえば通常の化学・機械研磨法により、金属を石
英層86の表面と同じ高さに平坦化する。その結果、付
随的べ−ス接点38の表面上のPtS iバッド94へ
の金属スタッド接点102、N+にドーピングした多結
晶シリコン層48(すなわちエミッタ接点)の表面への
金属スタッド接点104、およびサブコレクタ・リーチ
スルー領域28の表面上のPtSiパッド96への金属
スタッド接点106が得られる。後で形成するメタライ
ゼーション層(図示せず)を使用して、金属スタッド1
02、104、108を、したがってバイポーラ・トラ
ンジスタを所期の形で接続および相互接続する。
F.発明の効果 したがって、バイアを形成し、次に選択した半導体デバ
イスの微細形状へのデバイス接点を形成する方法が提供
される。この方法は、高さと幅の異なる接触バイアをも
たらすとともに、オーパエッチングとデバイスの損傷を
防止する、エッチ・ストップ層を使用するものである。
この方法は、従来の加工技術と一緒に使用できる。さら
に、バイポーラ・トランジスタの各領域へのバイアを形
成する詳細な方法も提供される。本発明の方法は、微小
な半導体デバイスの形成、特に超大規模集積回路(VL
SI)デバイスの形成に特に有用である。
【図面の簡単な説明】
第I八図ないし第IJ図は、本発明の1実施例による半
導体デバイス製造工程の、連続するステップを示す断面
図である。 第2A図ないし第21図は、本発明の第2の実施例によ
る半導体デバイス製造工程の連続するステップを示す断
面図である。 20・・・・デバイス領域、22・・・・エビタキシャ
ル層、24・・・・シリコン基板、28・・・・サブコ
レクタ領域、28・・・・リーチスルー領域、30・・
・・分離トレンチ、38・・・・付随的ベース領域、5
7・・・・フォトレジスト・マスク。

Claims (2)

    【特許請求の範囲】
  1. (1)半導体デバイスの接点を形成する方法であって、 電気的接続を形成する必要がある場所に、少なくとも2
    つの微細形状を有する半導体基板を設けるステップ、 上記の各微細形状の上に、第1のエッチ特性を有するエ
    ッチ・ストップ材料の層を形成するステップ、 上記の各微細形状の上に、第2のエッチ特性を有する誘
    電材料の層を形成するステップ、 上記のエッチ・ストップ材料の層の上で実質的に停止す
    るように、上記の誘電材料の層に対して選択性をもつエ
    ッチャントを使用して、上記の誘電材料の層を貫通して
    、上記の各微細形状上にバイアを含む少なくとも2つの
    バイアを同時にエッチングするステップ、および 後で電気的接続が行なえるように上記の微細形状を露出
    させるために、上記のエッチ・ストップの層を貫通して
    上記のバイアを延ばすステップを含む上記の方法。
  2. (2)バイポーラ・トランジスタのベース接点、エミッ
    タ接点、およびサブコレクタ・リーチスルー領域に電気
    的接触を形成する方法であって、上記のベース接点、エ
    ミッタ接点、およびサブコレクタ・リーチスルー領域上
    に第1のエッチ特性を有するエッチ・ストップ材料の層
    を形成するステップ、 上記のエッチ・ストップ材料の層の上に第2のエッチ特
    性を有する誘電材料の層を形成するステップ、 上記のベース接点、および上記のサブコレクタ・リーチ
    スルー領域上の上記のエッチ・ストップ材料の層で停止
    するように、上記の誘電材料に対して選択性をもつエッ
    チャントを使用して、上記の誘電材料の層を貫通してベ
    ース接点およびサブコレクタ・リーチスルーのバイアを
    同時にエッチングするステップ、 上記のベース接点および上記のサブコレクタ・リーチス
    ルー・バイアの表面が露出するように、上記のエッチ・
    ストップ材料の層を貫通して、上記のベース接点および
    サブコレクタ・リーチスルーのバイアを延ばすステップ
    、 上記のベース接点およびサブコレクタ・リーチスルー・
    バイア上にマスクを形成するステップ、上記のエミッタ
    接点上の上記のエッチ・ストップ材料の層の上で停止す
    るように、上記の誘電材料に対して選択性をもつエッチ
    ャントを使用して、上記の誘電材料の層を貫通してエミ
    ッタ接点のバイアをエッチングするステップ、 上記のエッチ・ストップ材料の層を貫通して上記のエミ
    ッタ接点の表面まで上記のエミッタ接点のバイアを延ば
    すステップ、および 上記のマスクを除去するステップ を含む上記の方法。
JP2121400A 1989-05-15 1990-05-14 接点形成方法 Expired - Lifetime JPH0658902B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/351,993 US5010039A (en) 1989-05-15 1989-05-15 Method of forming contacts to a semiconductor device
US351993 1994-12-08

Publications (2)

Publication Number Publication Date
JPH0319213A true JPH0319213A (ja) 1991-01-28
JPH0658902B2 JPH0658902B2 (ja) 1994-08-03

Family

ID=23383332

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2121400A Expired - Lifetime JPH0658902B2 (ja) 1989-05-15 1990-05-14 接点形成方法

Country Status (5)

Country Link
US (1) US5010039A (ja)
EP (1) EP0398834B1 (ja)
JP (1) JPH0658902B2 (ja)
CA (1) CA2011235C (ja)
DE (1) DE69023951T2 (ja)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02257640A (ja) * 1989-03-30 1990-10-18 Oki Electric Ind Co Ltd 半導体素子の製造方法
KR920004366B1 (ko) * 1989-09-08 1992-06-04 현대전자산업 주식회사 반도체 장치의 자기 정렬 콘택 제조방법
US5483104A (en) * 1990-01-12 1996-01-09 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
US5166771A (en) * 1990-01-12 1992-11-24 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
US5272101A (en) * 1990-04-12 1993-12-21 Actel Corporation Electrically programmable antifuse and fabrication processes
US5780323A (en) * 1990-04-12 1998-07-14 Actel Corporation Fabrication method for metal-to-metal antifuses incorporating a tungsten via plug
US5614756A (en) * 1990-04-12 1997-03-25 Actel Corporation Metal-to-metal antifuse with conductive
FR2664095B1 (fr) * 1990-06-28 1993-12-17 Commissariat A Energie Atomique Procede de fabrication d'un contact electrique sur un element actif d'un circuit integre mis.
US5117273A (en) * 1990-11-16 1992-05-26 Sgs-Thomson Microelectronics, Inc. Contact for integrated circuits
KR930007752B1 (ko) * 1990-11-21 1993-08-18 현대전자산업 주식회사 반도체 소자의 접속장치 및 그 제조방법
KR100307272B1 (ko) * 1990-12-04 2002-05-01 하라 레이노스케 Mos소자제조방법
US5173438A (en) * 1991-02-13 1992-12-22 Micron Technology, Inc. Method of performing a field implant subsequent to field oxide fabrication by utilizing selective tungsten deposition to produce encroachment-free isolation
US5391503A (en) * 1991-05-13 1995-02-21 Sony Corporation Method of forming a stacked semiconductor device wherein semiconductor layers and insulating films are sequentially stacked and forming openings through such films and etchings using one of the insulating films as a mask
US5219793A (en) * 1991-06-03 1993-06-15 Motorola Inc. Method for forming pitch independent contacts and a semiconductor device having the same
EP0529717A3 (en) * 1991-08-23 1993-09-22 N.V. Philips' Gloeilampenfabrieken Method of manufacturing a semiconductor device having overlapping contacts
US5204286A (en) * 1991-10-15 1993-04-20 Micron Technology, Inc. Method of making self-aligned contacts and vertical interconnects to integrated circuits
KR950000660B1 (ko) * 1992-02-29 1995-01-27 현대전자산업 주식회사 고집적 소자용 미세콘택 형성방법
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
JPH0669449A (ja) * 1992-08-18 1994-03-11 Sony Corp ダイナミックramの配線構造およびその製造方法
US5480815A (en) * 1992-08-19 1996-01-02 Nec Corporation Method of manufacturing a biopolar transistor in which an emitter region is formed by impurities supplied from double layered polysilicon
JP3022689B2 (ja) * 1992-08-31 2000-03-21 日本電気株式会社 バイポーラトランジスタの製造方法
US5252517A (en) * 1992-12-10 1993-10-12 Micron Semiconductor, Inc. Method of conductor isolation from a conductive contact plug
US5338700A (en) * 1993-04-14 1994-08-16 Micron Semiconductor, Inc. Method of forming a bit line over capacitor array of memory cells
DE4309611A1 (de) * 1993-03-24 1994-09-29 Siemens Ag Herstellverfahren für ein Kontaktloch
US5498562A (en) * 1993-04-07 1996-03-12 Micron Technology, Inc. Semiconductor processing methods of forming stacked capacitors
US6057219A (en) * 1994-07-01 2000-05-02 Motorola, Inc. Method of forming an ohmic contact to a III-V semiconductor material
US5565707A (en) * 1994-10-31 1996-10-15 International Business Machines Corporation Interconnect structure using a Al2 Cu for an integrated circuit chip
US5438011A (en) * 1995-03-03 1995-08-01 Micron Technology, Inc. Method of forming a capacitor using a photoresist contact sidewall having standing wave ripples
US5789764A (en) * 1995-04-14 1998-08-04 Actel Corporation Antifuse with improved antifuse material
US5587338A (en) * 1995-04-27 1996-12-24 Vanguard International Semiconductor Corporation Polysilicon contact stud process
KR100252447B1 (ko) * 1995-06-02 2000-04-15 아르므 엠. 무센 융기된텅스텐플러그앤티퓨즈및제조공정
US5747383A (en) * 1995-09-05 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Method for forming conductive lines and stacked vias
US5897372A (en) * 1995-11-01 1999-04-27 Micron Technology, Inc. Formation of a self-aligned integrated circuit structure using silicon-rich nitride as a protective layer
JPH09205185A (ja) 1996-01-26 1997-08-05 Mitsubishi Electric Corp 半導体装置および半導体装置の製造方法
US6083831A (en) 1996-03-26 2000-07-04 Micron Technology, Inc. Semiconductor processing method of forming a contact pedestal, of forming a storage node of a capacitor
KR100442407B1 (ko) * 1996-07-18 2004-07-30 어드밴스드 마이크로 디바이시즈,인코포레이티드 에칭 스톱을 이용하여 스태거된 상호 접속 라인을 생성하는 집적회로
US5854515A (en) * 1996-07-23 1998-12-29 Advanced Micro Devices, Inc. Integrated circuit having conductors of enhanced cross-sectional area
US5847462A (en) * 1996-11-14 1998-12-08 Advanced Micro Devices, Inc. Integrated circuit having conductors of enhanced cross-sectional area with etch stop barrier layer
JP3120750B2 (ja) * 1997-03-14 2000-12-25 日本電気株式会社 半導体装置およびその製造方法
JP3638778B2 (ja) * 1997-03-31 2005-04-13 株式会社ルネサステクノロジ 半導体集積回路装置およびその製造方法
US5989957A (en) * 1997-05-21 1999-11-23 Advanced Micro Devices Process for fabricating semiconductor memory device with high data retention including silicon oxynitride etch stop layer formed at high temperature with low hydrogen ion concentration
US5972749A (en) * 1998-01-05 1999-10-26 Advanced Micro Devices, Inc. Method for preventing P1 punchthrough
US6121126A (en) * 1998-02-25 2000-09-19 Micron Technologies, Inc. Methods and structures for metal interconnections in integrated circuits
US6143655A (en) 1998-02-25 2000-11-07 Micron Technology, Inc. Methods and structures for silver interconnections in integrated circuits
US6846739B1 (en) * 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6492694B2 (en) 1998-02-27 2002-12-10 Micron Technology, Inc. Highly conductive composite polysilicon gate for CMOS integrated circuits
JP3515363B2 (ja) * 1998-03-24 2004-04-05 株式会社東芝 半導体装置の製造方法
US6815303B2 (en) 1998-04-29 2004-11-09 Micron Technology, Inc. Bipolar transistors with low-resistance emitter contacts
JP2000150652A (ja) * 1998-09-03 2000-05-30 Seiko Epson Corp 半導体装置およびその製造方法
JP3528665B2 (ja) 1998-10-20 2004-05-17 セイコーエプソン株式会社 半導体装置の製造方法
US6365489B1 (en) 1999-06-15 2002-04-02 Micron Technology, Inc. Creation of subresolution features via flow characteristics
US6211059B1 (en) * 1999-10-29 2001-04-03 Nec Corporation Method of manufacturing semiconductor device having contacts with different depths
US6358785B1 (en) * 2000-06-06 2002-03-19 Lucent Technologies, Inc. Method for forming shallow trench isolation structures
US6809398B2 (en) 2000-12-14 2004-10-26 Actel Corporation Metal-to-metal antifuse structure and fabrication method
US6972237B2 (en) * 2003-12-01 2005-12-06 Chartered Semiconductor Manufacturing Ltd. Lateral heterojunction bipolar transistor and method of manufacture using selective epitaxial growth
US7135753B2 (en) * 2003-12-05 2006-11-14 International Rectifier Corporation Structure and method for III-nitride monolithic power IC
US7306552B2 (en) * 2004-12-03 2007-12-11 Samsung Electronics Co., Ltd. Semiconductor device having load resistor and method of fabricating the same
US7678593B1 (en) * 2006-09-06 2010-03-16 The United States of America, as represented by the Director, National Security Agency Method of fabricating optical device using multiple sacrificial spacer layers
US9059138B2 (en) 2012-01-25 2015-06-16 International Business Machines Corporation Heterojunction bipolar transistor with reduced sub-collector length, method of manufacture and design structure

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4318751A (en) * 1980-03-13 1982-03-09 International Business Machines Corporation Self-aligned process for providing an improved high performance bipolar transistor
FR2508704B1 (fr) * 1981-06-26 1985-06-07 Thomson Csf Procede de fabrication de transistors bipolaires integres de tres petites dimensions
JPS6044829B2 (ja) * 1982-03-18 1985-10-05 富士通株式会社 半導体装置の製造方法
JPS59214239A (ja) * 1983-05-16 1984-12-04 Fujitsu Ltd 半導体装置の製造方法
US4465552A (en) * 1983-08-11 1984-08-14 Allied Corporation Method of selectively etching silicon dioxide with SF6 /nitriding component gas
US4686000A (en) * 1985-04-02 1987-08-11 Heath Barbara A Self-aligned contact process
US4624739A (en) * 1985-08-09 1986-11-25 International Business Machines Corporation Process using dry etchant to avoid mask-and-etch cycle
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
US4668338A (en) * 1985-12-30 1987-05-26 Applied Materials, Inc. Magnetron-enhanced plasma etching process
US4671970A (en) * 1986-02-05 1987-06-09 Ncr Corporation Trench filling and planarization process
US4767724A (en) * 1986-03-27 1988-08-30 General Electric Company Unframed via interconnection with dielectric etch stop
US4795722A (en) * 1987-02-05 1989-01-03 Texas Instruments Incorporated Method for planarization of a semiconductor device prior to metallization
US4789885A (en) * 1987-02-10 1988-12-06 Texas Instruments Incorporated Self-aligned silicide in a polysilicon self-aligned bipolar transistor
DE3776325D1 (de) * 1987-04-16 1992-03-05 Ibm Verfahren zur herstellung von kontaktoeffnungen in einer doppellagenisolation.
EP0362571A3 (en) * 1988-10-07 1990-11-28 International Business Machines Corporation Method for forming semiconductor components

Also Published As

Publication number Publication date
US5010039A (en) 1991-04-23
EP0398834A2 (en) 1990-11-22
DE69023951T2 (de) 1996-06-20
EP0398834B1 (en) 1995-12-06
EP0398834A3 (en) 1991-05-08
DE69023951D1 (de) 1996-01-18
CA2011235C (en) 1993-06-29
CA2011235A1 (en) 1990-11-15
JPH0658902B2 (ja) 1994-08-03

Similar Documents

Publication Publication Date Title
US5010039A (en) Method of forming contacts to a semiconductor device
US6077733A (en) Method of manufacturing self-aligned T-shaped gate through dual damascene
JP2554831B2 (ja) 基板分離トレンチを形成するための半導体処理方法
US4771328A (en) Semiconductor device and process
US6399978B2 (en) Formation of 5F2 cell with partially vertical transistor and gate conductor aligned buried strap with raised shallow trench isolation region
US4502913A (en) Total dielectric isolation for integrated circuits
US5308786A (en) Trench isolation for both large and small areas by means of silicon nodules after metal etching
JP3180599B2 (ja) 半導体装置およびその製造方法
US6251734B1 (en) Method for fabricating trench isolation and trench substrate contact
JP2002512736A (ja) トレンチで分離されたバイポーラデバイス
US5880006A (en) Method for fabrication of a semiconductor device
JPH02291136A (ja) バイポーラ・トランジスタ及びその製造方法
JPH0821639B2 (ja) 半導体装置の製造方法
JPH0693463B2 (ja) トランジスタ及びその製造方法
JPS6226590B2 (ja)
US4661832A (en) Total dielectric isolation for integrated circuits
US6255184B1 (en) Fabrication process for a three dimensional trench emitter bipolar transistor
US5147809A (en) Method of producing a bipolar transistor with a laterally graded emitter (LGE) employing a refill method of polycrystalline silicon
JPS6212660B2 (ja)
US5266505A (en) Image reversal process for self-aligned implants in planar epitaxial-base bipolar transistors
US5019525A (en) Method for forming a horizontal self-aligned transistor
US5851901A (en) Method of manufacturing an isolation region of a semiconductor device with advanced planarization
JPH0371772B2 (ja)
US6309947B1 (en) Method of manufacturing a semiconductor device with improved isolation region to active region topography
US6528367B1 (en) Self-aligned active array along the length direction to form un-biased buried strap formation for sub-150 NM BEST DRAM devices