JP7282440B2 - フォトレジスト構造、パターン化堆積層及び半導体チップ並びにそれらの作製方法 - Google Patents

フォトレジスト構造、パターン化堆積層及び半導体チップ並びにそれらの作製方法 Download PDF

Info

Publication number
JP7282440B2
JP7282440B2 JP2021564350A JP2021564350A JP7282440B2 JP 7282440 B2 JP7282440 B2 JP 7282440B2 JP 2021564350 A JP2021564350 A JP 2021564350A JP 2021564350 A JP2021564350 A JP 2021564350A JP 7282440 B2 JP7282440 B2 JP 7282440B2
Authority
JP
Japan
Prior art keywords
photoresist layer
photoresist
patterned
layer
baking
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021564350A
Other languages
English (en)
Other versions
JP2022545050A (ja
Inventor
文▲龍▼ ▲張▼
▲亞▼▲鋭▼ ▲鄭▼
▲勝▼誉 ▲張▼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tencent Technology Shenzhen Co Ltd
Original Assignee
Tencent Technology Shenzhen Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tencent Technology Shenzhen Co Ltd filed Critical Tencent Technology Shenzhen Co Ltd
Publication of JP2022545050A publication Critical patent/JP2022545050A/ja
Application granted granted Critical
Publication of JP7282440B2 publication Critical patent/JP7282440B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

本発明はマイクロナノ加工の技術分野に関し、具体的には、フォトレジスト構造、パターン化堆積層及び半導体チップに関する。
本願は、2020年7月23日に中国特許庁に提出された、出願番号が第202010717981.7号であり、出願の名称が「フォトレジスト構造、パターン化堆積層及び半導体チップ並びにそれらの作製方法」である中国特許出願の優先権を主張し、その全内容が引用により本願に組み込まれている。
マイクロナノ加工の技術分野では、ほとんどの加工プロセス、例えば、剥離プロセスはいずれも特殊のフォトレジスト構造を製造することによって実現する必要がある。
剥離プロセスを例とすると、従来技術では、常に以下の問題が存在している。第1に、堆積材料の側壁がフォトレジストの側壁に付着しやすく、パターン化堆積層の形態が比較的悪いことを引き起こす。第2に、フォトレジストのパターン化過程では、現像液は基板材料との反応がようになり、基板材料に対して腐食をもたらす。
第1の問題について、通常、アンダーカットを含むフォトレジスト構造を製造することを採用して解決できるが、アンダーカットを含むフォトレジスト構造によって、堆積材料の底部に横方向拡散が生じやすく、パターン化堆積層の実際のサイズが定義されるサイズと一致しないことを引き起こす。
第2の問題について、従来の技術的手段では、基板材料との反応が発生しない現像液を使用するフォトレジストを選択することによってしか解決できないが、これはプロセスの互換性を低下させてしまう。
本発明の出願は従来の方式の欠点に対して、フォトレジスト構造、パターン化堆積層及び半導体チップ並びにそれらの作製方法を提供し、該方法を剥離プロセスに使用すると、効果が良好であり、且つ剥離後のパターン化堆積層のパターンサイズが定義されるパターンサイズと一致するように維持される。
一態様では、本願の実施例はフォトレジスト構造の作製方法を提供し、
基板上に第1フォトレジスト層を形成し、且つ第1ベークを行うステップと、
前記第1ベークを行った後の前記第1フォトレジスト層上に第2フォトレジスト層を形成し、且つ第2ベークを行うステップと、
前記第2ベークを行った後の前記第2フォトレジスト層に対して局所露光を行い、且つ第3ベークを行うステップであって、前記局所露光の時間長さは前記第2フォトレジスト層の十分な露光の時間長さ未満である、ステップと、
前記第3ベーク後の前記第2フォトレジスト層に対して現像及び定着を行い、部分的にパターン化された第2フォトレジスト層を獲得するステップであって、前記部分的にパターン化された第2フォトレジスト層は第1開口を含み、前記第1開口は前記局所露光された露光領域又は遮光領域に対応し、前記第1開口は第1部分及び前記第1部分の、前記基板に近い側に位置する第2部分を含み、前記第1部分の孔径は前記第2部分の孔径未満であり、且つ前記第1部分の前記基板上での正投影は前記第2部分の前記基板上での正投影内に位置する、ステップと、
前記部分的にパターン化された第2フォトレジスト層に対して全面露光を行い、且つ第4ベークを行い、パターン化された第2フォトレジスト層を獲得するステップと、
前記パターン化された第2フォトレジスト層をマスクとして、前記第1フォトレジスト層をエッチングし、パターン化された第1フォトレジスト層を取得するステップと、を含む。
選択可能に、基板上に第1フォトレジスト層を形成し、且つ第1ベークを行うステップは、基板上にスピンコーティング方式で第1フォトレジスト層を形成し、且つ前記第1フォトレジスト層を形成した後の前記基板を前記第1フォトレジスト層のソフトベーク温度でベークするステップであって、前記第1フォトレジスト層は前記第2フォトレジスト層の現像液との反応が発生しない、ステップを含む。
選択可能に、前記第1ベークを行った後の前記第1フォトレジスト層上に第2フォトレジスト層を形成し、且つ第2ベークを行うステップは、前記第1フォトレジスト層の前記基板から離れた側にスピンコーティング方式で第2フォトレジスト層を形成し、且つ前記第2フォトレジスト層のソフトベーク温度で第2ベークを行うステップを含む。
選択可能に、前記第2ベークを行った後の前記第2フォトレジスト層に対して局所露光を行い、且つ第3ベークを行うステップは、前記第2ベークを行った後の前記基板に対して局所露光を行い、且つ前記第2フォトレジスト層のプリベーク時間又は反転ベーク時間で第3ベークを行うステップを含む。
選択可能に、前記第3ベーク後の第2フォトレジスト層に対して現像及び定着を行うステップは、局所露光、及び第3ベーク後の前記第2フォトレジスト層に対して設定時間で現像を行い、さらに定着を行うステップであって、前記設定時間は前記第2フォトレジスト層の十分な露光条件下での現像時間よりも少なくとも15s長い、ステップを含む。
選択可能に、前記部分的にパターン化された第2フォトレジスト層に対して全面露光を行い、且つ第4ベークを行い、パターン化された第2フォトレジスト層を獲得する前記ステップは、前記部分的にパターン化された第2フォトレジスト層に対して全面露光を行い、且つ順に前記部分的にパターン化された第2フォトレジスト層のプリベーク温度及びハードベーク温度でベークを行い、パターン化された第2フォトレジスト層を獲得するステップを含む。
選択可能に、前記パターン化された第2フォトレジスト層をマスクとして、前記第1フォトレジスト層をエッチングするステップは、物理エッチング及び/又は反応エッチングの方法を採用し、前記パターン化された第2フォトレジスト層をマスクとして、前記第1フォトレジスト層をエッチングするステップを含む。
別の態様では、本願の実施例はパターン化堆積層の作製方法を提供し、前記方法は、
フォトレジスト構造を得るステップであって、前記フォトレジスト構造は以上の態様に記載のフォトレジスト構造の作製方法に基づいて作製される、ステップと、
前記パターン化された第2フォトレジスト上及び前記パターン化された第1フォトレジスト層に基づき露出した前記基板上に堆積層を形成するステップであって、前記堆積層の厚さは前記パターン化された第1フォトレジスト層の厚さと前記パターン化された第2フォトレジスト層の厚さとの和未満である、ステップと、
前記パターン化された第1フォトレジスト層及び前記パターン化された第2フォトレジスト層を除去し、パターン化された堆積層を取得するステップと、を含む。
別の態様では、本願の実施例は半導体チップの作製方法を提供し、前記半導体チップに含まれるパターン化堆積層は前記パターン化堆積層の作製方法により製造して獲得される。
別の態様では、本願の実施例は半導体チップを提供し、前記半導体チップに含まれるパターン化堆積層は前記パターン化堆積層の作製方法により製造して獲得される。
さらに別の態様では、本願の実施例はコンピュータ機器を提供し、
プロセッサと、通信インタフェースと、メモリと、通信バスとを含み、
前記プロセッサと、前記通信インタフェースと、前記メモリとは前記通信バスによって相互間の通信を完了し、前記通信インタフェースは通信モジュールのインタフェースであり、
前記メモリは、プログラムコードを記憶し、且つ前記プログラムコードを前記プロセッサに伝送することに用いられ、プロセッサは、メモリにおけるプログラムコードの命令を呼び出して以上の態様の方法を実行することに用いられる。
またさらに別の態様では、本願の実施例は記憶媒体を提供し、前記記憶媒体はコンピュータプログラムを記憶することに用いられ、前記コンピュータプログラムは以上の態様の方法を実行することに用いられる。
またさらに別の態様では、本願の実施例は命令を含むコンピュータプログラム製品を提供し、それはコンピュータ上で実行されるときに、前記コンピュータに以上の態様の方法を実行させる。
従来技術に比べて、本発明は以下の利点及び有益な効果を有する。
本願が提供するフォトレジスト構造、パターン化堆積層及び半導体チップ並びにそれらの作製方法は、アンダー露光手段によって、単一種類のフォトレジストを使用し、単一の現像液を使用して1回の現像を行うだけでアンダーカットを含む第2フォトレジスト層を獲得でき、且つアンダーカットのサイズが現像時間によって制御できる。それにより、例えば従来の剥離プロセスで堆積材料がフォトレジスト構造の側壁に付着することによって引き起こされる剥離困難等の問題を回避し、第1フォトレジスト層を保護フォトレジスト層として採用することで、現像時、現像液による基板材料に対する腐食損傷を回避でき、且つ第1フォトレジスト層をエッチングすることによって、保護フォトレジスト層とする第1フォトレジスト層をパターン制限フォトレジスト層に変換し、材料堆積過程で堆積材料の底部に横方向拡散が発生することを効果的に防止することができ、形態が良好な堆積層を獲得する。同時に、第1フォトレジスト層及び第2フォトレジスト層は同一種類のフォトレジスト除去液との反応が発生可能なフォトレジストを選択して使用でき、1回の剥離を実現し、それにより剥離難度及び剥離コストを低減させる。
本願の付加的な態様及び利点は以下の記述に部分的に与えられ、これらは以下の記述から明らかになり、又は本願の実践によって把握される。
本願の上記及び/又は付加的な態様及び利点は、以下で図面と併せて実施例を記述することから明らかになり、理解されやすくなる。
関連技術におけるアンダーカットを含むフォトレジスト構造の断面模式図である。 本願の実施例が提供するフォトレジスト構造の作製方法のフロー模式図である。 図2に示すフォトレジスト構造の作製方法のプロセス模式図である。 本願の実施例が提供するパターン化堆積層の作製方法のフロー模式図である。 図4に示すパターン化堆積層の作製方法中のS207及びS208のプロセス模式図である。 本願の実施例が提供するエッチング前のフォトレジスト構造の上面模式図である。 本願の実施例が提供するエッチング後のフォトレジスト構造の上面模式図である。 本願の実施例が提供するエッチング後のフォトレジスト構造の電子走査型電子顕微鏡写真である。 本願の実施例が提供するエッチング後のフォトレジスト構造の局所上面模式図である。 本願の実施例が提供するパターン化堆積層の電子走査型電子顕微鏡写真である。
以下、本願を詳細に記述し、本願の実施例の例示は図面に示される。ここで同一又は類似の符号は終始同一又は類似の部材又は同一又は類似の機能を有する部材を示す。また、既知技術の詳細な記述は示される本願の特徴に対して不必要であれば、これは省略される。以下、図面を参照することによって記述される実施例は例示的なものであり、単に本願を解釈することに用いられ、本願に対する制限であると解釈することはできない。
当業者が理解できるように、別途定義しない限り、ここで使用されるすべての用語(技術用語及び科学用語を含む)は、本願が属する分野の普通の技術者の一般的な理解と同じ意味を有する。さらに理解すべきであるように、例えば、汎用辞書に定義されるそれらの用語は、従来技術のコンテキストにおける意味と一致する意味を有すると理解すべきであり、且つここでのように特に定義しない限り、理想的又は過度に正式な意味で解釈されない。
当業者が理解できるように、特に声明しない限り、ここで使用される単数形「一」、「1つ」、「上記」及び「該」は複数形も含んでもよい。さらに理解すべきであるように、本願の明細書で使用される語「含む」とは、上記特徴、整数、ステップ、操作、素子及び/又はユニットが存在することを指すが、1つ又は複数のほかの特徴、整数、ステップ、操作、素子、ユニット及び/又はそれらのグループが存在する又は追加されることを除外しない。
まず、本願に係るいくつかの名詞に対して紹介及び解釈を行う。
アンダーカット:英語「undercut」の中国語訳であり、1種のフォトレジストの構造であり、フォトレジストの底部が頂部よりも広いことを指し、側壁が頂部から底部まで徐々に外へ拡張し、フォトレジストの断面が正台形を呈し、プロセス改良によって、「凸」字型等を呈することもある。
アンダー露光:フォトレジストに対する露光時間が該厚さ下でのフォトレジストの完全反応に必要な時間未満であることを指す。
全面露光:基板上のフォトレジストに対して全面露光を行う。
本願の発明者は、パターン化堆積層を取得する過程で堆積材料がフォトレジストの側壁に付着しやすいという問題が存在し、従って、関連技術ではアンダーカットを含むフォトレジスト構造を採用して堆積材料がフォトレジストの側壁に付着することを回避するが、アンダーカットを含むフォトレジスト構造によって堆積材料の底部に横方向拡散の問題が生じやすく、これらの問題がパターン化堆積層のサイズに影響を与え、それにより製造されたチップの性能を低下させることを考慮した。
具体的には、1種の関連技術は図1に示され、基板1上に第2フォトレジスト層3及び第1フォトレジスト層2を順に形成し、第1フォトレジスト層2及び第2フォトレジスト層3はアンダーカットを形成し、堆積層4がフォトレジストに付着することを回避することができるが、堆積層4の底部に横方向拡散が生じるようになり、最終的に取得した特定の形状を有する堆積層4の底部サイズが比較的大きく、予め設計した特定の形状を有する堆積層4のサイズと一致しないようになる。
本願が提供するフォトレジスト構造、パターン化堆積層及び半導体チップ並びにそれらの作製方法は、関連技術の技術的問題を解決することを目的とする。
本願が提供する実施例はマイクロナノ加工の技術分野に係る各種の加工設備によって実施でき、該加工設備はマイクロナノ加工の技術分野におけるフォトレジスト構造の作製、パターン化堆積層の作製、及び半導体チップの作製に使用される必要がある各種の機能を実現できる。
該加工設備はフォトレジスト塗布装置、ステッパー(例えば、紫外線ステッパー等)、及びエッチング機器(例えば、Marchフォトレジスト除去装置等)を含んでもよい。
以下、具体的な実施例をもって本願の技術的手段及び本願の技術的手段がどのように上記技術的問題を解決するかに対して詳細な説明を行う。
本願の実施例はフォトレジスト構造の作製方法を提供し、該方法は上記加工設備によって実施でき、図2及び図3に示すように、以下のステップS101~S106を含む。
S101:基板1上に第1フォトレジスト層2を形成し、且つ第1ベークを行う。
具体的には、該ステップでは、基板1上にスピンコーティング方式で第1フォトレジスト層2を形成し、且つ第1フォトレジスト層2を形成した後の基板1を第1フォトレジスト層2のソフトベーク温度でベークし、ここで、第1フォトレジスト層2は第2フォトレジスト層3の現像液との反応が発生しない。これで、第1フォトレジスト層2は第2フォトレジスト層3を現像する過程で、基板1を保護する作用を発揮することができ、それにより現像液による基板1に対する腐食を防止する。さらに、提供される基板1はきれいであるべきであり、それにより基板1とその上に位置する膜層との間に良好な結合性能を有する。
S102:第1ベークを行った後の第1フォトレジスト層2上に第2フォトレジスト層3を形成し、且つ第2ベークを行う。
具体的には、該ステップでは、第1フォトレジスト層2の基板1から離れた側にスピンコーティング方式で第2フォトレジスト層3を形成し、且つ第2フォトレジスト層3のソフトベーク温度で第2ベークを行う。
S103:第2ベークを行った後の第2フォトレジスト層3に対して局所露光を行い、且つ第3ベークを行う。
ここで、局所露光の時間長さは第2フォトレジスト層3の十分な露光の時間長さ未満である。
具体的には、該ステップでは、第2ベークを行った後の第2フォトレジスト層3に対して局所露光を行い、且つ第2フォトレジスト層3のプリベーク時間又は反転ベーク時間で第3ベークを行う。図3に示すように、光学マスクMを利用して局所露光を実現でき、第2フォトレジスト層3がネガ型フォトレジストを採用することを例とすると、該ステップでの露光時間長さが第2フォトレジスト層3の十分な露光の時間長さ未満であり、すなわち、アンダー露光方式を採用して第2フォトレジスト層3に対して露光を行うため、第2フォトレジスト層3中の基板1から比較的遠い部分に反応を発生させて性質を変化させ、第2フォトレジスト層3の反応済み部分3aに転化するが、第2フォトレジスト層3中の基板1から比較的近い部分に反応が発生せず、すなわち、第2フォトレジスト層3中の未反応部分3bとなる。つまり、アンダー露光の方式によって、局所露光後の第2フォトレジスト層3は現像液で溶解可能な部分及び現像液で溶解不能な部分の2つの部分を有する。
S104:第3ベークを行った後の第2フォトレジスト層3に対して現像及び定着を行い、部分的にパターン化された第2フォトレジスト層3を獲得する。
部分的にパターン化された第2フォトレジスト層3は第1開口を含み、第1開口は局所露光された露光領域又は遮光領域に対応し、第1開口は第1部分301、及び第1部分301の、基板1に近い側に位置する第2部分302を含み、第1部分301の孔径は第2部分302の孔径未満であり、且つ第1部分301の基板1での正投影は第2部分302の基板1での正投影内に位置する。
具体的には、もし第2フォトレジスト層3がポジ型フォトレジストを採用するなら、第1開口はS103での局所露光された露光領域に対応する一方、もし第2フォトレジスト層3がネガ型フォトレジストを採用するなら、第1開口はS103での局所露光された遮光領域に対応する。
具体的には、該ステップでは、露光、及び第3ベーク後の第2フォトレジスト層3に対して設定時間で現像を行い、さらに定着を行い、設定時間は第2フォトレジスト層3の十分な露光条件下での現像時間よりも少なくとも15s長い。比較的長い時間の現像を利用して、第2フォトレジスト層3にアンダーカットを形成することができ、それにより堆積材料がフォトレジストの側壁と付着が発生することを防止する。そして、アンダーカットのサイズは現像時間を調整することによって制御可能である。
S105:部分的にパターン化された第2フォトレジスト層3に対して全面露光を行い、且つ第4ベークを行い、パターン化された第2フォトレジスト層を獲得する。
具体的には、該ステップでは、部分的にパターン化された第2フォトレジスト層3(このときに、第2フォトレジスト層3中の未反応部分3bはまだパターン化されていない)に対して全面露光を行い、且つ部分的にパターン化された第2フォトレジスト層3のプリベーク温度及びハードベーク温度で順にベークする。第2フォトレジスト層3に対して全面露光を行うことで、第2フォトレジスト層3中の未反応部分3bを光照射の条件下で反応させることができ、且つ第4ベークによって、パターン化された第2フォトレジスト層3の硬化を実現する。
第2フォトレジスト層3中の未反応部分3bは後続のエッチングプロセスで酸素ガス等のガスと反応が発生しやすくてサイズの変化が発生するが、反応済み部分3aはエッチングプロセスにおけるガスと反応が発生しにくい。従って、部分的にパターン化された第2フォトレジスト層3に対して全面露光処理を行うことによって、未反応部分3bを十分に反応させて、十分に反応したパターン化された第2フォトレジスト層3′を獲得し、アンダーカット構造が予め設定したサイズを維持することを確保することができる。
S106:パターン化された第2フォトレジスト層3′をマスクとして、第1フォトレジスト層2をエッチングし、パターン化された第1フォトレジスト層2′を取得する。
具体的には、パターン化された第1フォトレジスト層2′は第2開口201を含み、第2開口201の孔径は第2部分302の孔径未満であり且つ第1部分301の孔径以上であり、第2開口201の基板1での正投影は第2部分302の基板1での正投影内に位置する。
具体的には、該ステップでは、物理エッチング又は反応エッチングの方法を採用し、パターン化された第2フォトレジスト層3′をマスクとして、第1フォトレジスト層2をエッチングする。ここで、取得したパターン化された第1フォトレジスト層2′をパターン制限フォトレジスト層とすることで、材料堆積過程で堆積材料の底部に横方向拡散が発生することを効果的に防止することができ、それにより実際のサイズが予め設定したサイズと一致するパターン化堆積層を獲得する。
上記実施例におけるフォトレジスト構造の作製方法により製造して獲得されたフォトレジスト構造は図8及び図9に示され、該フォトレジスト構造はパターン化された第1フォトレジスト層2及びパターン化された第2フォトレジスト層3′を含み、ここで、パターン化された第1フォトレジスト層2′をパターン制限層とすることで、堆積材料の底部の横方向拡散を防止し、パターン化された第2フォトレジスト層3′がアンダーカットを含むことで、堆積材料がフォトレジスト構造の側壁に付着することを防止する。
具体的には、図9に示すように、且つ図3及び図5と併せて、D1はパターン化された第1フォトレジスト層2′中の第2開口201のサイズ、すなわち、パターン制限層のサイズであり、D2はパターン化された第2フォトレジスト層3′中の第1開口の第2部分302のサイズ、すなわち、アンダーカットのサイズであり、D3はパターン化堆積層4′の定義されるサイズである。
具体的には、図8及び図9、更に図3に併せて参照されるうに、フォトレジスト構造は基板1上に位置するパターン化された第1フォトレジスト層2′及びパターン化された第2フォトレジスト層3′を含む。パターン化された第1フォトレジスト層2′は複数の第2開口201を含み、パターン化された第2フォトレジスト層3′は第2開口201に1対1で対応する第1開口を含む。第1開口は第1部分301及び第1部分301の、基板1に近い側に位置する第2部分302を含み、第1部分301の孔径は第2部分302の孔径未満であり、且つ第1部分301の基板1での正投影は第2部分302の基板1での正投影内に位置する。第2開口201の孔径は第2部分302の孔径未満であり且つ第1部分301の孔径以上であり、第2開口201の基板1での正投影は第2部分302の基板1での正投影内に位置する。該フォトレジスト構造は、材料堆積過程で堆積材料の底部に横方向拡散が発生することを効果的に防止することができ、それにより実際のサイズが予め設定したサイズと一致するパターン化堆積層を獲得するだけでなく、そして堆積材料がフォトレジストの側壁と付着が発生することを防止することができ、それにより表面形態が比較的良いパターン化堆積層を取得する。
理解しやすくするために、下記実施例はフォトレジスト構造の具体的な作製方法を提供し、最終的に獲得したフォトレジスト構造は図7に示され、図3に参照されるように、該具体的な実施例は以下のステップ1~ステップ6を含む。
ステップ1:きれいに洗浄されたアルミニウム基板をspin-coating式フォトレジスト塗布装置に置き、ピペットを用いてポリメタクリル酸メチル(polymethyl methacrylate、PMMA)フォトレジスト、すなわち、アクリルフォトレジストを吸引し、アルミニウム基板の中心に滴下させ、まず300rpm~800rpmの回転数で1s~5s運転し、さらに1000rpm~6000rpmの回転数で30s~60s運転し、第1フォトレジスト層2を取得し、その後、フォトレジスト塗布後のアルミニウム基板を加熱板上に置き、PMMAフォトレジストのソフトベーク温度(180℃)で120sベークする。
ステップ2:ステップ1を行った後のアルミニウム基板をspin-coating式フォトレジスト塗布装置に置き、ピペットを用いてネガ型フォトレジストを吸引し、アルミニウム基板の中心に滴下させ、まず300rpm~500rpmの回転数で1s~5s運転し、さらに500rpm~6000rpmの回転数で30s~60s運転し、第2フォトレジスト層3を形成し、その後、フォトレジスト塗布後のアルミニウム基板を加熱板上に置き、該種類のネガ型フォトレジストのソフトベーク温度、例えば90℃~115℃の範囲内のある温度で、所定時間、例えば30s~120sベークする。
ステップ3:紫外線ステッパーを使用して、2層のフォトレジストをスピンコーティングしたアルミニウム基板に対してアンダー露光を行い、アンダー露光の時間はステップ2の操作下で獲得された対応する厚さのネガ型フォトレジストの十分な露光時間未満であり、例えば5um厚さのネガ型フォトレジストの十分な露光時間は2.5sであり、アンダー露光の時間は1.2sであり、その後、アンダー露光後の基板をホットプレート上に置き、所定温度で所定時間プリベークし、例えば110℃で90sベークする。
ステップ4:ステップ3を行った後のアルミニウム基板をネガ型フォトレジストの現像液中に置き、例えば濃度2.38%のテトラメチルアンモニウムヒドロキシド(TMAH)溶液で現像し、現像時間は十分な現像時間よりも少なくとも15s長いことを満たし、例えば、表示時間は80s~150sであり、その後、基板を脱イオン水中に置き、30s~180s定着する。本過程では、PMMAがTMAHと反応しないことで、アルミニウム基板がアルカリ性のTMAH溶液に接触しないように保護することができ、それによりアルミニウム基板がアルカリ性のTMAH溶液による腐食を受けることを防止する。図6に示すように、エッチングステップ(ステップ5)の前、各定義されるパターン中にいずれも保護フォトレジスト層とする第1フォトレジスト層2(PMMAフォトレジスト)を有する。
ステップ5:紫外線ステッパーを使用して、ステップ4を行った後のアルミニウム基板に対して全面露光を行い、露光時間は例えば30s~180sであり、その後、アルミニウム基板をホットプレート上に置き、第2フォトレジスト層3が採用するネガ型フォトレジストのプリベーク及びポストベーク温度で前後してベークし、例えば、まず110℃で90sベークし、さらに110℃で60sベークする。
ステップ6:ステップ5を行った後のアルミニウム基板をエッチング機器、例えば、Marchフォトレジスト除去装置に置き、電力が300W、及び酸素ガス環境下で3minエッチングし、獲得したフォトレジスト構造は図8に示され、該フォトレジスト構造はパターン化された第1フォトレジスト層2′及びパターン化された第2フォトレジスト層3′を含み、該フォトレジスト構造では、パターン化された第1フォトレジスト層2′はパターン制限層であり、パターン化された第2フォトレジスト層3′はアンダーカットを含む。図7に示すように、各定義されるパターン中の第1フォトレジスト層2(PMMAフォトレジスト)は定義されるパターンサイズ内に完全にエッチングされる。
上記の具体的な実施例はネガ型フォトレジスト及びPMMAフォトレジストに基づくフォトレジスト構造の作製方法を展示している。具体的に実施するときに、ネガ型フォトレジストの厚さはフォトレジスト塗布の回転数を変更することによって変更でき、アンダーカットのサイズは露光現像時間を変更することによって変更でき、加工プロセスの異なるニーズに応じて、非常に高い柔軟性を有し、堆積、エッチング、及び剥離等のプロセスに適用される。
同一発明構想に基づき、本願の実施例はさらにパターン化堆積層の作製方法を提供し、該パターン化堆積層の作製方法は上記実施例におけるフォトレジスト構造の作製方法を含み、上記フォトレジスト構造の作製方法の実施例の有益な効果を有し、ここで贅言しない。
具体的には、図4に示すように、本実施例のパターン化堆積層の作製方法におけるS201~S206は、それぞれ上記フォトレジスト構造の作製方法の実施例におけるS101~S106に対応する。
具体的には、図4及び図5に示すように、本実施例のパターン化堆積層の作製方法はさらにステップS207~S208を含む。
S207:パターン化された第2フォトレジスト3′上及びパターン化された第1フォトレジスト層に基づき露出した基板1上に堆積層4を形成する。
堆積層4の厚さはパターン化された第1フォトレジスト層2′の厚さとパターン化された第2フォトレジスト層3′の厚さとの和未満である。
具体的には、パターン化された第1フォトレジスト層2′及びパターン化された第2フォトレジスト層3′の厚さを制御することによって、堆積層4の厚さをパターン化された第1フォトレジスト層2′の厚さとパターン化された第2フォトレジスト層3′の厚さとの和未満であるようにし、それによりパターン化された第2フォトレジスト3′上に位置する堆積層4と露出した基板1上に位置する堆積層4とを切断し、それによりフォトレジストを除去するだけで、パターン化された第2フォトレジスト3′上に位置する堆積層4をともに除去できる。
S208:パターン化された第1フォトレジスト層2′及びパターン化された第2フォトレジスト層3′を除去し、パターン化堆積層4′を取得する。
具体的には、堆積層4を形成した後の基板1をフォトレジスト除去剥離液中に置き、20~80℃の温度下で、パターン化された第1フォトレジスト層2′及びパターン化された第2フォトレジスト層3′を除去し、パターン化堆積層4′を獲得する。
図10に示すように、本実施例が提供する作製方法により取得されるパターン化堆積層4′は表面が滑らかであり、良好な表面形態を有し、且つ横方向拡散が発生せず、予め設定したサイズと一致することができる。
具体的には、堆積層は金属堆積層であってもよく、例えば、堆積層はチップ中のソースドレイン電極、及びインジウム柱等であってもよく、堆積層は非金属堆積層であってもよく、例えば、堆積層は、2つの導電層のブリッジ接続を実現するために上記2つの導電層間に位置する非金属絶縁構造であってもよい。
本実施例が提供するパターン化堆積層の作製方法では、第1フォトレジスト層2及び第2フォトレジスト層3は同一種類のフォトレジスト除去液との反応が発生可能なフォトレジストを選択して使用でき、1回の剥離を実現し、それにより剥離難度及び剥離コストを低減させる。
同一発明構想に基づき、本願の実施例はさらに半導体チップの作製方法を提供し、該半導体チップの作製方法は、上記実施例におけるパターン化堆積層の作製方法を含み、上記パターン化堆積層の作製方法の有益な効果を有し、ここで贅言しない。
具体的には、本実施例が提供する半導体チップの作製方法はさらにダイシング、及びパッケージ等のステップを含み、本願のコア発明点は半導体チップのダイシング及びパッケージ等のプロセスに関しないため、ここで贅言しない。
同一発明構想に基づき、本願の実施例はさらに半導体チップを提供し、本実施例が提供する半導体チップに含まれるパターン化堆積層は上記実施例におけるパターン化堆積層の作製方法により製造して獲得され、上記パターン化堆積層の作製方法の有益な効果を有し、ここで贅言しない。
本願の実施例を応用することで、少なくとも以下の有益な効果を実現することができる。
本願が提供するフォトレジスト構造、パターン化堆積層及び半導体チップ並びにそれらの作製方法は、単一種類のフォトレジストを利用し、単一の現像液を使用して1回の現像を行うだけでアンダーカットを含む第2フォトレジスト層を獲得でき、且つアンダーカットのサイズが現像時間によって制御できる。それにより、例えば従来の剥離プロセスで堆積材料がフォトレジスト構造の側壁に付着することによって引き起こされる剥離困難等の問題を回避し、第1フォトレジスト層を保護フォトレジスト層として採用することで、現像時、現像液による基板材料に対する腐食損傷を回避でき、且つ第1フォトレジスト層をエッチングすることによって、保護フォトレジスト層とする第1フォトレジスト層をパターン制限フォトレジスト層に変換し、材料堆積過程で堆積材料の底部に横方向拡散が発生することを効果的に防止することができ、形態が良好な堆積層を獲得する。同時に、第1フォトレジスト層及び第2フォトレジスト層は同一種類のフォトレジスト除去液との反応が発生可能なフォトレジストを選択して使用でき、1回の剥離を実現し、それにより剥離難度及び剥離コストを低減させる。
また、本願の実施例はさらに記憶媒体を提供し、上記記憶媒体はコンピュータプログラムを記憶することに用いられ、上記コンピュータプログラムは上記実施例が提供する方法を実行することに用いられる。
本願の実施例はさらに命令を含むコンピュータプログラム製品を提供し、それはコンピュータ上で実行されるときに、コンピュータに上記実施例が提供する方法を実行させる。
当業者が理解できるように、本願で既に検討された各種の操作、方法、及びフローでのステップ、措置、及び手段は交替、変更、組み合わせ又は削除されてもよい。さらに、本願で既に検討された各種の操作、方法、及びフローでのほかのステップ、措置、及び手段も交替、変更、再並べ替え、分解、組み合わせ又は削除されてもよい。さらに、従来技術における本願に開示されている各種の操作、方法、及びフローでのステップ、措置、及び手段も交替、変更、再並べ替え、分解、組み合わせ又は削除されてもよい。
本願の記述では、理解される必要がある点として、用語「中心」、「上」、「下」、「前」、「後」、「左」、「右」、「垂直」、「水平」、「頂」、「底」、「内」、及び「外」等で指示される方位又は位置関係は図面に示されるものに基づく方位又は位置関係であり、単に本願を記述しやすくし及び記述を簡略化するためのものであり、指される装置又は素子が必ず特定の方位を有することと、特定の方位で構成及び操作されることとを指示又は暗示するためのものではなく、従って、本願に対する制限であると理解してはならない。
用語「第1」、及び「第2」は単に記述の目的に用いられ、相対的な重要性を指示又は暗示する、又は指示される技術的特徴の数を暗黙的に指し示すものであると理解してはならない。従って、「第1」、及び「第2」で限定される特徴は1つ又はより多くの該特徴を明示的又は暗黙的に含むことができる。本願の記述では、別途説明しない限り、「複数」の意味は2つ又は2つ以上である。
本願の記述では、説明する必要がある点として、別途明確な規定及び限定がない限り、用語「取り付け」、「連結」、及び「接続」は広義に理解すべきであり、例えば、固定接続であってもよく、取り外し可能な接続であってもよく、又は一体的な接続であってもよく、直接連結であってもよく、中間媒体を介する間接連結であってもよく、2つの素子の内部の連通であってもよい。当業者にとって、具体的な状況に応じて上記用語の本願での具体的な意味を理解できる。
本明細書の記述では、具体的な特徴、構造、材料又は特性は任意の1つ又は複数の実施例又は例示で適切な方式で結合できる。
理解すべきであるように、図面のフロー図中の各ステップは矢印の指示で順に表示されているが、これらのステップは必ずしも矢印で指示される順序で実行されない。本明細書に明確に説明されない限り、これらのステップの実行は厳密な順序に制限されず、それらはほかの順序で実行されてもよい。そして、図面のフロー図中の少なくとも一部のステップは複数のサブステップ又は複数の段階を含んでもよく、これらのサブステップ又は段階は必ずしも同一時点で実行されて完了するのではなく、異なる時点で実行されてもよく、その実行順序も必ずしも順に行うのではなく、ほかのステップ又はほかのステップのサブステップ又は段階の少なくとも一部分と交互に又は交替して実行されてもよい。
以上は単に本願の一部の実施形態であり、ただし、当業者にとって、本願の原理を逸脱しない前提下で、様々な改良及び修飾を行うことができ、これらの改良及び修飾も本願の特許発明の技術的範囲に属するとみなすべきである。
1 基板
2 第1フォトレジスト層
2′ パターン化された第1フォトレジスト層
201 第2開口
3 第2フォトレジスト層
3a 反応済み部分
3b 未反応部分
3′ パターン化された第2フォトレジスト層
301 第1部分
302 第2部分
4 堆積層
4′ パターン化堆積層
M 露光マスク

Claims (12)

  1. フォトレジスト構造の作製方法であって、前記方法は加工設備により実行され、
    基板上に第1フォトレジスト層を形成し、且つ第1ベークを行うステップと、
    前記第1ベークを行った後の前記第1フォトレジスト層上に第2フォトレジスト層を形成し、且つ第2ベークを行うステップと、
    前記第2ベークを行った後の前記第2フォトレジスト層に対して局所露光を行い、且つ第3ベークを行うステップであって、前記局所露光の時間長さは前記第2フォトレジスト層の十分な露光の時間長さ未満である、ステップと、
    前記第3ベーク後の第2フォトレジスト層に対して現像及び定着を行い、部分的にパターン化された第2フォトレジスト層を獲得するステップであって、前記部分的にパターン化された第2フォトレジスト層は第1開口を含み、前記第1開口は前記局所露光された露光領域又は遮光領域に対応し、前記第1開口は第1部分及び前記第1部分の、前記基板に近い側に位置する第2部分を含み、前記第1部分の孔径は前記第2部分の孔径未満であり、且つ前記第1部分の前記基板上での正投影は前記第2部分の前記基板上での正投影内に位置する、ステップと、
    前記部分的にパターン化された第2フォトレジスト層に対して全面露光を行い、且つ第4ベークを行い、パターン化された第2フォトレジスト層を獲得するステップと、
    前記パターン化された第2フォトレジスト層をマスクとして、前記第1フォトレジスト層をエッチングし、パターン化された第1フォトレジスト層を取得するステップと、を含む、フォトレジスト構造の作製方法。
  2. 基板上に第1フォトレジスト層を形成し、且つ第1ベークを行う前記ステップは、
    基板上にスピンコーティング方式で第1フォトレジスト層を形成し、且つ前記第1フォトレジスト層を形成した後の前記基板を前記第1フォトレジスト層のソフトベーク温度でベークするステップであって、前記第1フォトレジスト層は前記第2フォトレジスト層の現像液との反応が発生しない、ステップを含む、請求項1に記載のフォトレジスト構造の作製方法。
  3. 前記第1ベークを行った後の前記第1フォトレジスト層上に第2フォトレジスト層を形成し、且つ第2ベークを行う前記ステップは、
    前記第1フォトレジスト層の、前記基板から離れた側にスピンコーティング方式で第2フォトレジスト層を形成し、且つ前記第2フォトレジスト層のソフトベーク温度で第2ベークを行うステップを含む、請求項1に記載のフォトレジスト構造の作製方法。
  4. 前記第2ベークを行った後の前記第2フォトレジスト層に対して局所露光を行い、且つ第3ベークを行う前記ステップは、
    前記第2ベークを行った後の前記第2フォトレジスト層に対して局所露光を行い、且つ前記第2フォトレジスト層のプリベーク時間又は反転ベーク時間で第3ベークを行うステップを含む、請求項1に記載のフォトレジスト構造の作製方法。
  5. 前記第3ベーク後の第2フォトレジスト層に対して現像及び定着を行う前記ステップは、
    露光、及び第3ベーク後の前記第2フォトレジスト層に対して設定時間で現像を行い、さらに定着を行うステップであって、前記設定時間は前記第2フォトレジスト層の十分な露光条件下での現像時間よりも少なくとも15秒長い、ステップを含む、請求項1に記載のフォトレジスト構造の作製方法。
  6. 前記部分的にパターン化された第2フォトレジスト層に対して全面露光を行い、且つ第4ベークを行い、パターン化された第2フォトレジスト層を獲得する前記ステップは、
    前記部分的にパターン化された第2フォトレジスト層に対して全面露光を行い、且つ順に前記部分的にパターン化された第2フォトレジスト層のプリベーク温度及びハードベーク温度でベークを行い、パターン化された第2フォトレジスト層を獲得するステップを含む、請求項1~5のいずれか一項に記載のフォトレジスト構造の作製方法。
  7. 前記パターン化された第2フォトレジスト層をマスクとして、前記第1フォトレジスト層をエッチングする前記ステップは、
    物理エッチング及び/又は反応エッチングの方法を採用し、前記パターン化された第2フォトレジスト層をマスクとして、前記第1フォトレジスト層をエッチングするステップを含む、請求項1~5のいずれか一項に記載のフォトレジスト構造の作製方法。
  8. パターン化堆積層の作製方法であって、前記方法は、
    フォトレジスト構造を得るステップであって、前記フォトレジスト構造は請求項1~7のいずれか一項に記載のフォトレジスト構造の作製方法に基づいて作製される、ステップと、
    前記パターン化された第2フォトレジスト上及び前記パターン化された第1フォトレジスト層に基づき露出した前記基板上に堆積層を形成するステップであって、前記堆積層の厚さは前記パターン化された第1フォトレジスト層の厚さと前記パターン化された第2フォトレジスト層の厚さとの和未満である、ステップと、
    前記パターン化された第1フォトレジスト層及び前記パターン化された第2フォトレジスト層を除去し、パターン化堆積層を取得するステップと、を含む、パターン化堆積層の作製方法。
  9. 半導体チップの作製方法であって、前記半導体チップに含まれるパターン化堆積層は請求項8に記載のパターン化堆積層の作製方法により製造して獲得される、半導体チップの作製方法。
  10. コンピュータ機器であって、前記コンピュータ機器は
    プロセッサと、通信インタフェースと、メモリと、通信バスとを含み、
    前記プロセッサと、前記通信インタフェースと、前記メモリとは前記通信バスによって相互間の通信を完了し、前記通信インタフェースは通信モジュールのインタフェースであり、
    前記メモリは、プログラムコードを記憶し、且つ前記プログラムコードを前記プロセッサに伝送することに用いられ、
    前記プロセッサは、メモリにおけるプログラムコードの命令を呼び出して請求項1~7のいずれか一項に記載のフォトレジスト構造の作製方法を実施すること、又は請求項8に記載のパターン化堆積層の作製方法を実施することに用いられる、コンピュータ機器。
  11. 記憶媒体であって、前記記憶媒体はコンピュータプログラムを記憶することに用いられ、前記コンピュータプログラムは請求項1~7のいずれか一項に記載のフォトレジスト構造の作製方法を実行すること、又は請求項8に記載のパターン化堆積層の作製方法を実行することに用いられる、記憶媒体。
  12. コンピュータプログラムであって、コンピュータが実行するときに、前記コンピュータに請求項1~7のいずれか一項に記載のフォトレジスト構造の作製方法を実施させる、又は請求項8に記載のパターン化堆積層の作製方法を実施させる、コンピュータプログラム。
JP2021564350A 2020-07-23 2021-06-15 フォトレジスト構造、パターン化堆積層及び半導体チップ並びにそれらの作製方法 Active JP7282440B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CN202010717981.7 2020-07-23
CN202010717981.7A CN112652522B (zh) 2020-07-23 2020-07-23 光刻胶结构、图形化沉积层和半导体芯片及其制作方法
PCT/CN2021/100057 WO2022017073A1 (zh) 2020-07-23 2021-06-15 光刻胶结构、图形化沉积层和半导体芯片及其制作方法

Publications (2)

Publication Number Publication Date
JP2022545050A JP2022545050A (ja) 2022-10-25
JP7282440B2 true JP7282440B2 (ja) 2023-05-29

Family

ID=75346268

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021564350A Active JP7282440B2 (ja) 2020-07-23 2021-06-15 フォトレジスト構造、パターン化堆積層及び半導体チップ並びにそれらの作製方法

Country Status (6)

Country Link
US (1) US20220037148A1 (ja)
EP (1) EP3971946A4 (ja)
JP (1) JP7282440B2 (ja)
KR (1) KR20220013358A (ja)
CN (1) CN112652522B (ja)
WO (1) WO2022017073A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112652522B (zh) * 2020-07-23 2022-05-03 腾讯科技(深圳)有限公司 光刻胶结构、图形化沉积层和半导体芯片及其制作方法
CN112271133A (zh) * 2020-09-25 2021-01-26 华东光电集成器件研究所 一种基于三层胶的金属剥离方法
EP4030468B1 (en) * 2020-11-17 2023-12-06 Tencent Technology (Shenzhen) Company Limited Method for manufacturing air bridge
CN113193094B (zh) * 2021-04-27 2023-03-21 成都辰显光电有限公司 批量转移方法和显示面板

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008035059A2 (en) 2006-09-19 2008-03-27 Polymer Vision Limited Exposure and patterning process for forming multi-layer resist structures
JP2008242247A (ja) 2007-03-28 2008-10-09 Jsr Corp 2層積層膜およびこれを用いたパターン形成方法
US20090111061A1 (en) 2007-10-30 2009-04-30 Frank Hin Fai Chau Methods of Minimizing Etch Undercut and Providing Clean Metal Liftoff
US20090233240A1 (en) 2008-03-17 2009-09-17 Samsung Electronics Co. Ltd Method of fabricating Triode-Structure field-emission device
CN108735582A (zh) 2018-04-09 2018-11-02 中国电子科技集团公司第十研究所 一种光刻胶膜的制备方法
WO2019055048A1 (en) 2017-09-18 2019-03-21 Google Llc REDUCTION OF JUNCTION RESISTANCE VARIATION IN TWO-STEP DEPOSITION PROCESSES

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4533624A (en) * 1983-05-23 1985-08-06 Sperry Corporation Method of forming a low temperature multilayer photoresist lift-off pattern
JPS61156044A (ja) * 1984-12-27 1986-07-15 Nec Corp レジストステンシルマスクの製造方法
JPH08293454A (ja) * 1995-04-25 1996-11-05 Nec Corp レジストパターンの形成方法
JP2765561B2 (ja) * 1996-03-29 1998-06-18 日本電気株式会社 微細空中配線の作製方法
US7229745B2 (en) * 2004-06-14 2007-06-12 Bae Systems Information And Electronic Systems Integration Inc. Lithographic semiconductor manufacturing using a multi-layered process
CN100594434C (zh) * 2007-12-27 2010-03-17 中国科学院物理研究所 制具有纳米尺度的大面积由金属膜覆盖的金属结构的方法
CN101881927A (zh) * 2010-07-16 2010-11-10 中国科学院长春光学精密机械与物理研究所 一种制备倒梯形光刻胶截面的方法
CN102136484B (zh) * 2010-11-26 2013-01-09 中国科学院上海技术物理研究所 一种用于红外焦平面倒焊互连的铟柱及其制备方法
CN103094096A (zh) * 2011-11-07 2013-05-08 上海华虹Nec电子有限公司 一种用于形成半导体器件金属图形的剥离工艺方法
CN103107067B (zh) * 2011-11-14 2015-10-14 上海华虹宏力半导体制造有限公司 一种半导体双层保护层的制作工艺方法
CN103137441A (zh) * 2011-11-22 2013-06-05 上海华虹Nec电子有限公司 半导体工艺中制作细长型孤立线条图形的方法
CN103137442B (zh) * 2011-11-30 2015-06-03 上海华虹宏力半导体制造有限公司 半导体工艺中制作细长型孤立线条图形的方法
CN103035492B (zh) * 2012-05-28 2015-06-03 上海华虹宏力半导体制造有限公司 半导体器件中双层保护层的制作工艺方法
CN103107252B (zh) * 2013-02-27 2016-01-27 中国科学院物理研究所 在AlGaInP基LED的GaP表面制备类球形结构的方法
CN105334699B (zh) * 2014-06-24 2018-11-20 中芯国际集成电路制造(上海)有限公司 通过重复曝光改进光刻胶形貌的方法
CN105116685B (zh) * 2015-09-24 2019-10-01 京东方科技集团股份有限公司 一种光刻胶图案的制作方法、彩色滤光片及显示装置
CN105575892B (zh) * 2015-12-17 2018-04-03 武汉高芯科技有限公司 一种红外探测器铟柱的工艺方法
CN111221226A (zh) * 2018-11-27 2020-06-02 上海微电子装备(集团)股份有限公司 光刻胶层的曝光后烘烤方法及装置
CN111399338B (zh) * 2020-04-30 2023-03-28 合肥本源量子计算科技有限责任公司 一种光刻方法
CN112652540B (zh) * 2020-07-01 2022-04-22 腾讯科技(深圳)有限公司 铟柱焊点的制备方法、芯片衬底及芯片
CN112652522B (zh) * 2020-07-23 2022-05-03 腾讯科技(深圳)有限公司 光刻胶结构、图形化沉积层和半导体芯片及其制作方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008035059A2 (en) 2006-09-19 2008-03-27 Polymer Vision Limited Exposure and patterning process for forming multi-layer resist structures
JP2008242247A (ja) 2007-03-28 2008-10-09 Jsr Corp 2層積層膜およびこれを用いたパターン形成方法
US20090111061A1 (en) 2007-10-30 2009-04-30 Frank Hin Fai Chau Methods of Minimizing Etch Undercut and Providing Clean Metal Liftoff
US20090233240A1 (en) 2008-03-17 2009-09-17 Samsung Electronics Co. Ltd Method of fabricating Triode-Structure field-emission device
WO2019055048A1 (en) 2017-09-18 2019-03-21 Google Llc REDUCTION OF JUNCTION RESISTANCE VARIATION IN TWO-STEP DEPOSITION PROCESSES
CN108735582A (zh) 2018-04-09 2018-11-02 中国电子科技集团公司第十研究所 一种光刻胶膜的制备方法

Also Published As

Publication number Publication date
EP3971946A4 (en) 2022-08-03
KR20220013358A (ko) 2022-02-04
EP3971946A1 (en) 2022-03-23
CN112652522A (zh) 2021-04-13
WO2022017073A1 (zh) 2022-01-27
CN112652522B (zh) 2022-05-03
US20220037148A1 (en) 2022-02-03
JP2022545050A (ja) 2022-10-25

Similar Documents

Publication Publication Date Title
JP7282440B2 (ja) フォトレジスト構造、パターン化堆積層及び半導体チップ並びにそれらの作製方法
TW394986B (en) Active matrix liquid crystal display device and its manufacturing method
JP2007017987A5 (ja)
KR102600525B1 (ko) 에어 브릿지 구조체 및 그 제조 방법, 그리고 초전도 양자 칩 및 그 제조 방법
CN101276778A (zh) 一种利用光敏胶层制作空气桥的方法
CN108394858A (zh) 一种pdms柔性超疏水薄膜的制作方法
CN101330010A (zh) 一种制作t型hbt发射极/hemt栅的方法
JP2008244478A (ja) フォトマスク及びそれを用いるイメージセンサの製造方法
JP2009122633A (ja) フォトレジスト・マスキング方法
JP2002096334A (ja) スタンパの製造方法
WO2018032913A1 (zh) 隔垫物的制备方法和显示基板的制备方法
TWI422940B (zh) 陣列基板的形成方法
CN112320752A (zh) 负性光刻胶图形化膜层的制备方法
CN114093756A (zh) 薄膜蚀刻方法及阵列基板的制作方法
KR20010065038A (ko) 박막트랜지스터 및 액정표시장치용 어레이기판 제조방법
JP2002151381A (ja) パターン形成方法
JP3444967B2 (ja) 微細パターン形成用マスク板およびその製造方法
CN106803485A (zh) 一种薄膜晶体管及其制备方法、显示器
TWI240302B (en) Method for increasing adhesion of rework photoresist on oxynitride film
CN114355736B (zh) 一种利用掩膜光刻技术一步制备微米级双层结构的方法
JP3711858B2 (ja) 薄膜回路基板の製造方法
CN113249696B (zh) 一种实现正负性转换的NSb2Te光刻胶制备及其光刻方法
JP3514293B2 (ja) 電極の製造方法
JP3234594U (ja) 金属リフトオフプロセスを用いた半導体素子
KR20110114046A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211028

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211028

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230213

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230417

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230511

R150 Certificate of patent or registration of utility model

Ref document number: 7282440

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150