JP6713878B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP6713878B2
JP6713878B2 JP2016164586A JP2016164586A JP6713878B2 JP 6713878 B2 JP6713878 B2 JP 6713878B2 JP 2016164586 A JP2016164586 A JP 2016164586A JP 2016164586 A JP2016164586 A JP 2016164586A JP 6713878 B2 JP6713878 B2 JP 6713878B2
Authority
JP
Japan
Prior art keywords
gate electrode
film
insulating film
region
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016164586A
Other languages
English (en)
Other versions
JP2018032760A5 (ja
JP2018032760A (ja
Inventor
直 山口
直 山口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2016164586A priority Critical patent/JP6713878B2/ja
Priority to US15/602,448 priority patent/US10186518B2/en
Priority to CN201710614700.3A priority patent/CN107785377B/zh
Priority to TW106127516A priority patent/TW201820590A/zh
Priority to KR1020170105955A priority patent/KR20180023831A/ko
Publication of JP2018032760A publication Critical patent/JP2018032760A/ja
Publication of JP2018032760A5 publication Critical patent/JP2018032760A5/ja
Application granted granted Critical
Publication of JP6713878B2 publication Critical patent/JP6713878B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • H01L29/42344Gate electrodes for transistors with charge trapping gate insulator with at least one additional gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は、半導体装置の製造方法に関し、例えば、不揮発性メモリを有する半導体装置の製造方法に好適に利用できるものである。
電気的に書込・消去が可能な不揮発性メモリのメモリセルを有する半導体装置として、MISFETのゲート電極の下に、酸化膜で挟まれた電荷トラップ性絶縁膜を有するメモリセルが広く使用されている。このメモリセルは、MONOS(Metal Oxide Nitride Oxide Semiconductor)型と呼ばれ、単一ゲート型セルとスプリットゲート型セルがあり、マイコンの不揮発性メモリとして用いられている。
マイコンの低消費電力化、高速化に伴い、ロジック回路部には、メタルゲート電極および高誘電率膜(high−k膜)を含むトランジスタが用いられる。このトランジスタの形成方法には、基板上に形成した多結晶シリコン膜からなるダミーゲート電極を用いてソース領域およびドレイン領域を形成した後、当該ダミーゲート電極をメタルゲート電極に置換する、いわゆるゲートラストプロセスが知られている。
つまり、ダミーゲート電極を有するトランジスタを層間絶縁膜で覆い、その後、層間絶縁膜の上面を研磨してダミーゲート電極の上面を露出させる。そして、ダミーゲート電極を除去し、そこにメタルゲート電極を埋め込むことで、メタルゲート電極を有するMISFETを形成する。この時、隣接するダミーゲート電極間を埋め込む層間絶縁膜として、ギャップ埋め込み特性が良好なO−TEOS膜が用いられている。
特許文献1(特開2001−244264号公報)には、配線パターン間のギャップ埋め込み特性を向上させたTEOS膜が開示されている。
特開2001−244264号公報
本願発明者が検討中の不揮発性メモリを有する半導体装置は、複数のメモリセルを含むメモリセル領域と、複数のMISFETを含む周辺回路領域(ロジック回路部、ロジック回路領域)と、を有している。
メモリセル領域には、互いに直交する第1方向と第2方向に沿って、複数のメモリセルが行列状に配置されている。各メモリセルは、半導体基板上に第1ゲート絶縁膜を介して形成され、第1方向に延在する制御ゲート電極と、半導体基板上に電荷蓄積領域を含む第2ゲート絶縁膜を介して形成され、第1方向に延在するメモリゲート電極と、制御ゲート電極およびメモリゲート電極を挟むように半導体基板の表面に形成された一対の半導体領域(ソース領域およびドレイン領域)と、を有している。そして、隣接するメモリセルの隣接する制御ゲート電極間および隣接するメモリゲート電極間は、O−TEOS膜からなる層間絶縁膜で埋まっている。
しかしながら、不揮発性メモリを有する半導体装置の微細化、高集積化に伴い、例えば、隣接する制御ゲート電極の間隔が狭くなると、隣接する制御ゲート電極間に存在するスペース(空間)のアスペクト比が高くなる。そのため、隣接する制御ゲート電極間の層間絶縁膜に、第1方向に沿って、「シーム(seam)」と呼ばれる隙間(空間)が発生し、後述するプラグ電極の短絡に繋がることが本願発明者により確認された。
したがって、O−TEOS膜からなる層間絶縁膜のギャップ埋め込み特性を一層向上させ、前述の「シーム」の発生を低減または防止し、不揮発性メモリを有する半導体装置の信頼性を向上させることが望まれる。
その他の課題と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。
一実施の形態によれば、半導体装置の製造方法は、制御ゲート電極、メモリゲート電極およびゲート電極を埋め込むように絶縁膜(層間絶縁膜)を形成した後、第1研磨処理で絶縁膜を研磨し、制御ゲート電極、メモリゲート電極およびゲート電極の上面を露出する。次に、ゲート電極を除去して形成された溝内に金属膜を埋め込み、第2研磨処理で、溝内に選択的に金属膜からなるゲート電極を形成する。絶縁膜は、ギャップ埋め込み特性の高いO−TEOS膜として、絶縁膜内のシームの発生を低減する。
一実施の形態によれば、半導体装置の信頼性を向上させることができる。
実施の形態1の半導体装置の製造工程を示すプロセスフロー図である。 実施の形態1の半導体装置の製造工程を示すプロセスフロー図である。 実施の形態1の半導体装置の製造工程を示すプロセスフロー図である。 実施の形態1の半導体装置の製造工程中の要部断面図である。 図4に続く半導体装置の製造工程中の要部断面図である。 図5に続く半導体装置の製造工程中の要部断面図である。 図6に続く半導体装置の製造工程中の要部断面図である。 図7に続く半導体装置の製造工程中の要部断面図である。 図8に続く半導体装置の製造工程中の要部断面図である。 図9に続く半導体装置の製造工程中の要部断面図である。 図10に続く半導体装置の製造工程中の要部断面図である。 図11に続く半導体装置の製造工程中の要部断面図である。 図12に続く半導体装置の製造工程中の要部断面図である。 図13に続く半導体装置の製造工程中の要部断面図である。 図14に続く半導体装置の製造工程中の要部断面図である。 図15に続く半導体装置の製造工程中の要部断面図である。 図16に続く半導体装置の製造工程中の要部断面図である。 図17に続く半導体装置の製造工程中の要部断面図である。 図18に続く半導体装置の製造工程中の要部断面図である。 図19に続く半導体装置の製造工程中の要部断面図である。 図20に続く半導体装置の製造工程中の要部断面図である。 実施の形態1の半導体装置の要部平面図である。 実施の形態1の半導体装置のO−TEOS膜の相対エッチングレートを示すグラフである。 実施の形態1の半導体装置のO−TEOS膜の比誘電率を示すグラフである。 実施の形態2の半導体装置の要部平面図である。 実施の形態2の半導体装置の製造工程中の要部断面図であり、実施の形態1の図4に対応している。 実施の形態2の半導体装置の製造工程中の要部断面図であり、実施の形態1の図14に対応している。 実施の形態2の半導体装置の製造工程中の要部断面図であり、実施の形態1の図15に対応している。 実施の形態2の半導体装置の製造工程中の要部断面図であり、実施の形態1の図16に対応している。 実施の形態2の半導体装置の製造工程中の要部断面図であり、実施の形態1の図19に対応している。 実施の形態2の半導体装置の製造工程中の要部断面図であり、実施の形態1の図20に対応している。
以下の実施の形態においては便宜上その必要があるときは、複数のセクションまたは実施の形態に分割して説明するが、特に明示した場合を除き、それらはお互いに無関係なものではなく、一方は他方の一部または全部の変形例、詳細、補足説明等の関係にある。また、以下の実施の形態において、要素の数等(個数、数値、量、範囲等を含む)に言及する場合、特に明示した場合および原理的に明らかに特定の数に限定される場合等を除き、その言及した数に限定されるものではなく、言及した数以上でも以下でもよい。さらに、以下の実施の形態において、その構成要素(要素ステップ等も含む)は、特に明示した場合および原理的に明らかに必須であると考えられる場合等を除き、必ずしも必須のものではないことは言うまでもない。同様に、以下の実施の形態において、構成要素等の形状、位置関係等に言及するときは、特に明示した場合および原理的に明らかにそうでないと考えられる場合等を除き、実質的にその形状等に近似または類似するもの等を含むものとする。このことは、上記数値および範囲についても同様である。
以下、実施の形態を図面に基づいて詳細に説明する。なお、実施の形態を説明するための全図において、同一の機能を有する部材には同一の符号を付し、その繰り返しの説明は省略する。また、以下の実施の形態では、特に必要なとき以外は同一または同様な部分の説明を原則として繰り返さない。
また、実施の形態で用いる図面においては、断面図であっても図面を見易くするためにハッチングを省略する場合もある。また、平面図であっても図面を見易くするためにハッチングを付す場合もある。
(実施の形態1)
<半導体装置の製造工程について>
本実施の形態および以下の実施の形態の半導体装置は、不揮発性メモリ(不揮発性記憶素子、フラッシュメモリ、不揮発性半導体記憶装置)を備えた半導体装置である。本実施の形態および以下の実施の形態では、不揮発性メモリは、nチャネル型MISFET(MISFET:Metal Insulator Semiconductor Field Effect Transistor)を基本としたメモリセルをもとに説明を行う。
本実施の形態の半導体装置の製造方法を、図面を参照して説明する。
図1〜図3は、本実施の形態の半導体装置の製造工程を示すプロセスフロー図である。図4〜図21は、本実施の形態の半導体装置の製造工程中の要部断面図である。なお、図4〜図21の断面図には、メモリセル領域1Aおよび周辺回路領域1Bの要部断面図が示されており、半導体基板SBにおいて、メモリセル領域1Aに不揮発性メモリのメモリセルが、周辺回路領域1BにMISFETが、それぞれ形成される様子が示されている。図22は、本実施の形態の半導体装置のメモリセル領域の要部平面図である。
メモリセル領域1Aには、複数のスプリットゲート型のメモリセルが行列状(マトリックス状)に配置されており、メモリセルは、nチャネル型のMISFET(制御トランジスタおよびメモリトランジスタ)で構成されている。メモリセルは、半導体基板上にゲート絶縁膜を介して形成された制御ゲート電極と、半導体基板上に電荷蓄積領域を含むゲート絶縁膜を介して形成されたメモリゲート電極と、制御ゲート電極およびメモリゲート電極を挟むように半導体基板の表面に形成された一対の半導体領域(ソース領域およびドレイン領域)を有している。
また、本実施の形態においては、メモリセル領域1Aにnチャネル型のMISFET(制御トランジスタおよびメモリトランジスタ)を形成する場合について説明するが、導電型を逆にしてpチャネル型のMISFET(制御トランジスタおよびメモリトランジスタ)をメモリセル領域1Aに形成することもできる。後述するが、制御トランジスタは、例えば、シリコン膜(多結晶シリコン膜)からなる制御ゲート電極、そして、メモリトランジスタは、例えば、シリコン膜(多結晶シリコン膜)からなるメモリゲート電極を有している。
周辺回路領域1Bとは、不揮発性メモリ以外のロジック回路等の形成領域であり、例えば、CPUなどのプロセッサ、制御回路、センスアンプ、カラムデコーダ、ロウデコーダなどが形成される。周辺回路領域1Bに形成されるMISFETは、周辺回路用のMISFETである。本実施の形態においては、周辺回路領域1Bにnチャネル型のMISFETを形成する場合について説明するが、導電型を逆にしてpチャネル型のMISFETを周辺回路領域1Bに形成することもでき、また、周辺回路領域1BにCMISFET(Complementary MISFET)などを形成することもできる。
図4に示すように、まず、例えば1〜10Ωcm程度の比抵抗を有するp型の単結晶シリコンなどからなる半導体基板(半導体ウエハ)SBを用意(準備)する(図1のステップS1)。それから、半導体基板SBの主面に、活性領域を規定(画定)する素子分離膜(素子分離領域)STを形成する(図1のステップS2)。
素子分離膜STは、酸化シリコンなどの絶縁体からなり、例えばSTI(Shallow Trench Isolation)法またはLOCOS(Local Oxidization of Silicon)法などにより形成することができる。例えば、半導体基板SBの主面に素子分離用の溝STRを形成した後、この素子分離用の溝STR内に、例えば酸化シリコンからなる絶縁膜を埋め込むことで、素子分離膜STを形成することができる。より具体的には、半導体基板SBの主面に素子分離用の溝STRを形成した後、半導体基板SB上に、この素子分離用の溝STRを埋めるように、素子分離領域形成用の絶縁膜(例えば酸化シリコン膜)を形成する。それから、素子分離用の溝STRの外部の絶縁膜(素子分離領域形成用の絶縁膜)を除去することで、素子分離用の溝STRに埋め込まれた絶縁膜からなる素子分離膜STを形成することができる。素子分離膜STは、半導体基板SBの主面において、素子が形成される活性領域を囲むように配置されている。つまり、素子間は、素子分離膜STで分離されている。素子分離膜STは、メモリセル領域1Aと周辺回路領域1B間を電気的に分離するとともに、メモリセル領域1Aにおいて、メモリセル間を、周辺回路領域1Bにおいて、複数のMISFET間を電気的に分離している。
次に、図5に示すように、半導体基板SBのメモリセル領域1Aにp型ウエルPW1を、周辺回路領域1Bにp型ウエルPW2を形成する(図1のステップS3)。p型ウエルPW1,PW2は、例えばホウ素(B)などのp型の不純物を半導体基板SBにイオン注入することなどによって形成することができる。p型ウエルPW1,PW2は、半導体基板SBの主面から所定の深さにわたって形成される。p型ウエルPW1とp型ウエルPW2とは、同じ導電型であるため、同じイオン注入工程で形成しても、あるいは異なるイオン注入工程で形成してもよい。図示しないが、メモリセル領域1Aのp型ウエルPW1は、平面視および断面視において、n型ウエルで覆われており、周辺回路領域1Bのp型ウエルPW2とは、電気的に分離されている。
次に、希釈フッ酸洗浄などによって半導体基板SB(p型ウエルPW1,PW2)の表面を清浄化した後、半導体基板SBの主面(p型ウエルPW1,PW2の表面)に、ゲート絶縁膜用の絶縁膜GIを形成する(図1のステップS4)。
絶縁膜GIは、例えば薄い酸化シリコン膜または酸窒化シリコン膜などにより形成し、絶縁膜GIの形成膜厚は、例えば2〜3nm程度とすることができる。絶縁膜GIは、熱酸化法、CVD(Chemical Vapor Deposition:化学的気相成長)法、あるいは、プラズマ窒化法により形成することができる。絶縁膜GIを、熱酸化法により形成した場合には、素子分離膜ST上には絶縁膜GIは形成されない。
他の形態として、ステップS4において、周辺回路領域1Bの絶縁膜GIを、メモリセル領域1Aの絶縁膜GIとは別の工程で、異なる膜厚で形成することもできる。
次に、図6に示すように、半導体基板SBの主面(主面全面)上に、すなわちメモリセル領域1Aおよび周辺回路領域1Bの絶縁膜GI上に、シリコン膜PS1を形成(堆積)する(図1のステップS5)。
シリコン膜PS1は、後述の制御ゲート電極CGを形成するための導電膜である。また、シリコン膜PS1は、後述のゲート電極DGを形成するための導電膜を兼ねている。すなわち、シリコン膜PS1により、後述する制御ゲート電極CGおよびゲート電極DGが形成される。
シリコン膜PS1は、多結晶シリコン膜(ポリシリコン膜)からなり、CVD法などを用いて形成することができる。シリコン膜PS1の堆積膜厚は、例えば50〜100nm程度とすることができる。シリコン膜PS1は、成膜時に不純物を導入するか、あるいは成膜後に不純物をイオン注入することなどにより、低抵抗の半導体膜(ドープトポリシリコン膜)とすることができる。メモリセル領域1Aのシリコン膜PS1は、好ましくは、リン(P)またはヒ素(As)などのn型不純物が導入されたn型のシリコン膜である。
次に、半導体基板SBの主面(主面全面)上に、すなわちシリコン膜PS1上に、絶縁膜IL1を形成(堆積)する(図1のステップS6)。
絶縁膜IL1は、後述のキャップ絶縁膜CP1,CP2を形成するための絶縁膜である。絶縁膜IL1は、例えば窒化シリコン膜などからなり、CVD法などを用いて形成することができる。絶縁膜IL1の堆積膜厚は、例えば20〜50nm程度とすることができる。ステップS5,S6を行うことにより、シリコン膜PS1とシリコン膜PS1上の絶縁膜IL1との積層膜LFが形成された状態になる。ここで、積層膜LFは、シリコン膜PS1とシリコン膜PS1上の絶縁膜IL1とからなる。
次に、積層膜LFを、すなわち絶縁膜IL1およびシリコン膜PS1を、フォトリソグラフィ技術およびエッチング技術によりパターニングして、制御ゲート電極CGと制御ゲート電極CG上のキャップ絶縁膜CP1とを有する積層体(積層構造体)LM1をメモリセル領域1Aに形成する(図1のステップS7)。
ステップS7は、次のようにして行うことができる。すなわち、まず、図6に示すように、絶縁膜IL1上にフォトリソグラフィ法を用いてレジストパターンとしてフォトレジストパターンPR1を形成する。このフォトレジストパターンPR1は、メモリセル領域1Aにおける制御ゲート電極CG形成予定領域と、周辺回路領域1B全体に形成される。それから、このフォトレジストパターンPR1をエッチングマスクとして用いて、メモリセル領域1Aにおけるシリコン膜PS1と絶縁膜IL1との積層膜LFをエッチング(好ましくはドライエッチング)してパターニングし、その後、このフォトレジストパターンPR1を除去する。これにより、図7に示すように、メモリセル領域1Aに、パターニングされたシリコン膜PS1からなる制御ゲート電極CGと、パターニングされた絶縁膜IL1からなるキャップ絶縁膜CP1との積層体LM1が形成される。
積層体LM1は、制御ゲート電極CGと制御ゲート電極CG上のキャップ絶縁膜CP1とからなり、メモリセル領域1Aの半導体基板SB(p型ウエルPW1)上に絶縁膜GIを介して形成される。制御ゲート電極CGとキャップ絶縁膜CP1とは、平面視では、ほぼ同じ平面形状を有しており、平面視で重なっている。
ステップS7を行うと、メモリセル領域1Aにおいては、積層体LM1となる部分以外のシリコン膜PS1および絶縁膜IL1は除去される。一方、フォトレジストパターンPR1は、周辺回路領域1Bにおいては、周辺回路領域1B全体に形成される。このため、ステップS7を行っても、周辺回路領域1Bにおいては、シリコン膜PS1とシリコン膜PS1上の絶縁膜IL1との積層膜LF1は、除去されずに、従ってパターニングされずに、そのまま残存する。周辺回路領域1Bに残存する積層膜LFを、符号LF1を付して積層膜LF1と称することとする。
メモリセル領域1Aにおいて、パターニングされたシリコン膜PS1からなる制御ゲート電極CGが形成され、制御ゲート電極CGは、制御トランジスタ用のゲート電極である。制御ゲート電極CGの下に残存する絶縁膜GIが、制御トランジスタのゲート絶縁膜となる。従って、メモリセル領域1Aにおいて、シリコン膜PS1からなる制御ゲート電極CGは、半導体基板SB(p型ウエルPW1)上にゲート絶縁膜としての絶縁膜GIを介して形成された状態となる。
メモリセル領域1Aにおいて、積層体LM1で覆われた部分以外の絶縁膜GI、すなわちゲート絶縁膜となる部分以外の絶縁膜GIは、ステップS7のパターニング工程で行うドライエッチングや、あるいはそのドライエッチング後にウェットエッチングを行うことによって除去され得る。
次に、図8に示すように、半導体基板SBの主面全面に、すなわち、半導体基板SBの主面(表面)上と積層体LM1の表面(上面および側面)上とに、メモリトランジスタのゲート絶縁膜用の絶縁膜MZを形成する(図1のステップS8)。
周辺回路領域1Bでは、積層膜LF1が残存しているので、この積層膜LF1の表面(上面および側面)上にも絶縁膜MZが形成され得る。このため、ステップS8において、絶縁膜MZは、半導体基板SB上において、メモリセル領域1Aの積層体LM1および周辺回路領域1Bの積層膜LF1を覆うように形成される。
絶縁膜MZは、メモリトランジスタのゲート絶縁膜用の絶縁膜であり、内部に電荷蓄積部を有する絶縁膜である。この絶縁膜MZは、酸化シリコン膜(酸化膜)MZ1と、酸化シリコン膜MZ1上に形成された窒化シリコン膜(窒化膜)MZ2と、窒化シリコン膜MZ2上に形成された酸化シリコン膜(酸化膜)MZ3との積層膜からなる。酸化シリコン膜MZ1、窒化シリコン膜MZ2および酸化シリコン膜MZ3の積層膜は、ONO(oxide-nitride-oxide)膜とみなすこともできる。
なお、図面を見やすくするために、図8では、酸化シリコン膜MZ1、窒化シリコン膜MZ2および酸化シリコン膜MZ3からなる絶縁膜MZを、単に絶縁膜MZとして図示している。本実施の形態においては、トラップ準位を有する絶縁膜(電荷蓄積層)として、窒化シリコン膜MZ2を例示しているが、窒化シリコン膜に限定されるものではなく、例えば酸化アルミニウム膜(アルミナ)、酸化ハフニウム膜または酸化タンタル膜など、窒化シリコン膜よりも高い誘電率を有する高誘電率膜を電荷蓄積層または電荷蓄積部として使用することもできる。また、シリコンナノドットで電荷蓄積層または電荷蓄積部を形成することもできる。
絶縁膜MZを形成するには、例えば、まず酸化シリコン膜MZ1を熱酸化法(好ましくはISSG酸化)により形成してから、酸化シリコン膜MZ1上に窒化シリコン膜MZ2をCVD法で堆積し、更に窒化シリコン膜MZ2上に酸化シリコン膜MZ3をCVD法または熱酸化法あるいはその両方で形成する。これにより、酸化シリコン膜MZ1、窒化シリコン膜MZ2および酸化シリコン膜MZ3の積層膜からなる絶縁膜MZを形成することができる。
酸化シリコン膜MZ1の厚みは、例えば2〜10nm程度とすることができ、窒化シリコン膜MZ2の厚みは、例えば5〜15nm程度とすることができ、酸化シリコン膜MZ3の厚みは、例えば2〜10nm程度とすることができる。最後の酸化膜、すなわち絶縁膜MZのうちの最上層の酸化シリコン膜MZ3は、例えば窒化膜(絶縁膜MZのうちの中間層の窒化シリコン膜MZ2)の上層部分を酸化して形成することで、高耐圧膜を形成することもできる。絶縁膜MZは、後で形成されるメモリゲート電極MGのゲート絶縁膜として機能する。
次に、半導体基板SBの主面(主面全面)上に、すなわち絶縁膜MZ上に、メモリセル領域1Aにおいては積層体LM1を覆うように、周辺回路領域1Bにおいては積層膜LF1を覆うように、メモリゲート電極MG形成用の導電膜としてシリコン膜PS2を形成(堆積)する(図1のステップS9)。
シリコン膜PS2は、メモリトランジスタのゲート電極用の導電膜である。シリコン膜PS2は、多結晶シリコン膜からなり、CVD法などを用いて形成することができる。シリコン膜PS2の堆積膜厚は、例えば30〜150nm程度とすることができる。
また、シリコン膜PS2は、成膜時に不純物を導入するか、あるいは成膜後に不純物をイオン注入することなどにより、不純物が導入されて低抵抗の半導体膜(ドープトポリシリコン膜)とされている。シリコン膜PS2は、好ましくは、リン(P)またはヒ素(As)などのn型不純物が導入されたn型のシリコン膜である。
次に、異方性エッチング技術により、シリコン膜PS2をエッチバック(エッチング、異方性ドライエッチング、異方性エッチング)する(図1のステップS10)。
ステップS10のエッチバック工程により、シリコン膜PS2がエッチバックされることで、積層体LM1の両方の側壁上に絶縁膜MZを介してシリコン膜PS2がサイドウォールスペーサ状に残され、メモリセル領域1Aの他の領域のシリコン膜PS2が除去される。これにより、図9に示すように、メモリセル領域1Aにおいて、積層体LM1の両方の側壁のうち、一方の側壁上に絶縁膜MZを介してサイドウォールスペーサ状に残存したシリコン膜PS2により、メモリゲート電極MGが形成され、また、他方の側壁上に絶縁膜MZを介してサイドウォールスペーサ状に残存したシリコン膜PS2により、シリコンスペーサSPが形成される。メモリゲート電極MGは、絶縁膜MZ上に、絶縁膜MZを介して積層体LM1と隣り合うように形成される。積層体LM1は、制御ゲート電極CGと制御ゲート電極CG上のキャップ絶縁膜CP1とからなるため、メモリゲート電極MGは、絶縁膜MZを介して制御ゲート電極CGおよびキャップ絶縁膜CP1と隣り合うように形成される。
また、周辺回路領域1Bに残存させている積層膜LF1の側壁上にも、絶縁膜MZを介してシリコンスペーサSPが形成される。
シリコンスペーサSPは、導電体からなるサイドウォールスペーサ、すなわち導電体スペーサとみなすこともできる。メモリセル領域1Aにおいて、メモリゲート電極MGとシリコンスペーサSPとは、積層体LM1の互いに反対側となる側壁上に形成されており、積層体LM1を挟んでほぼ対称な構造を有している。
ステップS10のエッチバック工程を終了した段階で、メモリゲート電極MGおよびシリコンスペーサSPの高さは、制御ゲート電極CGの高さよりも高いことが好ましい。メモリゲート電極MGの高さを、制御ゲート電極CGの高さよりも高くしておくことで、後述のステップS20の研磨工程において、メモリゲート電極MGの上部を的確に露出させることができ、メモリゲート電極MGの露出不良を防止できる。
次に、フォトリソグラフィ技術を用いて、メモリゲート電極MGが覆われかつシリコンスペーサSPが露出されるようなフォトレジストパターン(図示せず)を半導体基板SB上に形成してから、そのフォトレジストパターンをエッチングマスクとしたドライエッチングにより、シリコンスペーサSPを除去する(図2のステップS11)。その後、そのフォトレジストパターンを除去する。ステップS11のエッチング工程により、図10に示すように、シリコンスペーサSPが除去されるが、メモリゲート電極MGは、フォトレジストパターンで覆われていたので、エッチングされずに残存する。また、積層膜LF1の側壁のシリコンスペーサSPは除去される。
次に、図10に示すように、絶縁膜MZのうち、メモリゲート電極MGで覆われずに露出する部分をエッチング(例えばウェットエッチング)によって除去する(図2のステップS12)。この際、メモリセル領域1Aにおいて、メモリゲート電極MGの下とメモリゲート電極MGおよび積層体LM1間とに位置する絶縁膜MZは、除去されずに残存し、他の領域の絶縁膜MZは除去される。図10からも分かるように、メモリセル領域1Aにおいて、メモリゲート電極MGと半導体基板SB(p型ウエルPW1)の間の領域と、メモリゲート電極MGと積層体LM1の間の領域の、両領域にわたって絶縁膜MZが連続的に延在している。
メモリゲート電極MGと半導体基板SB(p型ウエルPW1)の間の領域の絶縁膜MZが、メモリトランジスタのゲート絶縁膜として機能する。
次に、周辺回路領域1Bの積層膜LF1をフォトリソグラフィ技術およびエッチング技術を用いてパターニングすることにより、図11に示すように、ゲート電極DGとゲート電極DG上のキャップ絶縁膜CP2とを有する積層体(積層構造体)LM2を周辺回路領域1Bに形成する(図2のステップS13)。
ステップS13のパターニング工程は、例えば次のようにして行うことができる。すなわち、まず、半導体基板SBの主面上に、フォトリソグラフィ法を用いてフォトレジストパターン(図示せず)を形成する。このフォトレジストパターンは、メモリセル領域1A全体と、周辺回路領域1Bにおけるゲート電極DG形成予定領域と、に形成される。このため、メモリゲート電極MGおよび積層体LM1は、このフォトレジストパターンで覆われることになる。それから、このフォトレジストパターンをエッチングマスクとして用いて、周辺回路領域1Bにおけるシリコン膜PS1と絶縁膜IL1との積層膜LF1をエッチング(好ましくはドライエッチング)してパターニングし、その後、このフォトレジストパターンを除去する。これにより、図11に示すように、パターニングされたシリコン膜PS1からなるゲート電極DGと、パターニングされた絶縁膜IL1からなるキャップ絶縁膜CP2との積層体LM2が、周辺回路領域1Bに形成される。
積層体LM2は、ゲート電極DGとゲート電極DG上のキャップ絶縁膜CP2とからなり、周辺回路領域1Bの半導体基板SB(p型ウエルPW2)上に絶縁膜GIを介して形成される。ゲート電極DGとキャップ絶縁膜CP2とは、平面視ではほぼ同じ平面形状を有しており、平面視で重なっている。なお、ゲート電極DGは、ダミーのゲート電極(擬似的なゲート電極)であり、後で除去される。このため、ゲート電極DGは、ダミーゲート電極と称することができる。また、ゲート電極DGは、後で除去されて後述のゲート電極GEに置き換えられるため、リプレイスメントゲート電極(Replacement Gate Electrode)または置換用ゲート電極とみなすこともできる。
周辺回路領域1Bにおいて、積層体LM2で覆われた部分以外の絶縁膜GIは、ステップS13のパターニング工程で行うドライエッチングや、あるいはそのドライエッチング後にウェットエッチングを行うことによって除去され得る。
このようにして、周辺回路領域1Bにおいて、半導体基板SB(p型ウエルPW2)上に、絶縁膜GIを介して、ゲート電極DGとゲート電極DG上のキャップ絶縁膜CP2とを有する積層体LM2が形成される。
このようにして、図11に示すように、メモリセル領域1Aにおいて、半導体基板SB上に絶縁膜GIを介して制御ゲート電極CGが形成され、半導体基板SB上に絶縁膜MZを介してメモリゲート電極MGが形成される。さらに、周辺回路領域1Bにおいて、半導体基板SB上に絶縁膜GIを介してゲート電極DGが形成される。そして、制御ゲート電極CG上にはキャップ絶縁膜CP1が形成され、ゲート電極DG上にはキャップ絶縁膜CP2が形成された状態となっている。
次に、図12に示すように、n型半導体領域(不純物拡散層)EX1,EX2,EX3を、イオン注入法などを用いて形成する(図2のステップS14)。
ステップS14において、例えばヒ素(As)またはリン(P)などのn型の不純物を、積層体LM1、メモリゲート電極MGおよび積層体LM2をマスク(イオン注入阻止マスク)として用いて半導体基板SB(p型ウエルPW1,PW2)にイオン注入法で導入することにより、n型半導体領域EX1,EX2,EX3を形成することができる。この際、n型半導体領域EX1は、メモリセル領域1Aにおいて、メモリゲート電極MGがマスク(イオン注入阻止マスク)として機能することにより、メモリゲート電極MGの側壁(絶縁膜MZを介して制御ゲート電極CGに隣接している側とは反対側の側壁)に自己整合して形成される。また、n型半導体領域EX2は、メモリセル領域1Aにおいて、積層体LM1がマスク(イオン注入阻止マスク)として機能することにより、制御ゲート電極CGの側壁(絶縁膜MZを介してメモリゲート電極MGに隣接している側とは反対側の側壁)に自己整合して形成される。また、n型半導体領域EX3は、周辺回路領域1Bにおいて、積層体LM2がマスク(イオン注入阻止マスク)として機能することにより、ゲート電極DGの両側壁に自己整合して形成される。n型半導体領域EX1およびn型半導体領域EX2は、メモリセル領域1Aに形成されるメモリセルのソース・ドレイン領域(ソースまたはドレイン領域)の一部として機能し、n型半導体領域EX3は周辺回路領域1Bに形成されるMISFETのソース・ドレイン領域(ソースまたはドレイン領域)の一部として機能することができる。n型半導体領域EX1とn型半導体領域EX2とn型半導体領域EX3とは、同じイオン注入工程で形成することができるが、異なるイオン注入工程で形成することも可能である。
次に、積層体LM1およびメモリゲート電極MGの側壁上と、積層体LM2の側壁上とに、側壁絶縁膜として、絶縁膜からなるサイドウォールスペーサ(サイドウォール、側壁絶縁膜)SWを形成する(図2のステップS15)。サイドウォールスペーサSWは、側壁絶縁膜とみなすことができる。
ステップS15のサイドウォールスペーサSW形成工程は、例えば次のようにして行うことができる。すなわち、半導体基板SBの主面全面上に絶縁膜IL2を形成(堆積)する。絶縁膜IL2は、例えば、酸化シリコン膜または窒化シリコン膜あるいはそれらの積層膜などからなり、CVD法などを用いて形成することができる。絶縁膜IL2は、半導体基板SB上に、メモリゲート電極MG、積層体LM1,LM2を覆うように形成される。それから、図13に示すように、この絶縁膜IL2を、異方性エッチング技術によりエッチバック(エッチング、ドライエッチング、異方性ドライエッチング)する。これにより、積層体LM1およびメモリゲート電極MGの側壁上と、積層体LM2の側壁上とに、選択的にこの絶縁膜IL2が残存して、サイドウォールスペーサSWが形成される。サイドウォールスペーサSWは、メモリセル領域1Aにおいて、積層体LM1の側壁のうち、絶縁膜MZを介してメモリゲート電極MGに隣接している側とは反対側の側壁上と、メモリゲート電極MGの側壁のうち、絶縁膜MZを介して積層体LM1に隣接している側とは反対側の側壁上とに形成される。そして、サイドウォールスペーサSWは、周辺回路領域1Bにおいて、積層体LM2の両側壁上に形成される。
次に、図13に示すように、n型半導体領域(不純物拡散層)SD1,SD2,SD3を、イオン注入法などを用いて形成する(図2のステップS16)。
ステップS16において、例えば、ヒ素(As)又はリン(P)等のn型不純物を、積層体LM1、メモリゲート電極MG、積層体LM2、およびサイドウォールスペーサSWをマスク(イオン注入阻止マスク)として用いて半導体基板SB(p型ウエルPW1,PW2)にイオン注入法で導入することで、n型半導体領域SD1,SD2,SD3を形成することができる。この際、n型半導体領域SD1は、メモリセル領域1Aにおいて、メモリゲート電極MGと、メモリゲート電極MGの側壁上のサイドウォールスペーサSWと、がマスク(イオン注入阻止マスク)として機能することにより、メモリゲート電極MGの側壁上のサイドウォールスペーサSWに自己整合して形成される。また、n型半導体領域SD2は、メモリセル領域1Aにおいて、積層体LM1とその側壁上のサイドウォールスペーサSWとがマスク(イオン注入阻止マスク)として機能することにより、積層体LM1の側壁上のサイドウォールスペーサSWに自己整合して形成される。また、n型半導体領域SD3は、周辺回路領域1Bにおいて、積層体LM2とその側壁上のサイドウォールスペーサSWとがマスク(イオン注入阻止マスク)として機能することにより、積層体LM2の両側壁上のサイドウォールスペーサSWに自己整合して形成される。これにより、LDD(Lightly doped Drain)構造が形成される。n型半導体領域SD1とn型半導体領域SD2とn型半導体領域SD3は、同じイオン注入工程で形成することができるが、異なるイオン注入工程で形成することも可能である。また、n型半導体領域SD1とn型半導体領域SD2とを同じイオン注入で形成し、n型半導体領域SD3を他のイオン注入で形成することもできる。
このようにして、n型半導体領域EX1とそれよりも高不純物濃度のn型半導体領域SD1とにより、メモリトランジスタのソース領域として機能するn型の半導体領域が形成され、n型半導体領域EX2とそれよりも高不純物濃度のn型半導体領域SD2とにより、制御トランジスタのドレイン領域として機能するn型の半導体領域が形成される。また、n型半導体領域EX3とそれよりも高不純物濃度のn型半導体領域SD3とにより、周辺回路領域1BのMISFETのソース・ドレイン領域として機能するn型の半導体領域が形成される。n型半導体領域SD1は、n型半導体領域EX1よりも不純物濃度が高くかつ接合深さが深く、n型半導体領域SD2は、n型半導体領域EX2よりも不純物濃度が高くかつ接合深さが深く、n型半導体領域SD3は、n型半導体領域EX3よりも不純物濃度が高くかつ接合深さが深い。
次に、ソースおよびドレイン用の半導体領域(n型半導体領域EX1,EX2,EX3およびn型半導体領域SD1,SD2,SD3)などに導入された不純物を活性化するための熱処理である活性化アニールを行う(図2のステップS17)。
このようにして、メモリセル領域1Aに不揮発性メモリのメモリセルが形成される。一方、ゲート電極DGは、ダミーのゲート電極であるため、周辺回路領域1BのMISFETは、ソース・ドレイン領域は形成されたが、最終的に使用するゲート電極(後述のゲート電極GE)は、まだ形成されていない。
次に、シリサイド層SL1を形成する(図2のステップS18)。シリサイド層SL1は、次のようにして形成することができる。
まず、n型半導体領域SD1,SD2,SD3の上面(表面)上を含む半導体基板SBの主面全面上に、積層体LM1、メモリゲート電極MG、積層体LM2、ならびにサイドウォールスペーサSWを覆うように、金属膜を形成(堆積)する。金属膜は、単体の金属膜(純金属膜)または合金膜とすることができ、好ましくは、コバルト(Co)膜、ニッケル(Ni)膜、またはニッケル白金合金膜からなるが、ニッケル白金合金膜(白金添加ニッケル膜)であれば、特に好ましい。金属膜は、スパッタリング法などを用いて形成することができる。
次に、半導体基板SBに対して熱処理を施すことによって、n型半導体領域SD1,SD2,SD3、およびメモリゲート電極MGの各上層部分(表層部分)を金属膜と反応させる。これにより、図14に示すように、n型半導体領域SD1,SD2,SD3、およびメモリゲート電極MGの各上部(上面、表面、上層部)に、それぞれシリサイド層SL1が形成される。シリサイド層SL1は、例えばコバルトシリサイド層(金属膜がコバルト膜の場合)、ニッケルシリサイド層(金属膜がニッケル膜の場合)、または、白金添加ニッケルシリサイド層(金属膜がニッケル白金合金膜の場合)とすることができる。なお、白金添加ニッケルシリサイド層とは、白金が添加されたニッケルシリサイド層、すなわち白金を含有するニッケルシリサイド層であり、ニッケル白金シリサイド層と言うこともできる。その後、未反応の金属膜をウェットエッチングなどにより除去した後に、更に熱処理を行い、シリサイド層SL1を低抵抗化することもできる。
このように、いわゆるサリサイド(Salicide:Self Aligned Silicide)プロセスを行うことによって、n型半導体領域SD1,SD2,SD3、およびメモリゲート電極MGの上部にシリサイド層SL1を形成し、それによって、ソース、ドレインの低抵抗化、およびメモリゲート電極MGの低抵抗化を実現できる。
次に、図15に示すように、半導体基板SBの主面全面上に、積層体LM1、メモリゲート電極MG、積層体LM2およびサイドウォールスペーサSWを覆うように、層間絶縁膜として絶縁膜IL3を形成(堆積)する(図2のステップS19)。
絶縁膜IL3は、酸化シリコン膜からなり、例えばCVD法などを用いて形成することができる。なお、酸化シリコン膜は、隣接する制御ゲート電極間、隣接するメモリゲート電極間またはゲート電極DG間を、前述の「シーム」と呼ばれる隙間を発生させることなく埋めるために、O−TEOS膜とすることが肝要である。
また、O−TEOS膜の膜厚は、n型半導体領域SD1,SD2,SD3の上部において、O−TEOS膜の上面が、積層構造体LM1およびLM2のキャップ絶縁膜CP1およびCP2の上面よりも高くなるように、十分に厚く堆積することが肝要である。もちろん、O−TEOS膜の上面は、積層構造体LM1およびLM2の制御ゲート電極CG、メモリゲート電極MGおよびゲート電極DGの上面よりも高くなっている。
−TEOS膜は、常圧化学気相成長法(APCVD:Atmospheric Pressure Chemical Vapor Deposition)又は準大気圧化学気相成長法(SACVD:Sub Atmospheric Chemical Vapor Deposition)により形成する。原料ガスとして、テトラエチルオルソシリケート(TEOS)とオゾン(O)を用い、圧力:300〜500Torr、温度:450℃〜550℃の条件で実施する。なお、TEOSのキャリアガスとしては、例えば、窒素(N)を用いる。
また、本願発明者は、O−TEOS膜中にO−H基が多量に含まれる程、ギャップ埋め込み特性が良好であるとの知見を有している。従って、本実施の形態では、シロキサン(Si−O−Si)基に対するシラノール(Si−O−H)基の比率であるシラノール比率が10%以上のO−TEOS膜としている。因みに、従来のO−TEOS膜では、シラノール基比率は、10%未満、例えば、5〜8%となっている。なお、膜中にO−H基を多く含む膜は、成膜装置のチャンバー内への原料ガス注入から膜成長が開始するまでの時間(インキュベーション)を制御する(例えば、5秒以下とする)ことで実現出来ることを確認している。
しかしながら、前述の膜中にO−H基を多く含む膜は、ギャップ埋め込み特性が良好であるが、ウェットエッチングレートが高く(早く)、比誘電率が高いという物性を有する。本願発明者の検討により、前述のO−TEOS膜を酸化雰囲気(O、HO、HまたはO)、かつ、300〜400℃で熱処理することで、ウェットエッチングレートを低く(遅く)でき、かつ、比誘電率を低くできることが判明した。
図23は、O−TEOS膜の相対エッチングレートを示すグラフである。(a)は熱処理前のサンプル、(b)は酸素(O)雰囲気、400℃、3hrの熱処理をしたサンプル、(c)は、過酸化水素(H)雰囲気、400℃、3hrの熱処理をしたサンプルである。なお、エッチング液は、アンモニア過水およびアンモニア水である。酸化雰囲気で熱処理後のサンプル(b)および(c)は、熱処理前のサンプル(a)に比べ、ウェットエッチングレートが低く(遅く)なっていることが分かる。特に、(c)のウェットエッチングレートは、(a)のおよそ1/2となっている。
また、図24は、O−TEOS膜の比誘電率を示すグラフである。熱処理前のサンプル(a)の比誘電率は7であったが、酸素(O)雰囲気、400℃、3hrの熱処理を施した場合のサンプル(b)では、比誘電率が5.5に低減している。さらに、過酸化水素(H)雰囲気、400℃、3hrの熱処理を施したサンプル(c)では、比誘電率が5以下に低減している。
上記サンプルの膜中の平均半径を陽電子消滅法で測定し、サンプル(a)は0.301nm、サンプル(b)は0.287nm、サンプル(c)は0.279nmとなっていることを確認した。本願発明者は、O−TEOS膜に酸化雰囲気で熱処理を施すことにより、脱水縮合反応が起こり、膜中のシラノール基の割合が減少し、シロキサン基の割合が増加する。脱水縮合反応により、O−TEOS膜自体は収縮して硬化するため、ウェットエッチングレートが低く(遅く)なる。一方、O−TEOS膜の収縮により個々のマイクロポアの半径(体積)が増加することによって比誘電率が減少するものと考えている。
つまり、O−TEOS膜の形成後であって、次工程(図2のステップS20の研磨(CMP処理))の前に、O−TEOS膜を酸化雰囲気で熱処理することが肝要である。
次に、絶縁膜IL3の上面を、CMP法などを用いて研磨(研磨処理)する(図2のステップS20)。ステップS20の研磨工程により、図16に示すように、制御ゲート電極CG、メモリゲート電極MG、および、ゲート電極DGの各上面を露出させる。つまり、ステップS20の研磨工程では、制御ゲート電極CGおよびゲート電極DGの上に形成されていたキャップ絶縁膜CP1,CP2は、完全に除去される。もちろん、キャップ絶縁膜CP1,CP2の側壁上に位置しているサイドウォールSWも一部除去される。また、メモリゲート電極MGの上部に形成されていたシリサイド層SL1も除去される。
なお、前述のように、酸化雰囲気で熱処理を施されたO−TEOS膜からなる絶縁膜IL3は、堆積直後に比べ、硬化されウェットエッチングレートが低くなっているため、研磨工程(図2のステップS20)において、絶縁膜IL3の上面が制御ゲート電極CG、メモリゲート電極MGおよびゲート電極DGの上面に比べて、低く落ち込むのを低減または防止することができる。つまり、絶縁膜IL3のディッシングを低減できる。
次に、図17に示すように、半導体基板SB上に所定のパターンを有する絶縁膜IL4を形成する(図3のステップS21)。
絶縁膜IL4は、例えば窒化シリコン膜などからなり、CVD法などを用いて形成することができる。絶縁膜IL4は、平面視において、メモリセル領域1A全体を覆い、周辺回路領域1Bにおいて、ゲート電極DGを露出するパターンを有する。つまり、絶縁膜IL4は、制御ゲート電極CGおよびメモリゲート電極MGを覆い、ゲート電極DGを露出するパターンを有する。
次に、ゲート電極DGをエッチングして除去する(図3のステップS22)。ステップS22のエッチングは、シリコンを選択的にエッチングする、例えば、アンモニア水を用いたウェットエッチングを実施する。ここで、前述のように、O−TEOS膜からなる絶縁膜IL3のウェットエッチングレートを低くしているので、ゲート電極DGを除去するウェットエッチング工程において、絶縁膜IL3の上面が落ち込むのを低減または防止することができる。
ステップS22でゲート電極DGが除去されたことにより、研磨処理された絶縁膜IL3(サイドウォールスペーサSWを含む)には、溝(凹部、窪み部)TR1が形成される。溝TR1は、ゲート電極DGが除去された領域であり、ゲート電極DGを除去するまでゲート電極DGが存在していた領域に対応している。溝TR1の底部(底面)は、絶縁膜GIの上面により形成され、溝TR1の側壁(側面)は、サイドウォールスペーサSWの側面(ゲート電極DGの除去前までゲート電極DGに接していた側面)により形成されている。
ステップS22のゲート電極DG除去工程は、ゲート電極DGに比べて絶縁膜IL4、絶縁膜IL3、絶縁膜GIおよびサイドウォールスペーサSWがエッチングされにくい条件で、エッチングを行うことが好ましい。すなわち、ゲート電極DGのエッチング速度に比べて絶縁膜IL4、絶縁膜IL3、絶縁膜GIおよびサイドウォールスペーサSWのエッチング速度が小さくなる条件で、エッチングを行うことが好ましい。これにより、ゲート電極DGを選択的にエッチングすることができる。絶縁膜IL4は、メモリセル領域1A全体を覆っているため、ステップS22でメモリゲート電極MGおよび制御ゲート電極CGはエッチングされない。
次に、図18に示すように、半導体基板SB上に、すなわち溝TR1の内部(底部および側壁上)を含む絶縁膜IL3上に、絶縁膜HKを形成する(図3のステップS23)。それから、半導体基板SB上に、すなわち絶縁膜HK上に、溝TR1内を埋めるように、導電膜として金属膜MEを形成する(図3のステップS24)。
溝TR1において、ステップS23では溝TR1の底部(底面)および側壁(側面)上に絶縁膜HKが形成されるが、溝TR1は絶縁膜HKでは完全には埋まらず、ステップS24で金属膜MEを形成することにより、溝TR1は絶縁膜HKと金属膜MEにより完全に埋まった状態になる。
絶縁膜HKは、ゲート絶縁膜用の絶縁膜であり、金属膜MEは、ゲート電極用の導電膜である。具体的には、絶縁膜HKは、周辺回路領域1Bに形成するMISFETのゲート絶縁膜用の絶縁膜であり、金属膜MEは、周辺回路領域1Bに形成するMISFETのゲート電極用の導電膜である。
絶縁膜HKは、窒化シリコンよりも誘電率(比誘電率)が高い絶縁材料膜、いわゆるHigh−k膜(高誘電率膜)である。なお、本願において、High−k膜、高誘電率膜あるいは高誘電率ゲート絶縁膜と言うときは、窒化シリコンよりも誘電率(比誘電率)が高い膜を意味する。
絶縁膜HKとしては、酸化ハフニウム膜、酸化ジルコニウム膜、酸化アルミニウム膜、酸化タンタル膜または酸化ランタン膜などの金属酸化物膜を用いることができ、また、これらの金属酸化物膜は、窒素(N)およびケイ素(Si)の一方または両方を更に含有することもできる。絶縁膜HKは、例えば、ALD(Atomic Layer Deposition:原子層堆積)法またはCVD法により形成することができる。ゲート絶縁膜に高誘電率膜(ここでは絶縁膜HK)を用いた場合は、酸化シリコン膜を用いた場合に比べて、ゲート絶縁膜の物理的膜厚を増加させることができるため、リーク電流を低減できるという利点を得られる。
金属膜MEとしては、例えば、窒化チタン(TiN)膜、窒化タンタル(TaN)膜、窒化タングステン(WN)膜、炭化チタン(TiC)膜、炭化タンタル(TaC)膜、炭化タングステン(WC)膜、窒化炭化タンタル(TaCN)膜、チタン(Ti)膜、タンタル(Ta)膜、チタンアルミニウム(TiAl)膜またはアルミニウム(Al)膜などの、金属膜を用いることができる。なお、ここで言う金属膜とは、金属伝導を示す導電膜を言い、単体の金属膜(純金属膜)や合金膜だけでなく、金属伝導を示す金属化合物膜(窒化金属膜や炭化金属膜など)も含むものとする。このため、金属膜MEは、金属伝導を示す導電膜であり、単体の金属膜(純金属膜)や合金膜に限定されず、金属伝導を示す金属化合物膜(窒化金属膜や炭化金属膜など)であってもよい。また、金属膜MEを積層膜(複数の膜が積層された積層膜)とすることもできるが、その場合、その積層膜の最下層は金属膜(金属伝導を示す導電膜)とする。また、その積層膜を、複数の金属膜(金属伝導を示す導電膜)の積層膜とすることもできる。金属膜MEは、例えばスパッタリング法などを用いて形成することができる。
図18では、金属膜MEの好適な一例として、金属膜MEを、チタンアルミニウム(TiAl)膜ME1とチタンアルミニウム膜ME1上のアルミニウム(Al)膜ME2との積層膜とした場合が示されている。この場合、ステップS24において、まず絶縁膜HK上にチタンアルミニウム膜ME1を形成してから、チタンアルミニウム膜ME1上に、溝TR1内を埋めるように、アルミニウム膜ME2を形成することになる。この際、チタンアルミニウム膜ME1よりもアルミニウム膜ME2を厚くすることが好ましい。アルミニウム膜ME2は、低抵抗であるため、後で形成するゲート電極GEの低抵抗化を図ることができる。また、後で形成されるゲート電極GEにおけるゲート絶縁膜に接する部分(ここではチタンアルミニウム膜ME1)の材料の仕事関数で、そのゲート電極GEを備えるMISFETのしきい値電圧を制御することができる。また、密着性の向上の観点で、チタンアルミニウム膜ME1とアルミニウム膜ME2との間に、チタン(Ti)膜または窒化チタン(TiN)膜あるいはそれらの積層膜を介在させることもできる。その場合、チタンアルミニウム膜ME1を形成した後に、チタンアルミニウム膜ME1上にチタン膜または窒化チタン膜あるいはそれらの積層膜を形成してから、その上にアルミニウム膜ME2を形成することになる。
次に、図19に示すように、溝TR1の外部の不要な金属膜MEおよび絶縁膜HKをCMP法などの研磨処理によって除去することにより、溝TR1内に絶縁膜HKおよび金属膜MEを残しゲート電極GEを形成する(図3のステップS25)。
すなわち、ステップS25では、溝TR1の外部の金属膜MEおよび絶縁膜HKを除去し、溝TR1内に絶縁膜HKおよび金属膜MEを残す。これにより、溝TR1内に絶縁膜HKと金属膜MEとが残存して埋め込まれた状態になる。
溝TR1に埋め込まれた金属膜MEが、MISFETのゲート電極GEとなり、溝TR1に埋め込まれた絶縁膜HKが、そのMISFETのゲート絶縁膜として機能し、ゲート電極GEは、MISFETのゲート電極として機能する。
また、金属膜MEを用いてゲート電極GEを形成しているため、ゲート電極GEをメタルゲート電極とすることができる。ゲート電極GEをメタルゲート電極としたことで、ゲート電極GEの空乏化現象を抑制し、寄生容量を低減してMISFETを高速化できるという利点を得られる。
絶縁膜HKは、溝TR1の底部(底面)および側壁上に形成され、ゲート電極GEは、底部(底面)および側壁(側面)が絶縁膜HKに隣接する。ゲート電極GEと半導体基板SB(p型ウエルPW2)との間には、絶縁膜GIと絶縁膜HKが介在しており、ゲート電極GEとサイドウォールスペーサSWとの間には、絶縁膜HKが介在している。ゲート電極GEの直下の絶縁膜GI,HKがMISFETのゲート絶縁膜として機能するが、絶縁膜HKは高誘電率膜であるため、高誘電率ゲート絶縁膜として機能する。
また、ステップS25において、絶縁膜IL4もCMP法などで研磨して除去することができる。このため、ステップS25を行うと、メモリゲート電極MG上、制御ゲート電極CG上からも金属膜MEおよび絶縁膜HKが除去され、更に絶縁膜IL4も除去されるため、メモリゲート電極MGの上面、制御ゲート電極CGの上面が露出される。
他の形態として、ステップS22でゲート電極DGをエッチングした後、ステップS23で絶縁膜HKを形成する前に、溝TR1の底部の絶縁膜GIを除去することも可能である。この場合、溝TR1の底部の絶縁膜GIを除去した後で、溝TR1の底部で露出する半導体基板SB(p型ウエルPW2)の表面に酸化シリコン膜または酸窒化シリコン膜からなる界面層を形成してから、ステップS23で絶縁膜HKを形成すれば、より好ましい。そうすれば、絶縁膜HKと周辺回路領域1Bの半導体基板SB(p型ウエルPW2)との間(界面)に、酸化シリコン膜または酸窒化シリコン膜からなる界面層が介在することになる。
図3のステップ25が完了した段階で、制御ゲート電極CGの上面、メモリゲート電極MGの上面、ゲート電極GEの上面、および絶縁膜IL3の上面は、半導体基板SBの上面または素子分離膜STの上面から等しい高さとなっている。また、制御ゲート電極CG、メモリゲート電極MG、ゲート電極GEの側壁上に形成されたサイドウォールスペーサSWの上面(上端)も、前述の制御ゲート電極CG他の高さと等しい。
次に、図20に示すように、半導体基板SB上に所定のパターンを有する絶縁膜IL5を形成する(図3のステップS26)。
絶縁膜IL5は、例えば酸化シリコン膜などからなり、CVD法などを用いて形成することができる。絶縁膜IL5は、平面視において、周辺回路領域1B全体を覆い、メモリセル領域1Aを露出するようなパターン(平面形状)を有している。つまり、絶縁膜IL5は、MISFETのゲート電極GEを覆い、制御ゲート電極CGおよびメモリゲート電極MGの上面を露出するパターンを有している。
次に、図20に示すように、メモリゲート電極MG上と制御ゲート電極CG上にシリサイド層SL2を形成する(図3のステップS27)。シリサイド層SL2は、次のようにして形成することができる。
まず、半導体基板SB上に、金属膜を形成(堆積)する。金属膜は、単体の金属膜(純金属膜)または合金膜とすることができ、好ましくは、コバルト(Co)膜、ニッケル(Ni)膜、またはニッケル白金合金膜(白金添加ニッケル膜)からなるが、ニッケル(Ni)膜であれば、特に好ましい。金属膜は、スパッタリング法などを用いて形成することができる。
金属膜は、半導体基板SBの主面全面上に形成されるため、メモリゲート電極MGおよび制御ゲート電極CGの上面(表面)上にも金属膜が形成される。このため、金属膜を形成すると、メモリゲート電極MGの上面(表面)および制御ゲート電極CGの上面(表面)が、金属膜に接した状態になる。一方、周辺回路領域1Bでは、金属膜は絶縁膜IL5上に形成されるため、金属膜を形成しても、ゲート電極GEは金属膜に接触せず、ゲート電極GEと金属膜との間には絶縁膜IL5が介在した状態になる。
次に、半導体基板SBに対して熱処理を施すことによって、メモリゲート電極MGおよび制御ゲート電極CGの各上層部分(表層部分)を金属膜と反応させる。これにより、図20に示すように、メモリゲート電極MGおよび制御ゲート電極CGの各上部(上面、表面、上層部)に、それぞれシリサイド層SL2が形成される。シリサイド層SL2は、好ましくは、コバルトシリサイド層(金属膜がコバルト膜の場合)、ニッケルシリサイド層(金属膜がニッケル膜の場合)、または、白金添加ニッケルシリサイド層(金属膜がニッケル白金合金膜の場合)とすることができる。その後、未反応の金属膜をウェットエッチングなどにより除去する。図20にはこの段階の断面図が示されている。また、未反応の金属膜を除去した後に、更に熱処理を行うこともできる。また、ゲート電極GE上にはシリサイド層SL2は形成されない。
このように、いわゆるサリサイドプロセスを行うことによって、メモリセル領域1Aにおいて、メモリゲート電極MGおよび制御ゲート電極CGの上部にシリサイド層SL2を形成し、それによって、メモリゲート電極MGおよび制御ゲート電極CGの抵抗を低減することができる。サリサイドプロセスを用いることにより、メモリゲート電極MGおよび制御ゲート電極CG上に、それぞれシリサイド層SL2を自己整合的に形成することができる。また、メモリゲート電極MGおよび制御ゲート電極CGの各上面のほぼ全体に、シリサイド層SL2を形成することができる。
図20に示すように制御ゲート電極CGおよびメモリゲート電極MGの表面にシリサイド層SL2を形成する例を示した。つまり、制御ゲート電極CGは、シリコン膜PS1とシリサイド層SL2の積層構造、メモリゲート電極MGは、シリコン膜PS2とシリサイド層SL2の積層構造となっている。
次に、図21に示すように、半導体基板SBの主面全面上に、絶縁膜(層間絶縁膜)IL6を形成する(図3のステップS28)。
絶縁膜IL6は、絶縁膜IL5が形成されている領域(例えば周辺回路領域1B)では絶縁膜IL5上に形成され、絶縁膜IL5が形成されていない領域では、主として絶縁膜IL3上に形成され、また、メモリセル領域1Aでは、メモリゲート電極MG上のシリサイド層SL2と制御ゲート電極CG上のシリサイド層SL2とを覆うように形成される。絶縁膜IL6としては、例えば、酸化シリコンを主体とした、酸化シリコン系の絶縁膜を用いることができる。
絶縁膜IL6の形成後、絶縁膜IL6の上面をCMP法により研磨して、絶縁膜IL6の上面の平坦性を高める。
また、本実施の形態では、絶縁膜IL5を除去せずに絶縁膜IL6を形成している。これにより、半導体装置の製造工程を低減することができる。他の形態として、ステップS27でシリサイド層SL2を形成した後、絶縁膜IL5を除去してから、ステップS28で絶縁膜IL6を形成することもできる。
次に、フォトリソグラフィ法を用いて絶縁膜IL6上に形成したフォトレジストパターン(図示せず)をエッチングマスクとして、絶縁膜IL6,IL5,IL3をドライエッチングすることにより、絶縁膜IL6,IL5,IL3にコンタクトホール(開口部、貫通孔)CTを形成する(図3のステップS29)。
絶縁膜IL5が形成されている領域(例えば周辺回路領域1B)では、絶縁膜IL6と絶縁膜IL5と絶縁膜IL3との積層膜を貫通するようにコンタクトホールCTが形成され、絶縁膜IL5が形成されていないメモリセル領域1Aでは、絶縁膜IL6と絶縁膜IL3との積層膜を貫通するようにコンタクトホールCTが形成される。
次に、図21に示すように、コンタクトホールCT内に、接続用の導電体部として、タングステン(W)などからなる導電性のプラグ電極PGを形成する(図3のステップS30)。
プラグ電極PGを形成するには、例えば、コンタクトホールCTの内部(底部および側壁上)を含む絶縁膜IL6上に、バリア導体膜(例えばチタン膜、窒化チタン膜、あるいはそれらの積層膜)を形成する。それから、このバリア導体膜上にタングステン膜などからなる主導体膜でコンタクトホールCTを埋めるように形成してから、コンタクトホールCTの外部の不要な主導体膜およびバリア導体膜をCMP法またはエッチバック法などによって除去することにより、プラグ電極PGを形成することができる。なお、図面の簡略化のために、図21では、プラグ電極PGを構成するバリア導体膜および主導体膜(タングステン膜)を一体化して示してある。
コンタクトホールCTおよびそれに埋め込まれたプラグ電極PGは、n型半導体領域SD1,SD2,SD3、制御ゲート電極CG、メモリゲート電極MG、および、ゲート電極GEの上部などに形成される。コンタクトホールCTの底部では、半導体基板SBの主面の一部、例えばn型半導体領域SD1,SD2,SD3(の表面上のシリサイド層SL1)の一部、制御ゲート電極CG(の表面上のシリサイド層SL2)の一部、メモリゲート電極MG(の表面上のシリサイド層SL2)の一部、あるいはゲート電極GEの一部などが露出される。
なお、図21の断面図においては、n型半導体領域SD2,SD3に対するコンタクトホールCTと、そのコンタクトホールCTを埋めるプラグ電極PGとを示している。
次に、プラグ電極PGが埋め込まれた絶縁膜IL6上に第1層目の配線である配線(配線層)M1を形成する(図3のステップS31)。この配線M1を、ダマシン技術(ここではシングルダマシン技術)を用いて形成する場合について説明する。
まず、図21に示すように、プラグ電極PGが埋め込まれた絶縁膜IL6上に、絶縁膜IL7を形成する。絶縁膜IL7は、複数の絶縁膜の積層膜で形成することもできる。それから、フォトレジストパターン(図示せず)をエッチングマスクとしたドライエッチングによって絶縁膜IL7の所定の領域に配線溝(配線用の溝)を形成した後、配線溝の底部および側壁上を含む絶縁膜IL7上にバリア導体膜(例えば窒化チタン膜、タンタル膜または窒化タンタル膜など)を形成する。それから、CVD法またはスパッタリング法などによりバリア導体膜上に銅のシード層を形成し、さらに電解めっき法などを用いてシード層上に銅めっき膜を形成して、銅めっき膜により配線溝の内部を埋め込む。それから、配線溝以外の領域の主導体膜(銅めっき膜およびシード層)とバリア導体膜をCMP法により除去して、配線溝に埋め込まれた銅を主導電材料とする第1層目の配線M1を形成する。図21では、図面の簡略化のために、配線(金属配線)M1は、バリア導体膜、シード層および銅めっき膜を一体化して示してある。
図21に示すように、配線M1はプラグ電極PGを介して、制御トランジスタのドレイン領域(n型半導体領域SD2)、周辺回路領域1BのMISFETのソース・ドレイン領域(n型半導体領域SD3)、などと電気的に接続される。その後、デュアルダマシン法などにより2層目以降の配線(金属配線)を形成するが、ここでは図示およびその説明は省略する。また、配線M1およびそれよりも上層の配線は、ダマシン配線に限定されず、配線用の導電体膜をパターニングして形成することもでき、例えばタングステン配線またはアルミニウム配線などとすることもできる。
以上のようにして、本実施の形態の半導体装置が製造される。
<半導体装置の構造について>
次に、本実施の形態の半導体装置における不揮発性メモリのメモリセルの構成について、図21および図22を参照して説明する。
図22は、本実施の形態の半導体装置のメモリセル領域の要部平面図である。図21のメモリセル領域1Aには、図22のX−X線に沿う要部断面図を示している。
図21および図22を参照しながらメモリセル領域1Aの構造を説明する。
図21に示すように、半導体基板SBには、メモリトランジスタおよび制御トランジスタからなる不揮発性メモリのメモリセルMCが形成されている。各メモリセルMCにおいて、電荷蓄積部(電荷蓄積層)を含むゲート絶縁膜およびメモリゲート電極MGを備えるMISFETをメモリトランジスタといい、また、ゲート絶縁膜および制御ゲート電極CGを備えるMISFETを制御トランジスタという。
図21に示すように、不揮発性メモリのメモリセルMCは、半導体基板SBのp型ウエルPW1中に形成されたソースおよびドレイン用のn型の半導体領域MS、MDと、半導体基板SB(p型ウエルPW1)の上部に形成された制御ゲート電極CGと、半導体基板SB(p型ウエルPW1)の上部に形成されて制御ゲート電極CGと隣り合うメモリゲート電極MGとを有している。そして、不揮発性メモリのメモリセルMCは、更に、制御ゲート電極CGおよび半導体基板SB(p型ウエルPW1)間に形成された絶縁膜(ゲート絶縁膜)GIと、メモリゲート電極MGおよび半導体基板SB(p型ウエルPW1)間に形成された絶縁膜MZと、を有している。
制御ゲート電極CGおよびメモリゲート電極MGは、それらの対向側面の間に絶縁膜MZを介した状態で、並んで配置され、半導体基板SBの主面に沿って延在している。制御ゲート電極CGおよびメモリゲート電極MGの延在方向は、図21の紙面の垂直方向(図22のY方向)である。制御ゲート電極CGおよびメモリゲート電極MGは、半導体領域MSおよび半導体領域MDの間の半導体基板SB(p型ウエルPW1)の上部に絶縁膜GIおよび絶縁膜MZを介して形成されており、半導体領域MS側にメモリゲート電極MGが位置し、半導体領域MD側に制御ゲート電極CGが位置している。但し、制御ゲート電極CGは絶縁膜GIを介し、メモリゲート電極MGは絶縁膜MZを介して、半導体基板SB上に形成されている。
制御ゲート電極CGとメモリゲート電極MGとは、間に絶縁膜MZを介在して互いに隣り合っている。絶縁膜MZは、メモリゲート電極MGと半導体基板SB(p型ウエルPW1)の間の領域と、メモリゲート電極MGと制御ゲート電極CGの間の領域の、両方の領域にわたって延在している。
半導体領域MSおよび半導体領域MDは、ソースまたはドレイン用の半導体領域である。すなわち、ソース用の半導体領域MSは、n型半導体領域EX1(エクステンション領域)と、n型半導体領域EX1よりも高い不純物濃度を有するn型半導体領域SD1(ソース領域)とを有している。また、ドレイン用の半導体領域MDは、n型半導体領域EX2(エクステンション領域)と、n型半導体領域EX2よりも高い不純物濃度を有するn型半導体領域SD2(ドレイン領域)とを有している。
型半導体領域SD1,SD2の上部には、サリサイド技術などにより、シリサイド層SL1が形成されている。メモリゲート電極MGの上部と制御ゲート電極CGの上部には、サリサイド技術などにより、シリサイド層SL2が形成されている。
図22に示すように、メモリセル領域1Aには、複数のメモリセルMCがX方向およびY方向に行列状に配置されており、Y方向において、各メモリセルMCは、隣り合う素子分離膜STによって電気的に分離されている。X方向において、隣接する2つのメモリセルMCは、共通のドレイン用の半導体領域MDを有しており、共通のドレイン用の半導体領域MDは、プラグ電極PGを介して、X方向に延在するビット線BLに接続されている。ビット線BLは、例えば、第1層目の配線M1で構成されている。制御ゲート電極CGとメモリゲート電極MGに対して、共通のドレイン用の半導体領域MDの反対側に配置されたソース用の半導体領域MSは、Y方向に連続的に形成されており、メモリセル1Aの端部で、プラグ電極PGを介してソース線SLに接続されている。ソース線SLは、例えば、第1層目の配線M1で構成され、X方向に延在している。
また、Y方向に配列された複数のメモリセルMCに対し、制御ゲート電極CGは、共通(一体)に形成されており、Y方向に延在している。Y方向に配列された複数のメモリセルMCに対し、メモリゲート電極MGは、共通(一体)に形成されており、Y方向に延在している。
X方向に隣接する2つのメモリセルMCは、半導体領域MSまたは半導体領域MDを通過するY方向に延在する仮想線に対して線対称に配置されている。従って、隣接する2つのメモリセルMCの2つのメモリゲート電極MGまたは2つの制御ゲート電極CGは、半導体領域MSまたは半導体領域MDを挟んで、互いに隣接している。
メモリセル領域1Aの小型化、言い換えると、半導体装置の小型化のためには、隣接する2つのメモリゲート電極MGの間隔または2つの制御ゲート電極CGの間隔を狭くする必要がある。図21に示すように、隣接する2つのメモリゲート電極MG間および2つの制御ゲート電極CG間のスペースは、絶縁膜IL3で埋められているが、メモリセル領域1Aの小型化により、前記スペースのアスペクト比が増大するため、絶縁膜IL3として、ギャップ埋め込み特性が良好なO−TEOS膜が用いられる。また、制御ゲート電極CGおよびメモリゲート電極MGの側壁上に形成されているサイドウォールスペーサSWが、前記スペースのアスペクト比を大きくしている。
<主要な特徴と効果について>
本実施の形態の主要な特徴と効果について説明する。
本実施の形態の半導体装置の製造方法は、以下の工程を有する。
半導体基板SBのメモリセル領域1Aには、半導体基板SBの主面上に、絶縁膜GIを介して形成された制御ゲート電極CGと、電荷蓄積領域を含む絶縁膜MZを介して形成されたメモリゲート電極MGと、制御ゲート電極CGおよびメモリゲート電極MGを挟むように、半導体基板SBの主面に形成された半導体領域EX1およびSD1ならびに半導体領域EX2およびSD2と、を含むメモリセルを準備する。そして、半導体基板SBの周辺回路領域1Bには、半導体基板SBの主面上に、絶縁膜GIを介して形成されたゲート電極DGと、ゲート電極DGを挟むように、半導体基板SBの主面に形成された半導体領域EX3およびSD3ならびに半導体領域EX3およびSD3と、を含むMISFETを準備する。
次に、メモリセルおよびMISFETを覆うように、半導体基板SBの主面上に、第1温度で成膜したO−TEOS膜からなる絶縁膜IL3を形成する。
次に、絶縁膜IL3を、酸化雰囲気および第2温度で熱処理する。
次に、絶縁膜IL3に第1研磨処理を施し、制御ゲート電極CG、メモリゲート電極MG、および、ゲート電極DGの上面を露出する。
次に、周辺回路領域1Bにおいて、ゲート電極DGを除去し、絶縁膜IL3に溝TR1を形成する。
次に、溝TR1内を埋めるように、絶縁膜IL3上に、金属膜MEを形成する。
次に、金属膜MEに第2研磨処理を施し、溝TR1内に選択的に金属膜MEを残すことにより、溝TR1内に、MISFETのゲート電極GEを形成する。
上記の製造方法によれば、メモリセル領域1Aの隣接する制御ゲート電極CG間および隣接するメモリゲート電極MG間、ならびに、周辺回路領域1Bの隣接するゲート電極DG間の埋め込みに、ギャップ埋め込み特性の高いO−TEOS膜を用いたことで、隣接する制御ゲート電極CG間、隣接するメモリゲート電極MG間、および、隣接するゲート電極DG間の絶縁膜IL3内の「シーム(seam)」発生を低減または防止することができる。
また、図22に示すように、隣接する制御ゲート電極CG間において、ビット線BLに接続される複数のプラグ電極PGがY方向に所定の間隔で配置されている。絶縁膜IL3内の「シーム(seam)」発生によって、Y方向に隣接するプラグ電極PG間の短絡が発生する危険性がある。しかしながら、本実施の形態では、隣接する制御ゲート電極CG間をギャップ埋め込み特性が高いO−TEOS膜を用いたことで、上記のプラグ電極PG間の短絡を防止することができる。
酸化雰囲気で絶縁膜IL3の熱処理をすることで、絶縁膜IL3の熱処理温度(第2温度)を、絶縁膜IL3の成膜温度(第1温度)よりも低温にできるため、メモリセルまたはMISFETの特性劣化を低減または防止することができる。また、熱処理温度(第2温度)が、例えば、成膜温度(第1温度)より高いと、半導体領域SD1、SD2およびSD3の上面に形成されたシリサイド層SL1が、熱処理工程で成長し、半導体領域SD1、SD2およびSD3とP型ウエル領域PW1およびPW2間のリーク電流が増加する。しかしながら、本実施の形態では、熱処理温度(第2温度)低温にできるため、前記リーク電流を低減することができる。さらに、熱処理温度(第2温度)を低温にできるため、シリサイド層SL1として、ニッケルシリサイド層または白金含有ニッケルシリサイド層を用いることができる。
メモリセルおよびMISFETを覆うO−TEOS膜として、膜中のシロキサン(Si−O−Si)基に対するシラノール(Si−O−H)基の比率が10%以上であるO−TEOS膜を用いたことで、ギャップ埋め込み特性を向上させることができる。
−TEOS膜の成膜後に、熱処理を施すことで、絶縁膜IL3(O−TEOS膜)の比誘電率を低下させることができ、制御ゲート電極CG、メモリゲート電極MG、または、ビット線BLの寄生容量を低減でき、メモリセルMCの高速動作を達成できる。
第1研磨処理工程およびゲート電極DGの除去工程に先立って、絶縁膜IL3に熱処理を施すことで、絶縁膜IL3のウェットエッチングレートを低減できる。そのため、第1研磨処理工程での、絶縁膜IL3のディッシング(窪みの発生)およびゲート電極DGの除去工程における絶縁膜IL3の表面の削れを低減することができる。そのため、金属膜MEに対する第2研磨処理工程において、絶縁膜IL3の窪み部または削れ部に金属膜MEが残存することに起因する、周辺回路領域1Bの隣接するゲート電極GE間の短絡を低減または防止することができる。
(実施の形態2)
本実施の形態は、上記実施の形態1の変形例である。本実施の形態では、フィン型の素子形成領域(活性領域)を有する半導体基板に、メモリセルおよびMISFETを形成する点が、上記実施の形態1と異なる。従って、上記実施の形態1と共通する部分には同様の符号を付している。
図25は、実施の形態2の半導体装置の要部平面図である。図26〜図31は、実施の形態2の半導体装置の製造工程中の要部断面図であり、図26は、実施の形態1の図4に、図27は、実施の形態1の図14に、図28は、実施の形態1の図15に、図29は、実施の形態1の図16に、図30は、実施の形態1の図19に、図31は、実施の形態1の図20に、それぞれ対応している。
図25に示すように、メモリセル領域1Aには、複数のメモリセルMCが行列状に配置されており、周辺回路領域1Bには、周辺回路(ロジック回路)を構成するトランジスタTrが複数配置されている。図25では、1つのトランジスタTrのみを示している。トランジスタTrは、n型のMISFETおよびp型MISFETからなるが、ここでは、n型のMISFETを例示している。また、図26および図27においては、図25のA1−A1´に沿う断面図、A2−A2´に沿う断面図、A3−A3´に沿う断面図、B1−B1´に沿う断面図、および、B2−B2´に沿う断面図を示している。図28〜図31においては、図25のA1−A1´に沿う断面図およびB1−B1´に沿う断面図を示している。
図25に示すように、メモリセル領域1Aには、X方向に延在する複数のフィンFAが、Y方向に等間隔に配置されている。フィンFAは、例えば、半導体基板SBの主面から選択的に突出した直方体の突出部(凸部)であり、フィンFAの下端部分は、半導体基板SBの主面を覆う素子分離膜STで囲まれている。フィンFAは、半導体基板SBの一部であり、半導体基板SBの活性領域である。従って、平面視において、隣り合うフィンFAの間は、素子分離膜STで埋まっており、フィンFAの周囲は、素子分離膜STで囲まれている。フィンFAは、メモリセルMCを形成する為の活性領域である。
複数のフィンFA上には、Y方向(X方向と直交する方向)に延在する複数の制御ゲート電極CGおよび複数のメモリゲート電極MGが配置されている。制御ゲート電極CGおよびメモリゲート電極MGを挟むように、制御ゲート電極CGの側には、例えば、ドレインとなる半導体領域MDが、そして、メモリゲート電極MG側には、例えば、ソースとなる半導体領域MSが形成されている。半導体領域MDおよび半導体領域MSは、フィンFAにn型不純物が導入された半導体領域であり、フィンFAの周囲に沿ってエピ層EP2およびEP1が形成されている。つまり、半導体領域MDは、フィンFAおよびエピ層EP2にn型不純物が導入されたn型の半導体領域である。半導体領域MSは、フィンFAおよびエピ層EP1にn型不純物が導入されたn型の半導体領域である。半導体領域MDは、隣り合う2つの制御ゲート電極CG間に形成されており、半導体領域MSは、隣り合う2つのメモリゲート電極MG間に形成されている。メモリセルMCは、制御ゲート電極CG、メモリゲート電極MG、半導体領域MD、および、半導体領域MSを有する。
X方向に隣接する2つのメモリセルMCにおいて、半導体領域MDまたは半導体領域MSは共有されている。半導体領域MDを共有する2つのメモリセルMCは、半導体領域MDに対して、X方向に鏡面対称となっており、半導体領域MSを共有する2つのメモリセルMCは、半導体領域MSに対して、X方向に鏡面対称となっている。
各フィンFAには、X方向に、3つ以上の多数のメモリセルMCが形成されており、X方向に配列された複数のメモリセルMCの半導体領域MDは、コンタクトホールCT内に形成されたプラグ電極PGを介して、X方向に延在する金属配線MWからなるソース線SLに接続されている。また、Y方向に配列された複数のメモリセルMCの半導体領域MSは、Y方向に延在する金属配線MWからなるビット線BLに接続されている。好適には、ソース線SLには、ビット線BLとは異なる層の金属配線を用いる。例えば、ソース線SLは、ビット線BLよりも上層の金属配線で構成することが好ましい。
また、周辺回路領域1Bには、例えば、X方向に延在するフィンFBが形成されている。フィンFBは、フィンFAと同様に半導体基板SBの活性領域であり、フィンFBの下端部分は、半導体基板SBの主面を覆う素子分離膜STで囲まれている。フィンFB上には、Y方向に延在するゲート電極GEが配置され、ゲート電極GEを挟むように、フィンFBには、例えば、ドレインとなる半導体領域LD、および、例えば、ソースとなる半導体領域LSが形成されている。半導体領域LDおよび半導体領域LSは、フィンFBにn型不純物が導入された半導体領域であり、フィンFBの周囲に沿ってエピ層EP3が形成されている。つまり、半導体領域LDおよび半導体領域LSは、フィンFBおよびエピ層EP3にn型不純物が導入されたn型の半導体領域である。トランジスタTrは、ゲート電極GE、半導体領域LD、および、半導体領域LSを有する。ゲート電極GE、半導体領域LD、および、半導体領域LSは、それぞれ、コンタクトホールCT内に形成されたプラグ電極PGを介して、金属配線MWに接続されている。フィンFBは、トランジスタTrを形成する為の活性領域である。
フィンFAおよびFBは、半導体基板SBの主面から、主面に垂直な方向に突出する、例えば、直方体の突出部である。フィンFAおよびFBは、長辺方向に任意の長さ、短辺方向に任意の幅、高さ方向に任意の高さを有する。フィンFAおよびFBは、必ずしも直方体である必要はなく、短辺方向における断面視にて、長方形の角部がラウンドした形状も含まれる。また、平面視でフィンFAおよびFBが延在する方向が長辺方向であり、長辺方向に直交する方向が短辺方向である。つまり、長さは、幅よりも大きい。フィンFAおよびFBは、長さ、幅、および、高さを有する突出部であれば、その形状は問わない。例えば、平面視で、蛇行パターンも含まれる。
実施の形態2の半導体装置も、図1〜図3に示すプロセスフロー図の製造工程に沿って製造される。
図26は、上記実施の形態1の図4に対応する断面図であり、図1に示すプロセスフロー図のステップS1およびS2を実施した状態を示している。
図26に示すように、メモリセル領域1Aおよび周辺回路領域1Bには、フィンFAおよびFBが形成されている。フィンFAおよびFBは、半導体基板SBの主面から素子分離膜STを貫通して、選択的に突出している。
次に、図1のステップS3から図2のステップS18までを実施して、図27に示す構造が得られる。上記実施の形態1とは、次の点が異なる。メモリセル領域1Aにおいては、絶縁膜GI、制御ゲート電極CG、絶縁膜MZ、メモリゲート電極MGが、フィンFAの上面および側面に沿って形成されている。また、周辺回路領域1Bにおいては、絶縁膜GIおよびゲート電極DGが、フィンFBの上面および側面に沿って形成されている。また、半導体領域MSおよびMDは、n型半導体領域EX1およびEX2とn型半導体領域であるエピタキシャル層EP1およびEP2で構成されている。また、半導体領域LSおよびLDは、n型半導体領域EX3とn型半導体領域であるエピタキシャル層EP3で構成されている。
次に、図28に示すように、図2のステップS19を実施する。図28は、上記実施の形態1の図15に対応しており、上記実施の形態1と同様にステップS19を実施する。つまり、絶縁膜IL3は、O−TEOS膜とし、図2の研磨工程(ステップS20)前に酸化雰囲気で熱処理を施す。
次に、図29に示すように、図2のステップS20を実施する。図29は、上記実施の形態1の図16に対応しており、上記実施の形態1と同様にステップS20を実施する。
次に、図30に示すように、図3のステップS21〜ステップS25を実施する。図30は、上記実施の形態1の図19に対応しており、上記実施の形態1と同様にステップS21〜ステップS25を実施する。
次に、図31に示すように、図3のステップS26〜ステップS27を実施する。図31は、上記実施の形態1の図20に対応しており、上記実施の形態1と同様にステップS26〜ステップS27を実施する。
図示しないが、さらに、図3のステップS28〜ステップS31を実施して、実施の形態2の半導体装置を形成する。
実施の形態2においても、上記実施の形態1と同様の効果を得ることができる。
以上、本発明者によってなされた発明をその実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることは言うまでもない。
1A メモリセル領域
1B 周辺回路領域
BL ビット線
CG 制御ゲート電極
CP1,CP2 キャップ絶縁膜
CT コンタクトホール
DG ゲート電極
EP1,EP2,EP3 エピタキシャル層
EX1,EX2,EX3 n型半導体領域
GE ゲート電極
GI,HK 絶縁膜
IL1,IL2,IL3,IL4,IL5,IL6,IL7 絶縁膜
LF,LF1 積層膜
LD、LS 半導体領域
LM1,LM2 積層体
M1,M2 配線
MC メモリセル
MD,MS 半導体領域
ME 金属膜
ME1 チタンアルミニウム膜
ME2 アルミニウム膜
MG メモリゲート電極
MZ 絶縁膜
MZ1,MZ3 酸化シリコン膜
MZ2 窒化シリコン膜
MW 金属配線
PG プラグ電極
PR1 フォトレジストパターン
PS1,PS2 シリコン膜
PW1,PW2 p型ウエル
SB 半導体基板
SD1,SD2,SD3 n型半導体領域
SL ソース線
SL1,SL2 シリサイド層
SP シリコンスペーサ
ST 素子分離膜(素子分離領域)
STR 溝
SW サイドウォールスペーサ
TR1 溝

Claims (10)

  1. (a)その主面に、メモリセル領域と周辺回路領域とを有する半導体基板を準備する工程、
    (b)前記メモリセル領域において、前記半導体基板の主面上に、第1ゲート絶縁膜を介して形成された第1ゲート電極と、前記第1ゲート電極に隣接し、前記半導体基板の主面上に、電荷蓄積領域を含む第2ゲート絶縁膜を介して形成された第2ゲート電極と、前記第1ゲート電極および前記第2ゲート電極を挟むように、前記半導体基板の主面に形成された第1ソース領域および第1ドレイン領域と、を含むメモリセルを形成し、前記周辺回路領域において、前記半導体基板の主面上に、第3ゲート絶縁膜を介して形成された第3ゲート電極と、前記第3ゲート電極を挟むように、前記半導体基板の主面に形成された第2ソース領域および第2ドレイン領域と、を含むMISFETを形成する工程、
    (c)前記(b)工程の後、前記第1ソース領域、前記第1ドレイン領域、前記第2ソース領域、および、前記第2ドレイン領域の上面に、第1シリサイド層を形成する工程、
    (d)前記(c)工程の後、前記メモリセルおよび前記MISFETを覆うように、前記半導体基板の主面上に、第1温度で成膜したO−TEOS膜からなる第1絶縁膜を形成する工程、
    (e)前記第1絶縁膜を、酸化雰囲気および第2温度で熱処理する工程、
    (f)前記(e)工程後に、前記第1絶縁膜に第1研磨処理を施し、前記第1ゲート電極、前記第2ゲート電極、および、前記第3ゲート電極の上面を露出する工程、
    (g)前記周辺回路領域において、前記第3ゲート電極を除去し、前記第1絶縁膜に溝を形成する工程、
    (h)前記溝内を埋めるように、前記第1絶縁膜上に、金属膜を形成する工程、
    (i)前記金属膜に第2研磨処理を施し、前記溝内に選択的に前記金属膜を残すことにより、前記溝内に、前記MISFETの第4ゲート電極を形成する工程、
    を有し、
    前記第2温度は、前記第1温度よりも低い、半導体装置の製造方法。
  2. 請求項1記載の半導体装置の製造方法において、
    前記酸化雰囲気は、O、O、HO、または、Hからなる、半導体装置の製造方法。
  3. 請求項1記載の半導体装置の製造方法において、
    前記(d)工程のO−TEOS膜は、膜中のシロキサン(Si−O−Si)基に対するシラノール(Si−O−H)基の比率が10%以上である、半導体装置の製造方法。
  4. 請求項1記載の半導体装置の製造方法において、
    熱処理後の前記第1絶縁膜の比誘電率は、熱処理前の前記第1絶縁膜の比誘電率よりも低い、半導体装置の製造方法。
  5. 請求項1記載の半導体装置の製造方法において、
    前記(g)工程で、前記第3ゲート電極は、ウェットエッチング法で除去し、
    熱処理後の前記第1絶縁膜のウェットエッチングレートは、熱処理前の前記第1絶縁膜のウェットエッチングレートよりも低い、半導体装置の製造方法。
  6. 請求項5記載の半導体装置の製造方法において、
    前記第3ゲート電極は、多結晶シリコン膜からなる、半導体装置の製造方法。
  7. 請求項1記載の半導体装置の製造方法において、
    前記第1シリサイド層は、Niを含む、半導体装置の製造方法。
  8. 請求項1記載の半導体装置の製造方法において、
    前記(i)工程の後に、さらに、
    (j)前記第1ゲート電極および前記第2ゲート電極の上面に第2シリサイド層を形成する工程、
    を有する、半導体装置の製造方法。
  9. 請求項1記載の半導体装置の製造方法において、
    前記(i)工程の後に、さらに、
    (k)前記第1ゲート電極、前記第2ゲート電極、および、前記第4ゲート電極を覆うように、前記第1絶縁膜上に第2絶縁膜を形成する工程、
    (l)前記第2絶縁膜および前記第1絶縁膜を貫通し、前記第1ソース領域または前記第1ドレイン領域上の前記第1シリサイド層を露出するコンタクトホールを形成する工程、
    (m)前記コンタクトホール内に、プラグ電極を形成する工程、
    を有する、半導体装置の製造方法。
  10. 請求項1記載の半導体装置の製造方法において、
    前記(b)工程において、
    前記第1ゲート電極、前記第2ゲート電極、および、前記第3ゲート電極の側壁上には、絶縁膜からなるサイドウォールスペーサが形成されており、
    前記(g)工程において、前記溝の側面には前記サイドウォールスペーサが露出している、半導体装置の製造方法。
JP2016164586A 2016-08-25 2016-08-25 半導体装置の製造方法 Active JP6713878B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2016164586A JP6713878B2 (ja) 2016-08-25 2016-08-25 半導体装置の製造方法
US15/602,448 US10186518B2 (en) 2016-08-25 2017-05-23 Method of manufacturing semiconductor device
CN201710614700.3A CN107785377B (zh) 2016-08-25 2017-07-26 制造半导体装置的方法
TW106127516A TW201820590A (zh) 2016-08-25 2017-08-15 半導體裝置之製造方法
KR1020170105955A KR20180023831A (ko) 2016-08-25 2017-08-22 반도체 장치의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016164586A JP6713878B2 (ja) 2016-08-25 2016-08-25 半導体装置の製造方法

Publications (3)

Publication Number Publication Date
JP2018032760A JP2018032760A (ja) 2018-03-01
JP2018032760A5 JP2018032760A5 (ja) 2019-02-28
JP6713878B2 true JP6713878B2 (ja) 2020-06-24

Family

ID=61243373

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016164586A Active JP6713878B2 (ja) 2016-08-25 2016-08-25 半導体装置の製造方法

Country Status (5)

Country Link
US (1) US10186518B2 (ja)
JP (1) JP6713878B2 (ja)
KR (1) KR20180023831A (ja)
CN (1) CN107785377B (ja)
TW (1) TW201820590A (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10872898B2 (en) * 2017-07-19 2020-12-22 Cypress Semiconductor Corporation Embedded non-volatile memory device and fabrication method of the same
JP2020155485A (ja) * 2019-03-18 2020-09-24 キオクシア株式会社 半導体装置およびその製造方法
TWI690061B (zh) * 2019-04-02 2020-04-01 億而得微電子股份有限公司 單閘極多次寫入非揮發性記憶體及其操作方法
JP7200054B2 (ja) 2019-06-24 2023-01-06 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2021027096A (ja) * 2019-08-01 2021-02-22 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2022082242A (ja) * 2020-11-20 2022-06-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US11781218B2 (en) * 2020-12-11 2023-10-10 Applied Materials, Inc. Defect free germanium oxide gap fill

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61218135A (ja) * 1985-03-23 1986-09-27 Oki Electric Ind Co Ltd シリコン酸化膜の形成方法
US5069747A (en) * 1990-12-21 1991-12-03 Micron Technology, Inc. Creation and removal of temporary silicon dioxide structures on an in-process integrated circuit with minimal effect on exposed, permanent silicon dioxide structures
JPH06349817A (ja) * 1993-06-14 1994-12-22 Kawasaki Steel Corp 半導体装置の製造方法
JP2962250B2 (ja) * 1996-11-12 1999-10-12 日本電気株式会社 半導体記憶装置の製造方法
KR100363839B1 (ko) 1999-12-24 2002-12-06 주식회사 하이닉스반도체 반도체 소자의 층간 절연막 형성 방법
JP2002151664A (ja) * 2000-11-13 2002-05-24 Hitachi Ltd 半導体集積回路装置の製造方法
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
JP2006041107A (ja) * 2004-07-26 2006-02-09 Seiko Epson Corp 半導体装置及びその製造方法
TWI311796B (en) * 2005-11-17 2009-07-01 Ememory Technology Inc Semiconductor device and manufacturing method thereof
JP4487266B2 (ja) * 2006-08-30 2010-06-23 エルピーダメモリ株式会社 半導体装置
JP2010182751A (ja) * 2009-02-03 2010-08-19 Renesas Electronics Corp 不揮発性半導体記憶装置及びその製造方法
JP6026914B2 (ja) * 2013-02-12 2016-11-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP6297430B2 (ja) * 2014-06-30 2018-03-20 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
CN107785377B (zh) 2023-06-16
JP2018032760A (ja) 2018-03-01
US20180061849A1 (en) 2018-03-01
TW201820590A (zh) 2018-06-01
CN107785377A (zh) 2018-03-09
KR20180023831A (ko) 2018-03-07
US10186518B2 (en) 2019-01-22

Similar Documents

Publication Publication Date Title
JP6713878B2 (ja) 半導体装置の製造方法
US9799667B2 (en) Method of manufacturing a semiconductor device
JP6026913B2 (ja) 半導体装置の製造方法
US20190341395A1 (en) Semiconductor device and method for manufacturing the same
US9583502B2 (en) Method of manufacturing a semiconductor device
US9754955B2 (en) High-K-last manufacturing process for embedded memory with metal-oxide-nitride-oxide-silicon (MONOS) memory cells
TW201911469A (zh) 積體電路及用於形成積體電路的方法
JP2006344809A (ja) 半導体装置及びその製造方法
US9831092B2 (en) Semiconductor device and method for manufacturing the same
US9076857B2 (en) Semiconductor device and manufacturing method thereof
JP2013045837A (ja) 不揮発性半導体記憶装置およびその製造方法
US10205006B2 (en) Method for manufacturing semiconductor device including patterning conductor film disposed on insulating film
WO2016088196A1 (ja) 半導体装置の製造方法および半導体装置
TW201725612A (zh) 半導體元件及其形成方法
US8617991B2 (en) Method of manufacturing semiconductor device
KR102612404B1 (ko) 반도체 소자 및 그의 제조 방법
JP2012109378A (ja) 半導体装置およびその製造方法
JP2012134212A (ja) 半導体装置の製造方法
JP2019186378A (ja) 半導体装置の製造方法
JP2012099530A (ja) 半導体装置およびその製造方法
JP2019054213A (ja) 半導体装置およびその製造方法
JP2016046316A (ja) 半導体装置およびその製造方法
JP2009076609A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190117

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191001

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190930

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200212

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200406

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200519

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200604

R150 Certificate of patent or registration of utility model

Ref document number: 6713878

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150